KR20080027200A - Method of patterning an anti-reflective coating by partial etching - Google Patents

Method of patterning an anti-reflective coating by partial etching Download PDF

Info

Publication number
KR20080027200A
KR20080027200A KR1020070096502A KR20070096502A KR20080027200A KR 20080027200 A KR20080027200 A KR 20080027200A KR 1020070096502 A KR1020070096502 A KR 1020070096502A KR 20070096502 A KR20070096502 A KR 20070096502A KR 20080027200 A KR20080027200 A KR 20080027200A
Authority
KR
South Korea
Prior art keywords
pattern
layer
arc
thin film
arc layer
Prior art date
Application number
KR1020070096502A
Other languages
Korean (ko)
Inventor
산드라 하일랜드
섀넌 던
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20080027200A publication Critical patent/KR20080027200A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Abstract

A method for patterning an anti-reflective coating by partial etching is provided to enhance reliability by reducing possibility of damage in an underlying layer. A layer stack is provided on a substrate(210). The layer stack consists of a thin film(220) formed on the substrate, an ARC(Anti-Reflective Coating) layer formed on the thin film, and a mask layer formed on the ARC layer. A pattern is formed on the mask layer. The pattern is transferred partially on the ARC layer by transferring the pattern to a depth less than the thickness of the ARC layer. The remaining part of the mask layer is removed. The pattern is completed by etching the ARC layer. The pattern is transferred to the thin film by consuming substantially the ARC layer.

Description

부분 에칭에 의한 반-반사성 코팅의 패터닝 방법{METHOD OF PATTERNING AN ANTI-REFLECTIVE COATING BY PARTIAL ETCHING}METHOD OF PATTERNING AN ANTI-REFLECTIVE COATING BY PARTIAL ETCHING}

(관련 출원의 교차 참조)(Cross reference to related application)

본 출원은, 이것과 동일자에 출원되며, 공동 계류 중인 미국 특허 출원 번호 제11/534,261호인 "METHOD FOR DOUBLE IMAGING A DEVELOPABLE ANTI-REFLECTIVE COATING"(TTCA-157)과, 이것과 동일자에 출원되며, 공동 계류 중인 미국 특허 출원 번호 제11/534,365호인 "METHOD FOR DOUBLE PATTERNING A DEVELOPABLE ANTI-REFLECTIVE COATING"(TTCA-158)과, 이것과 동일자에 출원되며, 공동 계류 중인 미국 특허 출원 번호 제11/XXX,XXX호인 "METHOD OF PATTERNING A DEVELOPABLE ANTI-REFLECTIVE COATING BY PARTIAL DEVELOPING"(TTCA-160)과, 이것과 동일자에 출원되며, 공동 계류 중인 미국 특허 출원 번호 제11/XXX,XXX호인 "METHOD FOR DOUBLE PATTERNING A THIN FILM"(TTCA-161)에 연관된다. 이들 출원들의 전체 내용은 여기서 그 전체가 참조용으로 사용되었다.This application is filed on the same date as this and filed on the same date as "METHOD FOR DOUBLE IMAGING A DEVELOPABLE ANTI-REFLECTIVE COATING" (TTCA-157), co-pending US Patent Application No. 11 / 534,261. Pending U.S. Patent Application No. 11 / 534,365, entitled "METHOD FOR DOUBLE PATTERNING A DEVELOPABLE ANTI-REFLECTIVE COATING" (TTCA-158) and co-pending U.S. Patent Application No. 11 / XXX, XXX "METHOD OF PATTERNING A DEVELOPABLE ANTI-REFLECTIVE COATING BY PARTIAL DEVELOPING" (TTCA-160) and co-pending US patent application No. 11 / XXX, XXX, "METHOD FOR DOUBLE PATTERNING A THIN" FILM "(TTCA-161). The entire contents of these applications are hereby incorporated by reference in their entirety.

본 발명은 기판 상의 박막을 패터닝하기 위한 방법에 관한 것이며, 보다 자세하게는 부분 에칭된 반-반사성 코팅(anti-reflective coating, ARC)층을 사용하여 기판 상의 박막을 패터닝하기 위한 방법에 관한 것이다.The present invention relates to a method for patterning a thin film on a substrate, and more particularly, to a method for patterning a thin film on a substrate using a partially etched anti-reflective coating (ARC) layer.

재료 프로세싱 방법들에서, 패턴 에칭은, 포토레지스트와 같은 감광성 재료의 박막을, 후속하여 패터닝되는 기판의 상부면에 도포하여, 에칭 동안 이 패턴을 기판 상의 하지의(underlying) 박막에 트랜스퍼(transfer)하기 위한 마스크를 제공하는 것을 포함한다. 감광성 재료의 패터닝은 일반적으로, 예컨대 포토리소그래피 시스템을 사용하여 감광성 재료의 레티클(reticle)(및 연관된 광학)을 통한 방사원에 의한 노광과, 이어서 현상액을 이용한 감광성 재료의 조사된 영역들(포지티브 포토레지스트의 경우에서와 같이) 또는 비-조사된 영역들(네가티브 레지스트의 경우에서와 같이)의 제거를 포함한다. 또한, 이 마스크층은 다중 서브층(sub-layer)들을 포함할 수도 있다.In material processing methods, pattern etching applies a thin film of photosensitive material, such as photoresist, to a top surface of a subsequently patterned substrate, thereby transferring the pattern to an underlying thin film on the substrate during etching. Providing a mask to do so. Patterning of the photosensitive material generally involves exposure with a radiation source through a reticle (and associated optics) of the photosensitive material, for example using a photolithography system, followed by irradiated areas of the photosensitive material with a developer (positive photoresist). ), Or removal of non-irradiated regions (as in the case of negative resist). In addition, this mask layer may comprise multiple sub-layers.

패턴이 하지의 박막에 트랜스퍼되면, 하지의 박막의 재료 특성들을 손상시키지 않으면서 마스크층을 제거하는 것이 필수적이다. 예컨대, 박막은, 전자 장치들에 대한 후공정(back-end-of-line, BEOL) 금속화 방법에서 사용될 수도 있는 저 유전 상수(낮은 k(low-k) 또는 극히 낮은 k(ultra-low-k)) 유전체막을 포함할 수도 있다. 다공성의 낮은 k의 유전체 뿐만 아니라, 비다공성의 낮은 k의 유전체를 포함할 수도 있는 이러한 재료들은, 마스크층과 그 서브층들의 제거를 위하여 필수적인 화학 약품에 노출될 때, 손상, 예컨대 유전 상수의 하락, 수분 흡수, 잔유물 형성 등의 손상을 받기 쉽다. 그러므로, 그러한 패턴을 생성하고 필수적인 마스크 층(들)을 제거할 때에, 하지의 박막을 손상시킬 가능성을 감소시키는 패턴 트랜스퍼 방법을 확립하는 것이 중요하다.Once the pattern is transferred to the underlying thin film, it is essential to remove the mask layer without compromising the material properties of the underlying thin film. For example, the thin film can be a low dielectric constant (low-k or ultra-low-k) that may be used in back-end-of-line (BEOL) metallization methods for electronic devices. k)) a dielectric film may be included. These materials, which may include not only porous low k dielectrics, but also nonporous low k dielectrics, are damaged, such as a drop in dielectric constant, when exposed to chemicals essential for the removal of the mask layer and its sublayers. It is susceptible to damages such as water absorption and residue formation. Therefore, when creating such a pattern and removing the necessary mask layer (s), it is important to establish a pattern transfer method that reduces the possibility of damaging the underlying thin film.

본 발명은 기판 상의 박막을 패터닝하기 위한 방법에 관한 것이다.The present invention relates to a method for patterning a thin film on a substrate.

일 실시예에 따르면, 반-반사성 코팅(ARC)층을 사용하여 박막을 패터닝하는 방법이 설명된다. ARC 층을 덮는 마스크층에 형성된 패턴은 ARC 층에 부분적으로 트랜스퍼된 후, 마스크층이 제거된다. 그 후, 패턴은 에칭 프로세스를 이용하여 ARC 층에 완전히 트랜스퍼된다.According to one embodiment, a method of patterning a thin film using an anti-reflective coating (ARC) layer is described. The pattern formed on the mask layer covering the ARC layer is partially transferred to the ARC layer, and then the mask layer is removed. The pattern is then completely transferred to the ARC layer using an etching process.

다른 실시예에 따르면, 기판 상에, 상기 기판 상에 형성된 박막, 상기 박막 상에 형성된 반-반사성 코팅(ARC)층, 및 상기 ARC층 상에 형성된 마스크층을 포함하는 막 스택을 제공하는 단계; 상기 마스크층에 패턴을 형성하는 단계; 상기 패턴을 상기 ARC층의 두께보다 작은 깊이까지 트랜스퍼함으로써 상기 패턴을 상기 ARC층으로 부분적으로 트랜스퍼하는 단계; 상기 패턴의 상기 ARC층으로의 부분 트랜스퍼에 이어서, 상기 마스크층의 잔존부를 제거하는 단계; 상기 ARC층을 에칭함으로써 상기 패턴을 상기 ARC층으로 트랜스퍼하는 것을 완료하는 단계; 및 상기 ARC층을 실질적으로 소모하면서 상기 패턴을 상기 박막으로 트랜스퍼하는 단계를 포함하는, 기판 상의 박막을 패터닝하는 방법과, 패터닝을 위한 컴퓨터 기록 매체가 설명된다.According to another embodiment, a method comprising: providing a film stack on a substrate, the film stack comprising a thin film formed on the substrate, a semi-reflective coating (ARC) layer formed on the thin film, and a mask layer formed on the ARC layer; Forming a pattern on the mask layer; Partially transferring the pattern to the ARC layer by transferring the pattern to a depth less than the thickness of the ARC layer; Subsequent to partial transfer of the pattern to the ARC layer, removing remaining portions of the mask layer; Completing transferring the pattern to the ARC layer by etching the ARC layer; And transferring the pattern to the thin film while substantially consuming the ARC layer, a method of patterning a thin film on a substrate, and a computer recording medium for patterning are described.

본 발명은 부분 에칭된 반-반사성 코팅층을 사용하여 기판 상의 박막을 패터 닝하기 위한 방법에 관한 것으로, 본 발명에 따르면, 패턴을 생성하고 필수적인 마스크층(들)을 제거할 때에, 하지의 박막을 손상시킬 가능성을 감소시키는 패턴 트랜스퍼 방법을 획득할 수 있다.The present invention relates to a method for patterning a thin film on a substrate using a partially etched semi-reflective coating layer, according to the present invention, when forming a pattern and removing the necessary mask layer (s), A pattern transfer method can be obtained that reduces the chance of damage.

다음의 설명에서, 설명을 위하여(그러나, 제한되지 않는), 특정 프로세스들 및 패터닝 시스템들과 같은 특정한 상세가 설명된다. 그러나, 이들 특정한 상세들로부터 벗어난 다른 실시예들에서 본 발명이 실행될 수도 있음을 이해해야 한다.In the following description, for purposes of explanation (but not limitation), specific details such as specific processes and patterning systems are described. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.

이제, 동일한 도면 부호들은 일부 도면들 전체에 걸쳐 동일하거나 대응하는 부분들을 나타내는 것인 도면들을 참조하여, 도 1a 내지 도 1j는 종래 기술에 따른 기판의 패터닝 방법을 개략적으로 도시한다. 도 1a에 도시된 바와 같이, 리소그래픽 구조(100)는 기판(110) 상에 형성된 막 스택을 포함한다. 이 막 스택은, 기판(110) 상에 형성된, 유전체층과 같은 박막(120), 상기 박막(120) 상에 형성된 유기 평탄화층(organic planarization layer, OPL)(130), 상기 OPL(130) 상에 형성된 반-반사성 코팅(ARC)층(140), 및 상기 ARC층(140) 상에 형성된 포토레지스트층(150)을 포함한다.Referring now to the drawings in which like reference numerals represent the same or corresponding parts throughout the several views, FIGS. 1A-1J schematically illustrate a method of patterning a substrate according to the prior art. As shown in FIG. 1A, lithographic structure 100 includes a film stack formed on substrate 110. The film stack is formed on a thin film 120, such as a dielectric layer, on an organic planarization layer (OPL) 130 formed on the thin film 120, on the OPL 130. A formed anti-reflective coating (ARC) layer 140, and a photoresist layer 150 formed on the ARC layer 140.

도 1b에 도시된 바와 같이, 포토레지스트층(150)은 포토리소그래피 시스템을 사용하여 제1 이미지 패턴(152)에 노광된 후, 도 1c에 도시된 바와 같이, 제1 이미지 패턴(152)은 현상액으로 현상되어 포토레지스트층(150)에서 제1 패턴(154)을 형성한다. 포토레지스트층(150)에서의 제1 패턴(154)은 건식 에칭 프로세스를 사용하여 하지의 ARC층(140)으로 트랜스퍼되어, 도 1d에 도시된 바와 같이 제1 ARC 패 턴(142)을 형성한다.As shown in FIG. 1B, the photoresist layer 150 is exposed to the first image pattern 152 using a photolithography system, and then as shown in FIG. 1C, the first image pattern 152 is a developer. And the first pattern 154 is formed in the photoresist layer 150. First pattern 154 in photoresist layer 150 is transferred to underlying ARC layer 140 using a dry etching process to form first ARC pattern 142 as shown in FIG. 1D. .

이제, 도 1e에 도시된 바와 같이, 포토레지스트층(150)이 제거되고, 제2 포토레지스트층(160)이 ARC층(140)에 도포된다. 제2 포토레지스트층(160)은 포토리소그래피 시스템을 사용하여, 도 1f에 도시된 바와 같이, 제2 이미지 패턴(162)에 대하여 노광된 후, 도 1g에 도시된 바와 같이, 제2 이미지 패턴(162)은 현상액으로 현상되어 제2 포토레지스트층(160)에 제2 패턴(164)을 형성한다. 제2 포토레지스트층(160)에서의 제2 패턴(164)은 에칭 프로세스를 사용하여 하지의 ARC층(140)으로 트랜스퍼되어 도 1h에 도시된 바와 같이 제2 ARC 패턴(144)을 형성한다.Now, as shown in FIG. 1E, the photoresist layer 150 is removed and the second photoresist layer 160 is applied to the ARC layer 140. The second photoresist layer 160 is exposed to the second image pattern 162 using a photolithography system, as shown in FIG. 1F, and then, as shown in FIG. 1G, as shown in FIG. 1G. 162 is developed with a developer to form a second pattern 164 on the second photoresist layer 160. The second pattern 164 in the second photoresist layer 160 is transferred to the underlying ARC layer 140 using an etching process to form the second ARC pattern 144 as shown in FIG. 1H.

도 1i 및 도 1j에 각각 도시된 바와 같이, 제2 포토레지스트층(160)이 제거되고. 제1 및 제2 ARC 패턴들(142, 144)이 하나 이상의 에칭 프로세스들을 사용하여 하지의 OPL(130)과 박막(120)으로 트랜스퍼되어 제1 특징 패턴(122)과 제2 특징 패턴(124)을 형성한다. 그러나, 도 1j에 도시된 바와 같이, 박막(120)으로의 패턴 트랜스퍼가 완료되면, ARC층(140)은 단지 부분적으로 소모되며, 따라서, 잔존하는 OPL 외에, 제거될 재료가 남는다. 본 발명자들은, 잔존하는 ARC층을 제거하도록 요구되는, 플래시 에칭과 같은 프로세스는 하지의 박막(120)의 재료 특징에 해롭다는 것을 관찰하였다.As shown in FIGS. 1I and 1J, respectively, the second photoresist layer 160 is removed. The first and second ARC patterns 142 and 144 are transferred to the underlying OPL 130 and the thin film 120 using one or more etching processes to form the first and second feature patterns 122 and 124. To form. However, as shown in FIG. 1J, once the pattern transfer to the thin film 120 is complete, the ARC layer 140 is only partially consumed, thus leaving material to be removed, in addition to the remaining OPL. We have observed that processes such as flash etching, which are required to remove the remaining ARC layer, are detrimental to the material characteristics of the underlying thin film 120.

예컨대, 박막(120)은 전자 장치들용 후공정(BEOL) 금속화 방법에서 사용될 수도 있는 저 유전 상수(낮은 k 또는 극히 낮은 k) 유전체막을 포함할 수도 있다. 다공성의 낮은 k의 유전체 뿐만 아니라 비다공성의 낮은 k의 유전체를 포함할 수도 있는 이러한 재료들은, ARC층(140)의 제거를 위하여 필수적인 화학 약품에 노출될 때, 손상, 예컨대 유전 상수의 하락, 수분 흡수, 잔유물 형성 등의 손상을 받기 쉽다.For example, thin film 120 may include a low dielectric constant (low k or extremely low k) dielectric film that may be used in a BEOL metallization method for electronic devices. These materials, which may include not only porous low k dielectrics but also nonporous low k dielectrics, are damaged when exposed to chemicals that are essential for the removal of ARC layer 140, such as a drop in dielectric constant, moisture, It is susceptible to damage such as absorption and residue formation.

하나의 선택 사항은 ARC층(140)의 두께를 감소시키는 것이며, 이로써 이 층은 박막(120)으로의 패턴의 트랜스퍼 동안 실질적으로 소모된다. 그러나, ARC층(140)의 두께는 포토레지스트층의 패터닝 동안 반-반사성 특성을 제공하기 위하여 설정된 요건들에 의하여 지정된다. 예컨대, ARC층(140)이 입사된 전자기(EM) 방사와 반사된 EM 방사 간의 파괴적 간섭을 유발하도록 구성되면, ARC층(140)의 두께(τ)는 포토레지스트층의 이미징(imaging) 동안 입사된 EM 방사의 1/4 파장이도록(즉, τ~ λ/4, 3λ/4, 5λ/4, 등) 선택되어야 한다. 또는, 예컨대, ARC층이 입사된 EM 방사를 흡수하도록 구성되면, ARC층(140)의 두께(τ)는 입사된 EM 방사의 흡수를 허용하는 데 충분히 두껍도록 선택되어야 한다. 다른 경우에서, 발명자들은, 반-반사성 특징을 제공하도록 요구되는 최소 두께는 여전히, 하지의 박막으로의 패턴의 트랜스퍼 후, ARC 층을 단지 부분적으로 소모하도록 한다는 것을 관찰하였다.One option is to reduce the thickness of the ARC layer 140, whereby this layer is substantially consumed during the transfer of the pattern to the thin film 120. However, the thickness of the ARC layer 140 is specified by the requirements set to provide anti-reflective properties during the patterning of the photoresist layer. For example, if the ARC layer 140 is configured to cause destructive interference between incident electromagnetic (EM) radiation and reflected EM radiation, the thickness τ of the ARC layer 140 is incident during imaging of the photoresist layer. Should be chosen to be a quarter wavelength of the EM radiation (ie, τ˜λ / 4, 3λ / 4, 5λ / 4, etc.). Or, for example, if the ARC layer is configured to absorb incident EM radiation, the thickness τ of the ARC layer 140 should be selected to be thick enough to allow absorption of incident EM radiation. In other cases, the inventors have observed that the minimum thickness required to provide anti-reflective features still only partially consumes the ARC layer after transfer of the pattern to the underlying thin film.

그러므로, 본 발명의 실시예에 따르면, 기판을 패터닝하는 방법은 도 2a 내지 도 2k 및 도 3에 도시되어 있다. 본 방법은 흐름도(500)에 나타나 있고, 510에서 기판(210) 상에 형성된 막 스택을 포함하는 리소그래픽 구조(200)를 형성하는 단계로 시작한다. 막 스택은, 기판(210) 상에 형성된 박막(220), 상기 박막(220) 상에 형성된 선택적인 유기 평탄화층(OPL)(230), 상기 선택적 OPL(230) 상에(혹은, OPL(230)이 없다면, 박막(220) 상에) 형성된 반-반사성 코팅(ARC)층(240), 및 상기 ARC층(240) 상에 형성된 포토레지스트층(250)을 포함한다. 막 스택이 기판(210) 바로 위에 형성되는 것으로 도시되었으나, 막 스택과 기판(210) 사이에 부가적인 층들이 존재할 수도 있다. 예컨대, 반도체 장치에서, 막 스택은 하나의 상호접속부 레벨의 형성을 용이하게 할 수도 있고, 이 상호접속부 레벨은 기판(210) 상의 다른 상호접속부 레벨 상에 형성될 수도 있다. 부가적으로, 박막(220)은 단일 재료층 또는 복수의 재료층들을 포함할 수도 있다. 예컨대, 박막(220)은 캐핑층(capping layer)을 갖는 벌크 재료층을 포함할 수도 있다.Therefore, according to an embodiment of the present invention, a method of patterning a substrate is shown in FIGS. 2A-2K and 3. The method is shown in flowchart 500 and begins at 510 with forming a lithographic structure 200 comprising a film stack formed on a substrate 210. The film stack includes a thin film 220 formed on the substrate 210, an optional organic planarization layer (OPL) 230 formed on the thin film 220, and / or an OPL 230. ), A semi-reflective coating (ARC) layer 240 formed on the thin film 220, and a photoresist layer 250 formed on the ARC layer 240. Although the film stack is shown formed directly over the substrate 210, additional layers may exist between the film stack and the substrate 210. For example, in a semiconductor device, the film stack may facilitate the formation of one interconnect level, which may be formed on another interconnect level on the substrate 210. In addition, the thin film 220 may include a single material layer or a plurality of material layers. For example, the thin film 220 may include a bulk material layer having a capping layer.

박막(220)은 도전층, 비도전층 또는 반도전층을 포함할 수도 있다. 예컨대, 박막(220)은, 금속, 금속 산화물, 금속 질화물, 금속 산질화물, 금속 규산염, 금속 규화물, 실리콘, 다결정 실리콘(폴리 실리콘), 도핑된 실리콘, 실리콘 이산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물 등을 포함하는 재료층을 포함할 수도 있다. 또한, 예컨대, 박막(220)은, 대략 4인(예컨대, 서멀 실리콘 이산화물에 대한 유전 상수는 3.8 내지 3.9의 범위일 수 있다) SiO2의 유전 상수보다 작은 공칭 유전 상수값을 갖는 낮은 유전 상수(즉, 낮은 k) 또는 극히 낮은 유전 상수(즉, 극히 낮은 k)의 유전체층을 포함할 수도 있다. 보다 구체적으로는, 박막(220)은, 1.6 내지 3.7의 범위의 유전 상수와 같은 3.7 이하의 유전 상수를 가질 수도 있다.The thin film 220 may include a conductive layer, a nonconductive layer, or a semiconductive layer. For example, the thin film 220 may include metals, metal oxides, metal nitrides, metal oxynitrides, metal silicates, metal silicides, silicon, polycrystalline silicon (polysilicon), doped silicon, silicon dioxide, silicon nitride, silicon carbide, silicon acid It may also include a material layer containing nitride or the like. Also, for example, thin film 220 may have a low dielectric constant having a nominal dielectric constant value that is less than the dielectric constant of SiO 2 (eg, the dielectric constant for thermal silicon dioxide may range from 3.8 to 3.9). That is, low k) or extremely low dielectric constants (i.e., extremely low k). More specifically, the thin film 220 may have a dielectric constant of 3.7 or less, such as a dielectric constant in the range of 1.6 to 3.7.

이들 유전체층들은 유기, 무기 또는 무기-유기 하이브리드 재료 중 적어도 하나를 포함할 수도 있다. 부가적으로, 이들 유전체층들은 다공성 또는 비다공성 일 수도 있다. 예컨대, 이들 유전체층들은, CVD 기술을 이용하여 증착된 탄소 도핑된 실리콘 산화물(또는 유기 실옥산)과 같은 무기의 규산염 기저 재료를 포함할 수도 있다. 이러한 막들의 예들은, Applied Materials, Inc.로부터 시판되는 Black Diamond

Figure 112007068771358-PAT00001
CVD 유기규산염 유리(organosilicate glass, OSG)막들 또는 Novellus Systems, Inc.로부터 시판되는 Coral
Figure 112007068771358-PAT00002
CVD 막들을 포함한다. 또는, 이들 유전체층들은, 작은 공동들(또는 구멍들)을 생성하기 위하여 경화 또는 증착 프로세스 동안 막의 완전 치밀화(densification)를 방해하는 CH3 결합을 갖는 실리콘 산화물 기저 매트릭스와 같은 단일 상으로 구성된 다공성의 무기-유기 하이브리드 막들을 포함할 수도 있다. 또는, 이들 유전체층들은, 경화 프로세스 동안 분해되어 증발된 유기 재료(예컨대, 포로젠)의 구멍들을 갖는 탄소 도핑된 실리콘 산화물 기저 매트릭스와 같은, 적어도 2 상들로 구성된 다공성의 무기-유기 하드브리드 막들을 포함할 수도 있다. 또는, 이들 유전체층들은, SOD(spin-on dielectric) 기술들을 사용하여 증착된, 수소 실세스퀴옥산(HSQ) 또는 금속 실세스퀴옥산(MSQ)과 같은, 무기의 규산염 기저 재료를 포함할 수도 있다. 이러한 막들의 예는, Dow Corning으로부터 시판되는 FOx
Figure 112007068771358-PAT00003
HSQ, Dow Corning으로부터 시판되는 XLK 다공성 HSQ, 및 JSR Microelectonics로부터 시판되는 JSR LKD-5109를 포함한다. 또는, 이들 유전체층들은 SDO 기술들을 이용하여 증착된 유기 재료를 포함할 수 있다. 이러한 막들의 예는 Dow Chemical로부터 시판되는 SiLK-I, SiLK-J, SiLK-H, SiLK-D 및 다공성의 SiLK
Figure 112007068771358-PAT00004
반도체 유전체 수지, 및 Honeywell로부터 시판되는 GX-3PTM 및 GX-3PTM 반도체 유전체 수지를 포함한다.These dielectric layers may comprise at least one of an organic, inorganic or inorganic-organic hybrid material. In addition, these dielectric layers may be porous or nonporous. For example, these dielectric layers may include an inorganic silicate based material such as carbon doped silicon oxide (or organo siloxane) deposited using CVD techniques. Examples of such films are Black Diamond, commercially available from Applied Materials, Inc.
Figure 112007068771358-PAT00001
CVD organosilicate glass (OSG) films or Coral commercially available from Novellus Systems, Inc.
Figure 112007068771358-PAT00002
CVD films. Alternatively, these dielectric layers may be porous inorganics composed of a single phase such as a silicon oxide base matrix with CH 3 bonds that interfere with the complete densification of the film during the curing or deposition process to create small cavities (or holes). May comprise organic hybrid membranes. Alternatively, these dielectric layers include porous inorganic-organic hardbrid films composed of at least two phases, such as a carbon doped silicon oxide base matrix with pores of organic material (e.g., porogen) that has been decomposed and evaporated during the curing process. You may. Alternatively, these dielectric layers may include an inorganic silicate based material, such as hydrogen silsesquioxane (HSQ) or metal silsesquioxane (MSQ), deposited using spin-on dielectric (SOD) techniques. . An example of such films is FOx, commercially available from Dow Corning.
Figure 112007068771358-PAT00003
HSQ, XLK porous HSQ commercially available from Dow Corning, and JSR LKD-5109 commercially available from JSR Microelectonics. Alternatively, these dielectric layers may include an organic material deposited using SDO techniques. Examples of such films are SiLK-I, SiLK-J, SiLK-H, SiLK-D and porous SiLK available from Dow Chemical.
Figure 112007068771358-PAT00004
Semiconductor dielectric resins, and GX-3P and GX-3P semiconductor dielectric resins available from Honeywell.

박막(220)은, 화학적 기상 증착(CVD), 플라즈마 강화된 CVD(PECVD), 원자층 증착(ALD), 플라즈마 강화된 ALD(PEALD), 물리적 기상 증착(PVD) 또는 이온화된 PVD(iPVD)와 같은 기상 증착 기술, 또는 Tokyo Electron Limited(TEL)로부터 시판되는 Clean Track ACT 8 SOD(스핀-온 유전체), ACT 12 SOD, 및 Lithius 코팅 시스템에서 제공되는 것과 같은 스핀-온 기술을 사용하여 형성될 수 있다. Clean Track ACT 8(200mm), ACT 12(300mm), 및 Lithius(300mm) 코팅 시스템은 SOD 재료들에 대한 코팅, 베이킹 및 경화 툴들을 제공한다. 트랙 시스템은 100mm, 200mm, 300mm 및 그 이상의 기판 크기를 프로세싱하기 위하여 구성될 수 있다. 기판 상에 박막을 형성하기 위한 다른 시스템들 및 방법들이 스핀-온 기술과 기상 증착 기술 모두의 당업자들에게 잘 공지되어 있다.The thin film 220 may include chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD), physical vapor deposition (PVD), or ionized PVD (iPVD). Such as vapor deposition techniques, or spin-on techniques such as those provided by Clean Track ACT 8 SOD (spin-on dielectric), ACT 12 SOD, and Lithius coating systems available from Tokyo Electron Limited (TEL). have. The Clean Track ACT 8 (200 mm), ACT 12 (300 mm), and Lithius (300 mm) coating systems provide coating, baking and curing tools for SOD materials. The track system can be configured to process substrate sizes of 100 mm, 200 mm, 300 mm and more. Other systems and methods for forming thin films on substrates are well known to those skilled in the art of both spin-on and vapor deposition techniques.

선택적인 OPL(230)은 감광성 유기 폴리머 또는 에칭형 유기 복합물을 포함할 수 있다. 예컨대, 감광성 유기 폴리머는 폴리아크릴레이트 수지, 에폭시 수지, 페놀 수지, 폴리아미드 수지, 폴리이미드 수지, 불포화 폴리에스테르 수지, 폴리페닐렌에테르 수지, 폴리페닐렌설파이드 수지, 또는 벤조사이클로부텐(BCB)일 수도 있다. 이들 재료들은 스핀-온 기술을 사용하여 형성될 수도 있다.Optional OPL 230 may comprise a photosensitive organic polymer or an etched organic composite. For example, the photosensitive organic polymer may be polyacrylate resin, epoxy resin, phenolic resin, polyamide resin, polyimide resin, unsaturated polyester resin, polyphenylene ether resin, polyphenylene sulfide resin, or benzocyclobutene (BCB) yl. It may be. These materials may be formed using spin-on techniques.

ARC 층(240)은 반 반사성 코팅으로서 사용하는 데 적합한 재료 특성들을 갖는다. ARC 층(240)은 유기 재료 또는 무기 재료를 포함할 수도 있다. 예컨대, ARC 층(240)은 비결정질 탄소(a-C), a-FC, 또는 R:C:H:X의 구조식(여기서, R은 Si, Ge, B, Sn, Fe, Ti 및 그 조합으로 구성된 그룹으로부터 선택되고, X는 존재하지 않거나, O, N, S 및 F 중 하나 이상으로 구성된 그룹으로부터 선택된다)을 갖는 재료를 포함할 수도 있다. ARC 층(240)은, 대략 1.40 < n < 2.60의 굴절률과, 대략 0.01 < k < 0.78의 소광 계수(extinction coefficient)에 대한 광학적 범위를 나타내도록 제조될 수 있다. 또는, 굴절률과 소광율 중 적어도 하나는 ARC 층(240)의 두께를 따라 등급이 매겨질 수(grade)(또는 변할 수) 있다. 부가적인 상세는, International Business Machines Corporation에 양도된, 미국 특허 번호 제6,316,167호이며, 발명의 명칭이 "TUNABLE VAPOR DEPOSITED MATERIALS AS ANTIREFLECTIVE COATINGS, HARDMASKS AND AS COMBINED ANTIREFLECTIVE COATING/HARDMASKS AND METHODS OF FABRICATION THEREOF AND APPLICATION THEREOF"에 제공되며, 여기서 그 전체 내용이 전체로서 참조용으로 사용되었다.ARC layer 240 has material properties suitable for use as a semi-reflective coating. The ARC layer 240 may comprise an organic material or an inorganic material. For example, ARC layer 240 may be a structural formula of amorphous carbon (aC), a-FC, or R: C: H: X, where R is a group consisting of Si, Ge, B, Sn, Fe, Ti, and combinations thereof And X is absent or selected from the group consisting of one or more of O, N, S, and F). The ARC layer 240 can be fabricated to exhibit an optical range for an index of refraction of approximately 1.40 <n <2.60 and an extinction coefficient of approximately 0.01 <k <0.78. Alternatively, at least one of the index of refraction and extinction may be graded (or varied) along the thickness of the ARC layer 240. Additional details are US Pat. No. 6,316,167, assigned to International Business Machines Corporation, entitled " TUNABLE VAPOR DEPOSITED MATERIALS AS ANTIREFLECTIVE COATINGS, HARDMASKS AND AS COMBINED ANTIREFLECTIVE COATING / HARDMASKS AND METHODS OF FABRICATION THEREOF AND APP ", The entire contents of which are hereby incorporated by reference in their entirety.

또한, ARC 층(240)은 화학적 기상 증착(CVD)과 플라즈마 강화된 CVD(PECVD)를 포함하는 기상 증착 기술들을 사용하여 형성될 수 있다. 예컨대, ARC 층(240)은, 계류 중인 미국 특허 출원 제10/644,958호이며, 2003년 8월 21일에 출원되며, 발명의 명칭이 "METHOD AND APPARATUS FOR DEPOSITING MATERIALS WITH TUNABLE OPTICAL PROPERTIES AND ETCHING CHARACTERISTICS"에 보다 상세히 설명된 바와 같이, PECVD를 사용하여 형성될 수 있으며, 이 특허 출원은 그 전체 내용이 여기서 사용되었다. 굴절률과 같은 ARC 층(240)의 광학 특성들은 하지층 또는 하지층들의 광학 특성들과 실질적으로 일치하도록 선택될 수 있다. 예컨대, 비 다공성 유전체막들과 같은 하지층들은 1.4 < n < 2.6의 범위의 굴절률을 달성할 것을 요할 수 있 고, 다공성 유전체막들과 같은 하지층들은 1.2 < n < 2.6의 범위의 굴절률을 달성할 것을 요할 수 있다.ARC layer 240 may also be formed using vapor deposition techniques including chemical vapor deposition (CVD) and plasma enhanced CVD (PECVD). For example, ARC layer 240 is pending US patent application Ser. No. 10 / 644,958, filed on August 21, 2003, and entitled "METHOD AND APPARATUS FOR DEPOSITING MATERIALS WITH TUNABLE OPTICAL PROPERTIES AND ETCHING CHARACTERISTICS". As described in more detail in, it can be formed using PECVD, the patent application of which is used herein in its entirety. The optical properties of the ARC layer 240, such as refractive index, may be selected to substantially match the optical properties of the underlying layer or layers. For example, underlayers, such as nonporous dielectric films, may require achieving a refractive index in the range of 1.4 <n <2.6, while underlayers, such as porous dielectric films, achieve a refractive index in the range of 1.2 <n <2.6. It may cost you something to do.

포토레지스트층(250)은 248nm(나노미터) 레지스트, 193nm 레지스트, 157nm 레지스트 또는 EUV(extreme ultraviolet) 레지스트를 포함할 수도 있다. 포토레지스트층(250)은 트랙 시스템을 사용하여 형성될 수 있다. 예컨대, 트랙 시스템은 Tokyo Electron Limited(TEL)로부터 시판되는 Clean Track ACT 8, ACT 12 또는 Lithius 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상의 포토레지스트막을 형성하기 위한 다른 시스템들 및 방법들은 스핀-온 레지스트 기술의 당업자에 잘 공지되어 있다.The photoresist layer 250 may include 248 nm (nanometer) resist, 193 nm resist, 157 nm resist, or extreme ultraviolet (EUV) resist. Photoresist layer 250 may be formed using a track system. For example, the track system may include a Clean Track ACT 8, ACT 12 or Lithius resist coating and developing system available from Tokyo Electron Limited (TEL). Other systems and methods for forming a photoresist film on a substrate are well known to those skilled in the art of spin-on resist technology.

520 에서 그리고 도 2b 및 도 2c에 각각 도시된 바와 같이, 포토레지스트층(250)이 패터닝되고 현상된다. 도 2b에 도시된 바와 같이, 포토레지스트층(250)은 포토 리소그래피 시스템을 사용하여 이미지 패턴(252)으로 이미징된다. 레티클을 통한 EM 방사에의 노광은 건식 또는 습식 포토리소그래피 시스템에서 수행된다. 이미지 패턴은 임의의 적합한 종래의 스테핑 리소그래피 시스템 또는 스캐닝 리소그래피 시스템을 사용하여 형성될 수 있다. 예컨대, 포토리소그래피 시스템은 ASML Netherlands B.V.(De Run 6501, 5504 DR Veldhoven, The Netherlands), 또는 Canon USA, Inc., Semiconductor Equipment Division(3300 North First Street, San Jose, CA 95134)로부터 시판될 수도 있다.At 520 and as shown in FIGS. 2B and 2C, respectively, photoresist layer 250 is patterned and developed. As shown in FIG. 2B, photoresist layer 250 is imaged into image pattern 252 using a photolithography system. Exposure to EM radiation through the reticle is performed in a dry or wet photolithography system. The image pattern may be formed using any suitable conventional stepping lithography system or scanning lithography system. For example, a photolithography system may be commercially available from ASML Netherlands B.V. (De Run 6501, 5504 DR Veldhoven, The Netherlands), or Canon USA, Inc., Semiconductor Equipment Division (3300 North First Street, San Jose, CA 95134).

도 2c에 도시된 바와 같이, 노광된 포토레지스트층(250)에는, 이미지 패턴(252)을 제거하고, 포토레지스트층(250)에서 마스크 패턴(254)을 형성하기 위한 현상 프로세스가 실행된다. 현상 프로세스는, 트랙 시스템과 같은 현상 시스템에서 현상액에 기판을 노출시키는 단계를 포함할 수 있다. 예컨대, 트랙 시스템은 Tokyo Electron Limited(TEL)로부터 시판 가능한 Clean Tack ACT 8, ACT 12 또는 Lithius 레지스트 코팅 및 현상 시스템을 포함할 수 있다.As shown in FIG. 2C, a developing process for removing the image pattern 252 and forming the mask pattern 254 in the photoresist layer 250 is performed on the exposed photoresist layer 250. The developing process may include exposing the substrate to a developing solution in a developing system such as a track system. For example, the track system may include a Clean Tack ACT 8, ACT 12 or Lithius resist coating and development system commercially available from Tokyo Electron Limited (TEL).

530에서 그리고 도 2d에 도시된 바와 같이, 마스크 패턴(254)은 하지의 ARC 층(240)으로 부분적으로 트랜스퍼되어 ARC 패턴(242)을 형성한다. ARC 패턴(242)은 ARC 층(240)의 두께보다 작은 깊이까지 연장한다. 예컨대, 마스크 패턴(254)은, 건식 에칭 프로세스 또는 습식 에칭 프로세스와 같은 에칭 프로세스를 사용하여 하지의 ARC 층(240)으로 부분적으로 트랜스퍼될 수도 있다. 또는, 예컨대, 마스크 패턴(254)은 건식 플라즈마 에칭 프로세스 또는 건식 비 플라즈마 에칭 프로세스를 이용하여 하지의 ARC 층(240)으로 부분적으로 트랜스퍼될 수도 있다. 또는, 예컨대, 마스크 패턴(254)은, 이방성 건식 에칭 프로세스, 반응성 이온 에칭 프로세스, 레이저 원조 에칭 프로세스, 이온 밀링 프로세스, 또는 임프린팅(imprinting) 프로세스 또는 그 2 이상의 조합을 이용하여 하지의 ARC 층(240)으로 부분적으로 트랜스퍼될 수도 있다.At 530 and as shown in FIG. 2D, mask pattern 254 is partially transferred to underlying ARC layer 240 to form ARC pattern 242. The ARC pattern 242 extends to a depth smaller than the thickness of the ARC layer 240. For example, mask pattern 254 may be partially transferred to underlying ARC layer 240 using an etching process such as a dry etching process or a wet etching process. Alternatively, for example, mask pattern 254 may be partially transferred to underlying ARC layer 240 using a dry plasma etch process or a dry non-plasma etch process. Or, for example, the mask pattern 254 may be formed by using an anisotropic dry etching process, a reactive ion etching process, a laser assisted etching process, an ion milling process, or an imprinting process or a combination of two or more thereof. 240 may be partially transferred.

540에서, 포토레지스트층(250)이 제거된다. 예컨대, 포토레지스트층(250)은 습식 스트리핑(stripping) 프로세스, 건식 플라즈마 애싱 프로세스, 또는 건식 비 플라즈마 애싱 프로세스를 사용하여 제거될 수도 있다. 그 후, 도 2e에 도시된 바와 같이, 선택적인 제2 포토레지스트층(260)은 ARC 층(240) 상에 형성된다.At 540, photoresist layer 250 is removed. For example, photoresist layer 250 may be removed using a wet stripping process, a dry plasma ashing process, or a dry non-plasma ashing process. Thereafter, an optional second photoresist layer 260 is formed on the ARC layer 240, as shown in FIG. 2E.

선택적인 제2 포토레지스트층(260)은 248nm(나노미터) 레지스트, 193nm 레지 스트, 157nm 레지스트, 또는 EUV(extreme ultravolet) 레지스트를 포함할 수도 있다. 선택적인 제2 포토레지스트층(260)은 트랙 시스템을 사용하여 형성될 수 있다. 예컨대, 트랙 시스템은 Tokyo Electron Limited(TEL)으로부터 시판되는 Clean Track ACT 8, ACT 12 또는 Lithius 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트막을 형성하기 위한 다른 시스템들 및 방법들은 스핀-온 레지스트 기술의 당업자에게 잘 공지되어 있다.The optional second photoresist layer 260 may include 248 nm (nanometer) resist, 193 nm resist, 157 nm resist, or extreme ultravolet (EUV) resist. An optional second photoresist layer 260 may be formed using a track system. For example, the track system may include a Clean Track ACT 8, ACT 12 or Lithius resist coating and developing system available from Tokyo Electron Limited (TEL). Other systems and methods for forming a photoresist film on a substrate are well known to those skilled in the art of spin-on resist technology.

도 2f 및 도 2g에 각각 도시된 바와 같이, 선택적인 제2 포토레지스트층(260)은 선택적인 제2 이미지 패턴(262)으로 이미징되고, 노광된 선택적인 제2 포토레지스트층(260)에는, 선택적인 제2 이미지 패턴 영역을 제거하고, 선택적인 제2 포토레지스트층(260)에서 선택적인 제2 마스크 패턴(264)을 형성하기 위한 현상 프로세스가 실행된다.As shown in FIGS. 2F and 2G, respectively, the optional second photoresist layer 260 is imaged with the optional second image pattern 262, and the exposed optional second photoresist layer 260, A developing process is performed to remove the optional second image pattern region and to form the optional second mask pattern 264 in the optional second photoresist layer 260.

도 2h에 도시된 바와 같이, 선택적인 제2 마스크 패턴(264)은 하지의 ARC 층(240)에 부분적으로 트랜스퍼되어, 선택적인 제2 ARC 패턴(244)을 형성한다. 선택적인 제2 ARC 패턴(244)은 ARC 층(240)의 두께보다 작은 깊이까지 연장된다. 그 후, 도 2i에 도시된 바와 같이, 선택적인 제2 포토레지스트층(260)이 제거된다.As shown in FIG. 2H, an optional second mask pattern 264 is partially transferred to the underlying ARC layer 240 to form an optional second ARC pattern 244. The optional second ARC pattern 244 extends to a depth less than the thickness of the ARC layer 240. Thereafter, the optional second photoresist layer 260 is removed, as shown in FIG. 2I.

단일 층의 포토레지스트를 이용하여, ARC 층(240)에 이중 패턴, 다중 패턴을 형성하기 위하여 다른 기술들이 활용될 수도 있다. 예컨대, 포토레지스트의 단일 층은 이중 이미징된(double imaged) 후, 이 이중 패턴의 하지의 ARC 층으로의 부분 트랜스퍼에 이어서 제거될 수도 있다. 또는, 예컨대, 포토레지스트의 단일 층이 이미징되어 현상될 수도 있고, 이들 두 단계들은 포토레지스트의 동일한 층에 대하 여 반복될 수도 있다. 그 후, 이 이중 패턴의 하지의 ARC 층으로의 부분 트랜스퍼에 이어서 포토레지스트층이 제거될 수도 있다.Other techniques may be utilized to form a double pattern, multiple patterns in the ARC layer 240 using a single layer of photoresist. For example, a single layer of photoresist may be double imaged and then removed after partial transfer to the underlying ARC layer of this double pattern. Or, for example, a single layer of photoresist may be imaged and developed, and these two steps may be repeated for the same layer of photoresist. Thereafter, the photoresist layer may be removed following partial transfer of this double pattern to the underlying ARC layer.

550에서 그리고 도 2J에 도시된 바와 같이, ARC 층(240)을 얇게 하면서, ARC 패턴(242)과 선택적인 제2 ARC 패턴(244)의 ARC 층(240)으로의 트랜스퍼가 완료된다. 예컨대, ARC 패턴(242)과 선택적인 제2 ARC 패턴(244)은, 건식 에칭 프로세스 또는 습식 에칭 프로세스와 같은 에칭 프로세스를 사용하여 ARC 층(240)의 두께를 관통하여 실질적으로 트랜스퍼될 수도 있다. 또는, 예컨대, 에칭 프로세스는 건식 플라즈마 에칭 프로세스 또는 건식 비 플라즈마 에칭 프로세스를 포함할 수 있다. 실질적으로 ARC 층(240)을 통한 이 ARC 패턴(242)과 선택적인 제2 ARC 패턴(244)의 트랜스퍼 동안, 플랫-필드(flat-field)(246)가 에칭되어, ARC 층(240)의 두께가 감소된다.At 550 and as shown in FIG. 2J, the transfer of the ARC pattern 242 and the optional second ARC pattern 244 to the ARC layer 240 is complete while thinning the ARC layer 240. For example, the ARC pattern 242 and the optional second ARC pattern 244 may be substantially transferred through the thickness of the ARC layer 240 using an etching process, such as a dry etching process or a wet etching process. Or, for example, the etching process may include a dry plasma etching process or a dry non-plasma etching process. During the transfer of this ARC pattern 242 and the optional second ARC pattern 244 substantially through the ARC layer 240, the flat-field 246 is etched to form the ARC layer 240. The thickness is reduced.

560에서 그리고 도 2k에 도시된 바와 같이, ARC 패턴(242)과 선택적인 제2 ARC 패턴(244)은, 하나 이상의 에칭 프로세스를 이용하여 하지의 OPL(230)(존재시)과 박막(220)으로 트랜스퍼되어 특징 패턴(222)과 선택적인 제2 특징 패턴(224)을 형성한다. 하나 이상의 에칭 프로세스 동안, ARC 층(240)은 도 2k에 도시된 바와 같이 실질적으로 소모된다. 하나 이상의 에칭 프로세스들은 습식 또는 건식 에칭 프로세스들의 임의 조합을 포함할 수도 있다. 건식 에칭 프로세스들은 건식 플라즈마 에칭 프로세스 또는 건식 비 플라즈마 에칭 프로세스를 포함할 수도 있다. 그 후, OPL(230)(존재시)이 제거될 수도 있다.At 560 and as shown in FIG. 2K, the ARC pattern 242 and the optional second ARC pattern 244 can be used to form the underlying OPL 230 (if present) and the thin film 220 using one or more etching processes. Transfer to form a feature pattern 222 and an optional second feature pattern 224. During one or more etching processes, ARC layer 240 is substantially consumed as shown in FIG. 2K. One or more etching processes may include any combination of wet or dry etching processes. Dry etching processes may include a dry plasma etching process or a dry non-plasma etching process. Thereafter, the OPL 230 (if present) may be removed.

본 발명의 특정 실시예들만이 상기에 상세히 설명되었으나, 당업자는 본 발 명의 신규한 교시와 이점을 실질적으로 벗어나지 않고 많은 변형이 본 실시예들에서 가능하다는 것을 용이하게 이해할 것이다. 예컨대, 일부 실시예들이 포지티브 톤의 현상 가능한 레지스트와 현상 가능한 ARC 층들의 사용을 나타내고 있으나, 네거티브 톤의 현상 가능한 레지스트와 현상 가능한 ARC 층을 사용하는 다른 실시예들도 고려된다. 따라서, 이러한 모든 변형들은 본 발명의 범위 내에 포함되고자 한다.Although only specific embodiments of the invention have been described in detail above, those skilled in the art will readily appreciate that many variations are possible in the embodiments without substantially departing from the novel teachings and advantages of the invention. For example, while some embodiments illustrate the use of positive tone developable resist and developable ARC layers, other embodiments using negative tone developable resist and developable ARC layers are also contemplated. Accordingly, all such modifications are intended to be included within the scope of this invention.

도 1a 내지 도 1j는 기판 상의 박막을 패터닝하기 위한 공지된 방법을 개략적으로 도시한다.1A-1J schematically illustrate a known method for patterning a thin film on a substrate.

도 2a 내지 도 2k는 본 발명의 실시예에 따라 기판 상의 박막을 패터닝하기 위한 방법을 개략적으로 도시한다.2A-2K schematically illustrate a method for patterning a thin film on a substrate in accordance with an embodiment of the invention.

도 3은 본 발명의 실시예에 따라 기판 상의 박막을 패터닝하기 위한 방법의 흐름도이다.3 is a flowchart of a method for patterning a thin film on a substrate in accordance with an embodiment of the present invention.

Claims (16)

기판 상의 박막을 패터닝하는 방법으로서, As a method of patterning a thin film on a substrate, 상기 기판 상에, 상기 기판 상에 형성된 상기 박막, 상기 박막 상에 형성된 반-반사성 코팅(anti-reflective coating, ARC)층, 및 상기 ARC층 상에 형성된 마스크층을 포함하는 막 스택을 제공하는 단계; Providing a film stack on the substrate, the thin film formed on the substrate, an anti-reflective coating (ARC) layer formed on the thin film, and a mask layer formed on the ARC layer ; 상기 마스크층에 패턴을 형성하는 단계; Forming a pattern on the mask layer; 상기 패턴을 상기 ARC층의 두께보다 작은 깊이까지 트랜스퍼함으로써, 상기 패턴을 상기 ARC층으로 부분적으로 트랜스퍼(transfer)하는 단계; Partially transferring the pattern to the ARC layer by transferring the pattern to a depth less than the thickness of the ARC layer; 상기 패턴의 상기 ARC층으로의 상기 부분 트랜스퍼에 이어서, 상기 마스크층의 잔존부를 제거하는 단계; Following the partial transfer of the pattern to the ARC layer, removing remaining portions of the mask layer; 상기 ARC층을 에칭함으로써 상기 패턴을 상기 ARC 층으로 트랜스퍼하는 것을 완료하는 단계; 및 Completing transferring the pattern to the ARC layer by etching the ARC layer; And 상기 ARC층을 실질적으로 소모하면서 상기 패턴을 상기 박막으로 트랜스퍼하는 단계Transferring the pattern to the thin film while substantially consuming the ARC layer 를 포함하는, 기판 상의 박막을 패터닝하는 방법.Including, the method of patterning a thin film on a substrate. 제 1 항에 있어서, 상기 마스크층에 패턴을 형성하는 단계는 포토레지스트층에 패턴을 형성하는 단계를 포함하는 것인 기판 상의 박막을 패터닝하는 방법.2. The method of claim 1, wherein forming a pattern in the mask layer comprises forming a pattern in the photoresist layer. 제 2 항에 있어서, 상기 마스크층에 패턴을 형성하는 단계는,The method of claim 2, wherein the forming of the pattern on the mask layer comprises: 포토리소그래피 시스템을 사용하여 이미지 패턴으로 상기 포토레지스트층을 이미징하는 단계; 및Imaging the photoresist layer with an image pattern using a photolithography system; And 상기 포토레지스트층에 상기 이미지 패턴을 형성하기 위하여 상기 포토레지스트층을 현상하는 단계Developing the photoresist layer to form the image pattern on the photoresist layer 를 포함하는 것인 기판 상의 박막을 패터닝하는 방법.Method for patterning a thin film on a substrate comprising a. 제 1 항에 있어서, 상기 패턴을 상기 ARC 층으로 부분적으로 트랜스퍼하는 단계는, 건식 에칭 또는 습식 에칭 또는 그 조합 중 적어도 하나를 수행하는 단계를 포함하는 것인 기판 상의 박막을 패터닝하는 방법.The method of claim 1, wherein partially transferring the pattern to the ARC layer comprises performing at least one of a dry etch or a wet etch or a combination thereof. 제 4 항에 있어서, 상기 패턴을 상기 ARC 층으로 부분적으로 트랜스퍼하는 단계는, 건식 플라즈마 에칭, 건식 비 플라즈마 에칭, 또는 그 조합을 수행하는 단계를 포함하는 것인 기판 상의 박막을 패터닝하는 방법.The method of claim 4, wherein partially transferring the pattern to the ARC layer comprises performing a dry plasma etch, a dry non-plasma etch, or a combination thereof. 제 4 항에 있어서, 상기 패턴을 상기 ARC 층으로 부분적으로 트랜스퍼하는 단계는, 이방성 건식 에칭 프로세스, 반응성 이온 에칭 프로세스, 레이저 원조 에칭 프로세스, 이온 밀링 프로세스, 또는 임프린팅(imprinting) 프로세스 또는 그 2 이상의 조합을 수행하는 단계를 포함하는 것인 기판 상의 박막을 패터닝하는 방법.The method of claim 4, wherein partially transferring the pattern to the ARC layer comprises an anisotropic dry etching process, a reactive ion etching process, a laser assisted etching process, an ion milling process, or an imprinting process or two or more thereof. And performing the combination. 제 1 항에 있어서, 상기 패턴을 상기 ARC 층으로 트랜스퍼하는 것을 완료하는 단계는, 습식 에칭 프로세스 또는 건식 에칭 프로세스 또는 그 조합을 실행하는 단계를 포함하는 것인 기판 상의 박막을 패터닝하는 방법.The method of claim 1, wherein completing transferring the pattern to the ARC layer comprises performing a wet etching process or a dry etching process or a combination thereof. 제 1 항에 있어서, 상기 마스크층을 형성하는 단계는, 248nm 레지스트, 193nm 레지스트, 157nm 레지스트 또는 EUV(extreme ultraviolet) 레지스트, 또는 그 2 이상의 조합을 상기 ARC 층 상에 형성하는 단계를 포함하는 것인 기판 상의 박막을 패터닝하는 방법.The method of claim 1, wherein forming the mask layer comprises forming a 248 nm resist, a 193 nm resist, a 157 nm resist or an extreme ultraviolet (EUV) resist, or a combination of two or more thereof on the ARC layer. A method of patterning a thin film on a substrate. 제 1 항에 있어서, 상기 막 스택을 형성하는 단계는, 상기 박막 상에 유기 평탄화층(organic planarization layer, OPL)을 형성하는 단계와, 상기 OPL 상에 상기 ARC 층을 형성하는 단계를 더 포함하는 것인 기판 상의 박막을 패터닝하는 방법.The method of claim 1, wherein forming the film stack further comprises forming an organic planarization layer (OPL) on the thin film, and forming the ARC layer on the OPL. And patterning the thin film on the substrate. 제 9 항에 있어서, 상기 OPL을 형성하는 단계는, 폴리아크릴레이트 수지, 에폭시 수지, 페놀 수지, 폴리아미드 수지, 폴리이미드 수지, 불포화 폴리에스테르 수지, 폴리페닐렌에테르 수지, 폴리페닐렌설파이드 수지, 또는 벤조사이클로부텐(BCB), 또는 그 2 이상의 조합을 형성하는 단계를 포함하는 것인 기판 상의 박막을 패터닝하는 방법.The method of claim 9, wherein the forming of the OPL comprises: a polyacrylate resin, an epoxy resin, a phenol resin, a polyamide resin, a polyimide resin, an unsaturated polyester resin, a polyphenylene ether resin, a polyphenylene sulfide resin, Or benzocyclobutene (BCB), or a combination of two or more thereof. 제 9 항에 있어서, 상기 패턴을 상기 박막으로 트랜스퍼하는 단계 이전에, 상기 ARC 층에서의 상기 패턴을 상기 OPL에 트랜스퍼하는 단계를 더 포함하는 기판 상의 박막을 패터닝하는 방법.10. The method of claim 9, further comprising transferring the pattern in the ARC layer to the OPL prior to transferring the pattern to the thin film. 제 11 항에 있어서, 상기 ARC 층에서의 상기 패턴을 상기 OPL에 트랜스퍼하는 단계는 상기 패턴을 상기 OPL으로 에칭하는 단계를 포함하는 것인 기판 상의 박막을 패터닝하는 방법.12. The method of claim 11, wherein transferring the pattern in the ARC layer to the OPL comprises etching the pattern to the OPL. 제 9 항에 있어서, 상기 패턴을 상기 박막으로 트랜스퍼하는 단계에 이어서 상기 OPL을 제거하는 단계를 더 포함하는 기판 상의 박막을 패터닝하는 방법.10. The method of claim 9, further comprising removing the OPL following transferring the pattern to the thin film. 제 9 항에 있어서, 상기 ARC 층에서의 상기 패턴을 상기 OPL로 트랜스퍼하는 단계는 상기 ARC 층을 실질적으로 소모하는 것인 기판 상의 박막을 패터닝하는 방법.10. The method of claim 9, wherein transferring the pattern in the ARC layer to the OPL substantially consumes the ARC layer. 제 1 항에 있어서, 상기 ARC 층을 형성하는 단계는 유기층, 무기층 또는 양쪽 모두를 형성하는 단계를 포함하는 것인 기판 상의 박막을 패터닝하는 방법.The method of claim 1, wherein forming the ARC layer comprises forming an organic layer, an inorganic layer, or both. 제어 시스템에 실행하기 위한 프로그램 명령어들을 포함하는 컴퓨터 판독 가능한 매체로서, 상기 제어 시스템에 의하여 실행될 때, 패터닝 시스템이,A computer readable medium containing program instructions for executing on a control system, wherein the patterning system, when executed by the control system, 기판 상에, 상기 기판 상에 형성된 박막, 상기 박막 상에 형성된 반-반사성 코팅(ARC)층, 및 상기 ARC층 상에 형성된 마스크층을 포함하는 막 스택을 제공하는 단계; Providing a film stack on a substrate, the film stack comprising a thin film formed on the substrate, an anti-reflective coating (ARC) layer formed on the thin film, and a mask layer formed on the ARC layer; 상기 마스크층에 패턴을 형성하는 단계; Forming a pattern on the mask layer; 상기 패턴을 상기 ARC층의 두께보다 작은 깊이까지 트랜스퍼함으로써, 상기 패턴을 상기 ARC층으로 부분적으로 트랜스퍼하는 단계; Partially transferring the pattern to the ARC layer by transferring the pattern to a depth less than the thickness of the ARC layer; 상기 패턴의 상기 ARC층으로의 상기 부분적 트랜스퍼에 이어서, 상기 마스크층의 잔존부를 제거하는 단계; Subsequent to the partial transfer of the pattern to the ARC layer, removing remaining portions of the mask layer; 상기 ARC층을 에칭함으로써 상기 패턴을 상기 ARC 층으로 트랜스퍼하는 것을 완료하는 단계; 및 Completing transferring the pattern to the ARC layer by etching the ARC layer; And 상기 ARC층을 실질적으로 소모하면서 상기 패턴을 상기 박막으로 트랜스퍼하는 단계Transferring the pattern to the thin film while substantially consuming the ARC layer 를 수행하도록 하는 프로그램 명령어들을 포함하는 컴퓨터 판독 가능한 매체.Computer-readable medium containing program instructions for causing the computer to execute the program.
KR1020070096502A 2006-09-22 2007-09-21 Method of patterning an anti-reflective coating by partial etching KR20080027200A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/534,420 US20080073321A1 (en) 2006-09-22 2006-09-22 Method of patterning an anti-reflective coating by partial etching
US11/534,420 2006-09-22

Publications (1)

Publication Number Publication Date
KR20080027200A true KR20080027200A (en) 2008-03-26

Family

ID=39223816

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070096502A KR20080027200A (en) 2006-09-22 2007-09-21 Method of patterning an anti-reflective coating by partial etching

Country Status (5)

Country Link
US (1) US20080073321A1 (en)
JP (1) JP2008078649A (en)
KR (1) KR20080027200A (en)
CN (1) CN101150052A (en)
TW (1) TW200818261A (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4930095B2 (en) * 2007-02-22 2012-05-09 富士通株式会社 Wet etching method and semiconductor device manufacturing method
US8153351B2 (en) * 2008-10-21 2012-04-10 Advanced Micro Devices, Inc. Methods for performing photolithography using BARCs having graded optical properties
CN102054684B (en) * 2009-11-10 2012-10-03 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor structure
CN102667362B (en) * 2009-12-01 2016-06-29 西门子聚集太阳能有限公司 Be heated organ pipe, manufacture the method for the organ pipe that is heated, there is the purposes receiving the paraboloid trough type heat collector of organ pipe and paraboloid trough type heat collector
JP6040089B2 (en) * 2013-04-17 2016-12-07 富士フイルム株式会社 Resist removing liquid, resist removing method using the same, and photomask manufacturing method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753417A (en) * 1996-06-10 1998-05-19 Sharp Microelectronics Technology, Inc. Multiple exposure masking system for forming multi-level resist profiles
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US7364832B2 (en) * 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
KR100611151B1 (en) * 2003-11-27 2006-08-09 삼성에스디아이 주식회사 Thin Film Transistors and method of manufacturing thereof
US7265056B2 (en) * 2004-01-09 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming novel BARC open for precision critical dimension control
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
KR100598105B1 (en) * 2004-06-17 2006-07-07 삼성전자주식회사 Method of forming semiconductor patterns
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7579137B2 (en) * 2005-12-24 2009-08-25 International Business Machines Corporation Method for fabricating dual damascene structures
US20070166648A1 (en) * 2006-01-17 2007-07-19 International Business Machines Corporation Integrated lithography and etch for dual damascene structures
US20080020327A1 (en) * 2006-07-19 2008-01-24 International Business Machines Corporation Method of formation of a damascene structure
US7432191B1 (en) * 2007-03-30 2008-10-07 Tokyo Electron Limited Method of forming a dual damascene structure utilizing a developable anti-reflective coating

Also Published As

Publication number Publication date
TW200818261A (en) 2008-04-16
CN101150052A (en) 2008-03-26
JP2008078649A (en) 2008-04-03
US20080073321A1 (en) 2008-03-27

Similar Documents

Publication Publication Date Title
US7432191B1 (en) Method of forming a dual damascene structure utilizing a developable anti-reflective coating
US20090311634A1 (en) Method of double patterning using sacrificial structure
US7855154B2 (en) Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
KR101044984B1 (en) Structure comprising tunable anti-reflective coating and method of forming thereof
US7012022B2 (en) Self-patterning of photo-active dielectric materials for interconnect isolation
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
TW200938950A (en) Fine pattern mask, process for producing the same, and process for forming fine pattern by using the same
US7767386B2 (en) Method of patterning an organic planarization layer
US7862985B2 (en) Method for double patterning a developable anti-reflective coating
KR20210018548A (en) Patterning method to improve EUV resist and hard mask selectivity
US20080020327A1 (en) Method of formation of a damascene structure
US6858542B2 (en) Semiconductor fabrication method for making small features
KR20080027200A (en) Method of patterning an anti-reflective coating by partial etching
US7932017B2 (en) Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US7883835B2 (en) Method for double patterning a thin film
JP2003163265A (en) Wiring structure and its manufacturing method
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
US7642184B2 (en) Method for dual damascene process
KR20130108300A (en) Method for high aspect ratio patterning in spin-on layer
US7858293B2 (en) Method for double imaging a developable anti-reflective coating

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid