KR20080026832A - Methods of forming fine pattern of semiconductor device - Google Patents

Methods of forming fine pattern of semiconductor device Download PDF

Info

Publication number
KR20080026832A
KR20080026832A KR1020060091894A KR20060091894A KR20080026832A KR 20080026832 A KR20080026832 A KR 20080026832A KR 1020060091894 A KR1020060091894 A KR 1020060091894A KR 20060091894 A KR20060091894 A KR 20060091894A KR 20080026832 A KR20080026832 A KR 20080026832A
Authority
KR
South Korea
Prior art keywords
sacrificial patterns
sacrificial
forming
patterns
spacers
Prior art date
Application number
KR1020060091894A
Other languages
Korean (ko)
Inventor
서형원
김윤기
조경용
이상욱
전상민
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060091894A priority Critical patent/KR20080026832A/en
Publication of KR20080026832A publication Critical patent/KR20080026832A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A method for forming a fine pattern of a semiconductor device is provided to reduce a production cost by eliminating an exposure apparatus using a short wavelength light source and a photoresist material corresponding to the exposure apparatus. An etch target layer is formed on a semiconductor substrate. A plurality of first sacrificial patterns(110") having a line and space structure are formed on the etch target layer. A plurality of first spacers(120) are formed to cover sidewalls of the first sacrificial patterns. A plurality of second sacrificial patterns(125) are formed to fill up empty spaces between the first sacrificial patterns. A plurality of third sacrificial patterns(130) having the line and space structure are formed across the first sacrificial patterns on the substrate having the second sacrificial patterns. A plurality of second spacers(135) are formed to cover sidewalls of the third sacrificial patterns. A mask pattern including the first and second spacers is formed by removing the third sacrificial patterns and the exposed first and second sacrificial patterns.

Description

반도체소자의 미세패턴 형성방법{Methods of forming fine pattern of semiconductor device}Method of forming fine pattern of semiconductor device

도 1a 내지 도 7a는 본 발명의 실시예들에 따른 반도체소자의 미세패턴 형성방법을 설명하기 위한 평면도들이다. 1A through 7A are plan views illustrating a method of forming a fine pattern of a semiconductor device according to example embodiments.

도 1b 내지 도 7b는 각각 도 1a 내지 도 7a의 절단선 I-I'에 따른 단면도들이다. 1B to 7B are cross-sectional views taken along the line II ′ of FIG. 1A to 7A, respectively.

도 6c 및 도 7c는 각각 도 6a 및 도 7a의 절단선 Ⅱ-Ⅱ'에 따른 단면도들이다.6C and 7C are cross-sectional views taken along the line II-II 'of FIGS. 6A and 7A, respectively.

도 6d 및 도 7d는 각각 도 6a 및 도 7a의 절단선 Ⅲ-Ⅲ'에 따른 단면도들이다.6D and 7D are sectional views taken along the line III-III 'of FIGS. 6A and 7A, respectively.

본 발명은 반도체소자의 제조방법에 관한 것으로, 특히 반도체소자의 미세패턴 형성방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for forming a fine pattern of a semiconductor device.

최근, 반도체소자의 고집적화 경향에 따라, 노광 시 패턴 분해능(pattern resolution)을 증대시키는 방법이 요구되고 있다. 통상 알려진 레일레이 공 식(rayleigh' equation)에 따라 분해능(Resolution)이 결정될 수 있다. 상기 분해능을 높이기 위하여 짧은 파장을 갖는 광원을 끊임없이 개발하고 있다. 예를 들면, 436nm 파장의 G-라인, 365nm 파장의 I-라인, 248nm 파장의 KrF 레이저, 193nm 파장의 ArF 레이저 및 157 nm의 F2 레이저를 광원으로서 사용하게 되는 순으로 포토 공정을 개발하고 있다. 또한, X-선 및 전자빔을 광원으로서 이용하게 되는 공정이 개발되고 있다. 이와 같이, 광원의 단파장에 따른 광원의 개발과 더불어, 그에 상응하는 포토레지스트를 개발하는 것은 필수적이다. 그러나, 새로운 광원의 개발 및 그에 상응하는 포토레지스트를 개발하는 것은 많은 개발비용이 소요된다. Recently, in accordance with the trend toward higher integration of semiconductor devices, a method of increasing pattern resolution during exposure has been demanded. The resolution can be determined according to the commonly known rayleigh 'equation. In order to increase the resolution, light sources having a short wavelength are constantly being developed. For example, photo processes are being developed in order to use G-line of 436 nm wavelength, I-line of 365 nm wavelength, KrF laser of 248 nm wavelength, ArF laser of 193 nm wavelength and F2 laser of 157 nm in order. In addition, a process for utilizing X-rays and electron beams as light sources has been developed. As such, it is essential to develop a photoresist corresponding to the development of the light source according to the short wavelength of the light source. However, development of a new light source and corresponding photoresist cost a lot of development cost.

미세패턴을 형성하는 방법이 미국특허 제 5,686,223호에 "리소그래피 피치를 감소시키는 방법{Method for reduced pitch lithography}" 이라는 제목으로 클리브(Cleeves)에 의하여 개시된 바 있다. 클리브(Cleeves)에 의하면, 두 번의 포토공정을 진행하여 제1 및 제2 포토레지스트 패턴들을 형성한다. 구체적으로, 제1 포토 공정을 진행하여 기판 상에 제1 포토레지스트 패턴을 형성하고, 상기 제1 포토레지스트 패턴을 안정화시킨 후, 상기 제1 포토레지스트 패턴을 갖는 기판 상에 제2 포토레지스트 패턴을 형성한다. 이와 같이, 두 번의 포토 공정에 의하여 형성된 포토레지스트 패턴들은 감소된 피치를 갖는다. 그러나, 반도체소자의 집적도가 향상되는 최근의 경향에 따라, 피치는 더욱 감소되고 있다. 그 결과, 두 번의 포토 공정 시 두 번째로 진행되는 포토 공정에 의하여 형성되는 제2 포토레지스트 패턴을 형성할 경우에, 미스 얼라인에 의하여 상기 제2 포토레지스트 패턴을 원하는 위치에 정확히 형성하는데 문제가 발생될 수 있다. 특히, 균일한 간격의 포토레지스트 패 턴들이 요구되는 경우에, 문제가 발생될 수 있다. A method of forming a micropattern has been disclosed by Cleeves in US Pat. No. 5,686,223 entitled "Method for reduced pitch lithography." According to cleaves, two photo processes are performed to form first and second photoresist patterns. Specifically, after performing a first photo process to form a first photoresist pattern on the substrate, the first photoresist pattern is stabilized, a second photoresist pattern on the substrate having the first photoresist pattern Form. As such, the photoresist patterns formed by the two photo processes have a reduced pitch. However, with the recent trend in that the degree of integration of semiconductor devices is improved, the pitch is further reduced. As a result, when forming the second photoresist pattern formed by the second photo process during two photo processes, there is a problem in accurately forming the second photoresist pattern at a desired position by misalignment. Can be generated. In particular, problems may arise when uniformly spaced photoresist patterns are required.

따라서, 피치가 감소됨에 따라 단파장의 광원을 사용하는 포토리소그래피 장비 및 그에 상응하는 포토레지스트 물질을 사용해야 한다. 그러나, 기존 공정에 사용되는 포토리소그래피 장비를 대체하기 위하여 새로운 포토리소그래피 장비를 개발하는 것은 많은 비용 및 시간이 소요된다. 그러므로, 기존 공정에 사용되는 포토리소그래피 장비를 그대로 이용하여 미세패턴들을 형성할 수 있는 방법들에 대한 연구가 요구되고 있다.Therefore, as the pitch is reduced, photolithography equipment using short wavelength light sources and corresponding photoresist materials must be used. However, developing new photolithography equipment to replace the photolithography equipment used in the existing process is expensive and time-consuming. Therefore, there is a need for researches on methods for forming fine patterns using photolithography equipment used in existing processes.

본 발명이 이루고자 하는 기술적 과제는 기존 공정에 사용되는 포토리소그래피 장비를 그대로 이용하여 한계해상 이하의 폭을 갖는 미세패턴들을 형성할 수 있는 반도체소자의 미세패턴 형성방법을 제공함에 있다.An object of the present invention is to provide a method of forming a fine pattern of a semiconductor device capable of forming fine patterns having a width less than or equal to the limit resolution by using photolithography equipment used in an existing process as it is.

본 발명의 일 양태에 따르면, 반도체소자의 미세패턴 형성방법을 제공한다. 이 방법은 반도체 기판 상에 피식각막을 형성하는 것을 포함한다. 상기 피식각막 상에 라인 및 스페이스 구조의 제 1 희생 패턴들을 형성한다. 상기 제 1 희생 패턴들의 측벽들을 덮는 제 1 스페이서들을 형성한다. 이웃하는 상기 제 1 스페이서들 사이의 빈공간을 채우는 제 2 희생 패턴들을 형성한다. 상기 제 2 희생 패턴들을 갖는 기판 상에 상기 제 1 희생 패턴들과 교차하는 라인 및 스페이스 구조의 제 3 희생 패턴들을 형성한다. 상기 제 3 희생 패턴들의 측벽들을 덮는 제 2 스페이서들을 형성한다. 상기 제 3 희생 패턴들 및 노출된 상기 제 1 및 제 2 희생 패턴들을 제거하여 상기 제 1 및 제 2 스페이서들로 구성된 마스크 패턴을 형성한다.According to an aspect of the present invention, a method of forming a fine pattern of a semiconductor device is provided. The method includes forming an etched film on a semiconductor substrate. First sacrificial patterns of a line and a space structure are formed on the etched film. First spacers covering sidewalls of the first sacrificial patterns are formed. Second sacrificial patterns may be formed to fill an empty space between neighboring first spacers. Third sacrificial patterns having a line and space structure intersecting the first sacrificial patterns are formed on the substrate having the second sacrificial patterns. Second spacers may be formed to cover sidewalls of the third sacrificial patterns. The third sacrificial patterns and the exposed first and second sacrificial patterns are removed to form a mask pattern formed of the first and second spacers.

본 발명의 몇몇 실시예들에서, 상기 제 1 희생 패턴들의 라인 및 스페이스 비는 1:3일 수 있다.In some embodiments of the present invention, the line and space ratio of the first sacrificial patterns may be 1: 3.

다른 실시예들에서, 상기 제 1 희생 패턴들은 한계해상 이하의 폭을 갖도록 형성될 수 있다.In other embodiments, the first sacrificial patterns may be formed to have a width less than or equal to the limit resolution.

또 다른 실시예들에서, 상기 제 1 희생 패턴들을 형성하는 것은 상기 피식각막 상에 제 1 희생막을 형성하고, 상기 제 1 희생막 상에 포토레지스트막을 형성하고, 상기 포토레지스트막을 패터닝하여 라인 및 스페이스 구조의 포토레지스트 패턴을 형성하되, 상기 라인 및 스페이스의 비가 1.5:2.5 또는 2:2가 되도록 형성하고, 상기 포토레지스트 패턴을 마스크로 이용하여 상기 제 1 희생막을 식각하여 예비 제1 희생 패턴들을 형성하고, 상기 포토레지스트 패턴을 제거하고, 상기 예비 제1 희생 패턴들을 전면식각(full etching)하여 라인 및 스페이스의 비를 1:3으로 형성하는 것을 포함할 수 있다. 이때, 상기 포토레지스트 패턴 및 상기 예비 제1 희생 패턴들은 한계해상 폭을 가질 수 있다.In example embodiments, the forming of the first sacrificial patterns may include forming a first sacrificial layer on the etched layer, forming a photoresist layer on the first sacrificial layer, and patterning the photoresist layer to form lines and spaces. A photoresist pattern having a structure is formed, wherein the ratio of the line and the space is 1.5: 2.5 or 2: 2, and the first sacrificial layer is etched using the photoresist pattern as a mask to form preliminary first sacrificial patterns. The photoresist pattern may be removed, and the preliminary first sacrificial patterns may be fully etched to form a 1: 3 ratio of lines and spaces. In this case, the photoresist pattern and the preliminary first sacrificial patterns may have a marginal resolution width.

또 다른 실시예들에서, 상기 제 1 스페이서들은 상기 제 1 희생 패턴들의 폭과 동일한 폭을 갖도록 형성될 수 있다.In other embodiments, the first spacers may be formed to have the same width as the width of the first sacrificial patterns.

또 다른 실시예들에서, 상기 제 2 희생 패턴들을 형성하는 것은 상기 제 1 스페이서들을 갖는 기판 상에 제 2 희생막을 형성하고, 상기 제 1 스페이서들 및 상기 제 1 희생 패턴들의 상부면이 노출될때까지 상기 제 2 희생막을 평탄화하는 것을 포함할 수 있다.In still other embodiments, forming the second sacrificial patterns may form a second sacrificial layer on the substrate having the first spacers, and may expose the first spacers and the top surfaces of the first sacrificial patterns. And planarizing the second sacrificial layer.

또 다른 실시예들에서, 상기 제 1 희생 패턴들 및 상기 제 3 희생 패턴들의 교차각은 45도 내지 90도일 수 있다.In other embodiments, the crossing angle between the first sacrificial patterns and the third sacrificial patterns may be 45 degrees to 90 degrees.

또 다른 실시예들에서, 상기 제 3 희생 패턴들의 라인 및 스페이스 비는 1:3일 수 있다. In still other embodiments, the line and space ratio of the third sacrificial patterns may be 1: 3.

또 다른 실시예들에서, 상기 제 3 희생 패턴들은 한계해상 이하의 폭을 갖도록 형성될 수 있다. In still other embodiments, the third sacrificial patterns may be formed to have a width less than or equal to the limit resolution.

또 다른 실시예들에서, 상기 제 3 희생 패턴들을 형성하는 것은 상기 제 2 희생 패턴들을 갖는 기판 상에 제 3 희생막을 형성하고, 상기 제 3 희생막 상에 포토레지스트막을 형성하고, 상기 포토레지스트막을 패터닝하여 라인 및 스페이스 구조의 포토레지스트 패턴을 형성하되, 상기 라인 및 스페이스의 비가 1.5:2.5 또는 2:2가 되도록 형성하고, 상기 포토레지스트 패턴을 마스크로 이용하여 상기 제 3 희생막을 식각하여 예비 제3 희생 패턴들을 형성하고, 상기 포토레지스트 패턴을 제거하고, 상기 예비 제3 희생 패턴들을 전면식각(full etching)하여 라인 및 스페이스의 비를 1:3으로 형성하는 것을 포함할 수 있다. 이때, 상기 포토레지스트 패턴 및 상기 예비 제3 희생 패턴들은 한계해상 폭을 가질 수 있다.In example embodiments, the forming of the third sacrificial patterns may include forming a third sacrificial layer on the substrate having the second sacrificial patterns, forming a photoresist layer on the third sacrificial layer, and forming the photoresist layer. Patterning to form a photoresist pattern having a line and space structure, wherein the ratio of the line and space is 1.5: 2.5 or 2: 2, and the third sacrificial layer is etched using the photoresist pattern as a mask to form a preliminary The method may include forming sacrificial patterns, removing the photoresist pattern, and performing full etching on the preliminary third sacrificial patterns to form a 1: 3 ratio of lines and spaces. In this case, the photoresist pattern and the preliminary third sacrificial patterns may have a marginal resolution width.

또 다른 실시예들에서, 상기 제 2 스페이서들은 상기 제 3 희생 패턴들의 폭과 동일한 폭을 갖도록 형성될 수 있다.In other embodiments, the second spacers may be formed to have the same width as that of the third sacrificial patterns.

또 다른 실시예들에서, 상기 마스크 패턴을 식각마스크로 이용하여 상기 피식각막을 식각하여 미세패턴들을 형성하는 것을 더 포함할 수 있다.In another example embodiment, the method may further include forming fine patterns by etching the etched layer using the mask pattern as an etching mask.

또 다른 실시예들에서, 상기 제 1 희생 패턴들을 형성하기 전에, 상기 피식 각막 상에 보호막을 형성하는 것을 더 포함할 수 있다. 이때, 상기 보호막은 상기 제 1 스페이서들과 동일 물질막으로 형성될 수 있다.In still other embodiments, before forming the first sacrificial patterns, the method may further include forming a protective film on the to-be-etched cornea. In this case, the passivation layer may be formed of the same material layer as the first spacers.

또 다른 실시예들에서, 상기 제 1 및 제 2 스페이서들은 동일 물질막으로 형성할 수 있다.In other embodiments, the first and second spacers may be formed of the same material layer.

또 다른 실시예들에서, 상기 제 1, 제 2 및 제 3 희생 패턴들은 동일 물질막으로 형성할 수 있다.In other embodiments, the first, second and third sacrificial patterns may be formed of the same material layer.

또 다른 실시예들에서, 상기 제 1 및 제 2 스페이서들은 상기 제 1, 제 2 및 제 3 희생 패턴들에 대해 식각선택비가 있는 물질막으로 형성할 수 있다.In example embodiments, the first and second spacers may be formed of a material layer having an etch selectivity with respect to the first, second and third sacrificial patterns.

또 다른 실시예들에서, 상기 제 1, 제 2 및 제 3 희생 패턴들, 및 상기 스페이서들은 상기 피식각막에 대해 식각선택비가 있는 물질막으로 형성할 수 있다.In example embodiments, the first, second and third sacrificial patterns and the spacers may be formed of a material layer having an etch selectivity with respect to the etched film.

이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명한다. 그러나, 본 발명은 여기서 설명 되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예들은 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당 업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하여 위하여 과장 되어진 것이다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the embodiments described herein and may be embodied in other forms. Rather, the embodiments introduced herein are provided so that the disclosure may be made thorough and complete, and to fully convey the spirit of the present invention to those skilled in the art. In the drawings, the thicknesses of layers and regions are exaggerated for clarity. Like numbers refer to like elements throughout.

도 1a 내지 도 7a는 본 발명의 실시예들에 따른 반도체소자의 미세패턴 형성방법을 설명하기 위한 평면도들이다. 또한, 도 1b 내지 도 7b는 각각 도 1a 내지 도 7a의 절단선 I-I'에 따른 단면도들이다. 또한, 도 6c 및 도 7c는 각각 도 6a 및 도 7a의 절단선 Ⅱ-Ⅱ'에 따른 단면도들이며, 도 6d 및 도 7d는 각각 도 6a 및 도 7a의 절단선 Ⅲ-Ⅲ'에 따른 단면도들이다.1A through 7A are plan views illustrating a method of forming a fine pattern of a semiconductor device according to example embodiments. 1B to 7B are cross-sectional views taken along the line II ′ of FIG. 1A to FIG. 7A, respectively. 6C and 7C are cross-sectional views taken along the cutting line II-II 'of FIGS. 6A and 7A, respectively, and FIGS. 6D and 7D are cross-sectional views taken along the cutting line III-III' of FIGS. 6A and 7A, respectively.

도 1a 및 도 1b를 참조하면, 반도체기판(100) 상에 피식각막(105)을 형성한다. 상기 피식각막(105) 상에 보호막(107)을 형성할 수 있다. 상기 보호막(107)은 이후 마스크 제작 공정에서 상기 피식각막(105)이 식각되는 것을 방지하기 위해 형성할 수 있다. 상기 보호막(107)은 상기 피식각막(105)과 식각선택비가 있는 물질막으로 형성할 수 있다. 예를 들어, 상기 보호막(107)은 실리콘 질화막일 수 있다. 또는 이와달리, 상기 보호막(107) 형성 단계를 생략할 수 있다.1A and 1B, an etched film 105 is formed on the semiconductor substrate 100. A protective film 107 may be formed on the etched film 105. The passivation layer 107 may be formed in order to prevent the etching layer 105 from being etched in a subsequent mask fabrication process. The passivation layer 107 may be formed of a material film having an etching selectivity with the etched film 105. For example, the passivation layer 107 may be a silicon nitride layer. Alternatively, the forming of the passivation layer 107 may be omitted.

상기 보호막(107)을 갖는 기판 상에 제 1 희생막(110)을 형성할 수 있다. 상기 제 1 희생막(110)은 산화막으로 형성할 수 있다. 상기 제 1 희생막(110) 상에 포토레지스트막을 형성한다. 상기 포토레지스트막을 패터닝하여 라인 및 스페이스 구조의 포토레지스트 패턴(115)을 형성할 수 있다. 이때, 상기 라인 폭(W1) 및 스페이스 폭(W2)의 비가 1.5:2.5가 되도록 형성할 수 있다. 또는 상기 라인 폭(W1) 및 스페이스 폭(W2)의 비를 2:2가 되도록 형성할 수 도 있다. 이때, 상기 포토레지스트 패턴들(115)은 한계해상 폭을 가질 수 있다. 다시 말해, 상기 포토레지스트 패턴들(115)의 상기 라인 폭(W1)이 한계해상 폭일 수 있다. 상기 포토레지스트 패턴들(115)의 상기 라인 폭(W1)은 노광장비의 광원이 단파장일수록 한계해상 폭이 감소될 수 있다. 본 발명에서는 고가의 노광장비를 이용해서 한계해상 폭을 감소시키는 것이 목적이 아니므로 기존 공정에 사용하던 노광장비를 그대로 이용할 수 있다.The first sacrificial layer 110 may be formed on the substrate having the passivation layer 107. The first sacrificial layer 110 may be formed of an oxide layer. A photoresist layer is formed on the first sacrificial layer 110. The photoresist layer may be patterned to form photoresist patterns 115 having a line and space structure. In this case, the ratio of the line width W1 and the space width W2 may be 1.5: 2.5. Alternatively, the ratio of the line width W1 and the space width W2 may be 2: 2. In this case, the photoresist patterns 115 may have a limit resolution width. In other words, the line width W1 of the photoresist patterns 115 may be the limit resolution width. As the line width W1 of the photoresist patterns 115 is shorter in wavelength, the limit resolution width may be reduced. In the present invention, since the objective is not intended to reduce the marginal resolution width by using expensive exposure equipment, the exposure equipment used in the existing process may be used as it is.

도 2a 및 도 2b를 참조하면, 상기 포토레지스트 패턴들(115)을 마스크로 이용하여 상기 제 1 희생막(110)을 식각하여 예비 제1 희생 패턴들(110')을 형성한다. 이어, 상기 포토레지스트 패턴들(115)을 제거한다. 2A and 2B, the first sacrificial layer 110 is etched using the photoresist patterns 115 as a mask to form preliminary first sacrificial patterns 110 ′. Next, the photoresist patterns 115 are removed.

도 3a 및 도 3b를 참조하면, 상기 예비 제1 희생 패턴들(110')을 전면식각(full etching)하여 제1 희생 패턴들(110")을 형성할 수 있다. 상기 전면식각 공정은 습식 식각 또는 건식 식각으로 진행될 수 있다. 상기 제1 희생 패턴들(110")의 라인 폭(W') 및 스페이스 폭(W2')의 비를 1:3으로 형성할 수 있다. 따라서, 상기 제1 희생 패턴들(110")의 상기 라인 폭(W1')은 한계해상 이하일 수 있다. 3A and 3B, the preliminary first sacrificial patterns 110 ′ may be fully etched to form first sacrificial patterns 110 ″. The front etching process may be a wet etching process. Alternatively, the process may be performed by dry etching. A ratio of the line width W ′ and the space width W2 ′ of the first sacrificial patterns 110 ″ may be 1: 3. Therefore, the line width W1 ′ of the first sacrificial patterns 110 ″ may be equal to or less than the limit resolution.

도 4a 및 도 4b를 참조하면, 상기 제 1 희생 패턴들(110")을 갖는 기판 상에 제 1 스페이서막(도시하지 않음)을 형성할 수 있다. 상기 제 1 스페이서막을 에치백하여 상기 제 1 희생 패턴들(110")의 측벽들을 덮는 제 1 스페이서들(120)을 형성한다. 상기 제 1 스페이서들(120)의 폭(W3)은 상기 제 1 희생 패턴들(110")의 상기 라인 폭(W1') 동일할 수 있다. 그 결과, 상기 제 1 희생 패턴들(110")의 상기 라인 폭(W1'), 상기 제 1 스페이서들(120)의 폭(W3) 및 이웃하는 상기 제 1 스페이서들(120) 사이의 스페이스의 폭(W2")은 모두 동일할 수 있다. 상기 제 1 스페이서들(120)은 상기 보호막(107)과 동일 물질막으로 형성할 수 있다. 상기 제 1 스페이서들(120)은 상기 제 1 희생 패턴들(110")과 식각선택비를 가질 수 있다. 또한, 상기 제 1 스페이서들(120)은 상기 피식각막(105)과 식각선택비를 가질 수 있다. 상기 제 1 스페이서들(120)은 실리콘 질화막으로 형성할 수 있다. 4A and 4B, a first spacer layer (not shown) may be formed on a substrate having the first sacrificial patterns 110 ″. The first spacer layer may be etched back to form the first spacer layer. First spacers 120 may be formed to cover sidewalls of the sacrificial patterns 110 ″. The width W3 of the first spacers 120 may be equal to the line width W1 'of the first sacrificial patterns 110 ". As a result, the first sacrificial patterns 110". The line width W1 ′, the width W3 of the first spacers 120, and the width W2 ″ of the space between the neighboring first spacers 120 may be the same. The first spacers 120 may be formed of the same material layer as the passivation layer 107. The first spacers 120 may have an etching selectivity with the first sacrificial patterns 110 ". . In addition, the first spacers 120 may have an etching selectivity with the etched film 105. The first spacers 120 may be formed of silicon nitride.

도 5a 및 도 5b를 참조하면, 상기 제 1 스페이서들(120)을 갖는 기판 상에 제 2 희생막(도시하지 않음)을 형성할 수 있다. 이때, 상기 제 2 희생막은 이웃하는 상기 제 1 스페이서들(120) 사이의 빈공간을 채우도록 형성한다. 상기 제 1 스페이서들(120) 및 상기 제 1 희생 패턴들(110")의 상부면이 노출될때까지 상기 제 2 희생막을 평탄화하여 제 2 희생 패턴들(125)을 형성한다. 상기 제 2 희생 패턴들(125)은 상기 제 1 희생 패턴들(110")과 동일한 물질막일 수 있다.5A and 5B, a second sacrificial layer (not shown) may be formed on a substrate having the first spacers 120. In this case, the second sacrificial layer is formed to fill the empty space between the adjacent first spacers 120. The second sacrificial layer is planarized to form second sacrificial patterns 125 until the upper surfaces of the first spacers 120 and the first sacrificial patterns 110 ″ are exposed. The second sacrificial patterns 125 are formed. The fields 125 may be the same material layer as the first sacrificial patterns 110 ″.

도 6a, 도 6b, 도 6c 및 도 6d를 참조하면, 상기 제 2 희생 패턴들(125)을 갖는 기판 상에 상기 제 1 희생 패턴들(110")과 교차하는 라인 및 스페이스 구조의 제 3 희생 패턴들(130)을 형성한다. 상기 제 1 희생 패턴들(110") 및 상기 제 3 희생 패턴들(130)의 교차각(α)은 45도 내지 90도일 수 있다. 상기 제 3 희생 패턴들(130)의 라인 폭 및 스페이스 폭의 비는 1:3일 수 있다. 상기 제 3 희생 패턴들(130)은 한계해상 이하의 폭을 갖도록 형성될 수 있다. 상기 제 3 희생 패턴들(130)은 상기 제 1 및 제 2 희생 패턴들(110",125)과 동일한 물질막일 수 있다. 상기 제 3 희생 패턴들(130)은 산화막일 수 있다. 6A, 6B, 6C, and 6D, a third sacrificial material of a line and space structure intersecting the first sacrificial patterns 110 ″ on a substrate having the second sacrificial patterns 125 is present. Patterns 130. An intersection angle α between the first sacrificial patterns 110 ″ and the third sacrificial patterns 130 may be 45 degrees to 90 degrees. The ratio of the line width and the space width of the third sacrificial patterns 130 may be 1: 3. The third sacrificial patterns 130 may be formed to have a width less than or equal to the limit resolution. The third sacrificial patterns 130 may be formed of the same material layer as the first and second sacrificial patterns 110 ″ and 125. The third sacrificial patterns 130 may be oxide layers.

상기 제 3 희생 패턴들을 형성하는 방법은 상기 제 1 희생 패턴들(110")을 형성하는 방법과 동일할 수 있다. 구체적으로 설명하면, 상기 제 2 희생 패턴들(125)을 갖는 기판 상에 제 3 희생막을 형성할 수 있다. 상기 제 3 희생막 상에 포토레지스트막을 형성하고, 상기 포토레지스트막을 패터닝하여 라인 및 스페이스 구조의 포토레지스트 패턴을 형성할 수 있다. 이때, 상기 라인 및 스페이스의 비가 1.5:2.5 또는 2:2가 되도록 형성할 수 있다. 상기 포토레지스트 패턴을 마스크로 이용하여 상기 제 3 희생막을 식각하여 예비 제3 희생 패턴들을 형성할 수 있다. 상기 포토레지스트 패턴을 제거하고, 상기 예비 제3 희생 패턴들을 전면식각(full etching)하여 상기 제 3 희생 패턴들(130)을 형성할 수 있다. 이때, 상기 포토레지스트 패턴 및 상기 예비 제3 희생 패턴들은 한계해상 폭을 가질 수 있다.The method of forming the third sacrificial patterns may be the same as the method of forming the first sacrificial patterns 110 ″. Specifically, a method of forming the third sacrificial patterns may be performed on the substrate having the second sacrificial patterns 125. A sacrificial layer may be formed on the third sacrificial layer, and a photoresist pattern having a line and space structure may be formed by patterning the photoresist layer, wherein the ratio of the line and the space is 1.5. The second sacrificial layer may be etched using the photoresist pattern as a mask to form preliminary third sacrificial patterns, and the preliminary third sacrificial patterns may be removed. The third sacrificial patterns may be fully etched to form the third sacrificial patterns 130. In this case, the photoresist pattern and the preliminary third sacrificial patterns may be formed. It may have a resolution resolution width.

상기 제 3 희생 패턴들(130)의 측벽들을 덮는 제 2 스페이서들(135)을 형성한다. 상기 제 2 스페이서들(135)은 상기 제 1 스페이서들(120)과 동일한 방법으로 형성될 수 있다. 상기 제 2 스페이서들(135)은 상기 제 1 스페이서들(120)과 동일한 물질막 일 수 있다. 상기 제 2 스페이서들(135)은 상기 제 3 희생 패턴들(130)의 폭과 동일한 폭을 갖도록 형성될 수 있다. 상기 제 2 스페이서들(135)은 상기 제 3 희생 패턴들(130) 및 상기 피식각막(105)과 식각선택비가 있는 물질막으로 형성할 수 있다. 상기 제 2 스페이서들(135)은 실리콘 질화막일 수 있다. Second spacers 135 are formed to cover sidewalls of the third sacrificial patterns 130. The second spacers 135 may be formed in the same manner as the first spacers 120. The second spacers 135 may be the same material layer as the first spacers 120. The second spacers 135 may be formed to have the same width as that of the third sacrificial patterns 130. The second spacers 135 may be formed of a material layer having an etching selectivity with respect to the third sacrificial patterns 130 and the etched film 105. The second spacers 135 may be silicon nitride layers.

도 7a, 도 7b, 도 7c 및 도 7d를 참조하면, 상기 제 3 희생 패턴들(130) 및 노출된 상기 제 1 및 제 2 희생 패턴들(110",125)을 제거하여 상기 제 1 및 제 2 스페이서들(120,135)로 구성된 마스크 패턴(M)을 형성한다. 상기 마스크 패턴(M)을 식각마스크로 이용하여 상기 보호막 및 상기 피식각막(105)을 차례로 식각할 수 있다. 그 결과, 상기 마스크 패턴(M)과 동일한 패턴을 갖는 보호 패턴(107') 및 피식각 패턴(105')이 형성될 수 있다. 상기 피식각 패턴(105')은 도 1a 및 도 1b 공정에서 사용된 노광장비의 한계해상 보다 좁은 폭을 갖는 미세패턴일 수 있다. 7A, 7B, 7C, and 7D, the first and second sacrificial patterns 130 and the exposed first and second sacrificial patterns 110 ″ and 125 may be removed to remove the first and second sacrificial patterns 130. A mask pattern M including two spacers 120 and 135 is formed, and the protective layer and the etched film 105 may be sequentially etched using the mask pattern M as an etching mask. A protective pattern 107 ′ and an etched pattern 105 ′ having the same pattern as the pattern M may be formed, wherein the etched pattern 105 ′ is formed of the exposure apparatus used in FIGS. 1A and 1B. It may be a fine pattern having a narrower width than the marginal resolution.

상술한 바와 같이, 도 1a 및 도 1b 공정에서 사용된 노광장비의 한계해상 폭을 갖는 예비 희생막 패턴들(110')을 전면식각 공정을 통해 한계해상 폭 이하를 갖는 제 1 희생막 패턴들(110")을 형성하였으며, 이어, 상기 제 1 희생막 패턴 들(110")의 측벽들을 덮는 제 1 스페이서들(120)을 상기 제 1 희생막 패턴들(110")의 폭과 동일한 폭으로 형성한 후, 상기 제 1 희생막 패턴들(110")을 제거하는 방법을 이용하여 상기 제 1 스페이서들로 구성된 마스크 패턴을 형성하였으며, 상기 마스크 패턴을 이용하여 피식각막을 식각함으로써 피치 간격이 1/2로 축소된 미세패턴을 형성할 수 있게 된다. 또한, 상기 제 1 희생막 패턴들(110")과 교차되도록 상기 제 3 희생막 패턴들(130)을 형성한 후, 제 2 스페이서들을 형성하여, 상기 제 1 및 제 2 스페이서들로 구성된 마스크 패턴을 형성할 수 도 있다. 따라서, 사각형 또는 마름모 형의 미세패턴을 정밀하게 형성할 수 있게 된다. As described above, the preliminary sacrificial layer patterns 110 ′ having the limit resolution width of the exposure apparatus used in FIGS. 1A and 1B are first sacrificial layer patterns having the limit resolution width or less through the entire etching process. 110 "), and first spacers 120 covering sidewalls of the first sacrificial layer patterns 110" are formed to have the same width as that of the first sacrificial layer patterns 110 ". After that, a mask pattern formed of the first spacers is formed using a method of removing the first sacrificial layer patterns 110 ″, and the pitch gap is etched by etching the etched layer using the mask pattern. The micro pattern reduced to 2 can be formed. In addition, after the third sacrificial layer patterns 130 are formed to cross the first sacrificial layer patterns 110 ″, second spacers are formed to form a mask pattern formed of the first and second spacers. Therefore, it is possible to form a fine pattern of a square or rhombus precisely.

상술한 바와 같이 본 발명에 의하면, 기존 공정에서 사용하는 노광장비를 이용하여 한계해상 폭을 갖는 예비 희생막 패턴들을 형성한 후, 전면식각 공정을 통해 한계해상 폭 이하를 갖는 희생막 패턴들을 형성하였으며, 이어, 상기 희생막 패턴들의 측벽들을 덮는 스페이서들을 상기 희생막 패턴들의 폭과 동일한 폭으로 형성한 후, 상기 희생막 패턴들을 제거하는 방법을 이용하여 스페이서들로 구성된 마스크 패턴을 형성하였으며, 상기 마스크 패턴을 이용하여 피식각막을 식각함으로써 피치 간격이 1/2로 축소된 미세패턴을 형성할 수 있게 된다. 따라서, 단파장의 광원을 사용하는 노광장비 및 그에 상응하는 포토레지스트 물질을 사용하지 않아도 되므로 생산비용을 절감할 수 있게 된다. 또한, 스페이서들을 서로 교차되도록 이중으로 형성한 마스크 패턴을 형성하여 피식각막을 식각함으로써 콘택홀과 같은 미세패턴 또한 정밀하게 형성할 수 있게 된다.As described above, according to the present invention, after forming preliminary sacrificial layer patterns having a limit resolution width using an exposure apparatus used in the existing process, sacrificial layer patterns having a limit resolution width or less were formed through a front etching process. Subsequently, spacers covering sidewalls of the sacrificial layer patterns are formed to have the same width as that of the sacrificial layer patterns, and then a mask pattern formed of spacers is formed using a method of removing the sacrificial layer patterns. By etching the etched film using the pattern, it is possible to form a fine pattern with a pitch interval reduced to 1/2. Therefore, it is possible to reduce the production cost since it is not necessary to use an exposure apparatus and a corresponding photoresist material using a short wavelength light source. Further, by forming a mask pattern in which the spacers are formed to cross each other, the etching pattern is etched to precisely form a fine pattern such as a contact hole.

Claims (17)

반도체 기판 상에 피식각막을 형성하고,Forming an etching target film on the semiconductor substrate, 상기 피식각막 상에 라인 및 스페이스 구조의 제 1 희생 패턴들을 형성하고,Forming first sacrificial patterns of a line and a space structure on the etched film; 상기 제 1 희생 패턴들의 측벽들을 덮는 제 1 스페이서들을 형성하고,Forming first spacers covering sidewalls of the first sacrificial patterns, 이웃하는 상기 제 1 스페이서들 사이의 빈공간을 채우는 제 2 희생 패턴들을 형성하고, Forming second sacrificial patterns filling empty spaces between the neighboring first spacers, 상기 제 2 희생 패턴들을 갖는 기판 상에 상기 제 1 희생 패턴들과 교차하는 라인 및 스페이스 구조의 제 3 희생 패턴들을 형성하고,Forming third sacrificial patterns of a line and space structure intersecting the first sacrificial patterns on the substrate having the second sacrificial patterns, 상기 제 3 희생 패턴들의 측벽들을 덮는 제 2 스페이서들을 형성하고,Forming second spacers covering sidewalls of the third sacrificial patterns, 상기 제 3 희생 패턴들 및 노출된 상기 제 1 및 제 2 희생 패턴들을 제거하여 상기 제 1 및 제 2 스페이서들로 구성된 마스크 패턴을 형성하는 것을 포함하는 미세패턴 형성방법.And removing the third sacrificial patterns and the exposed first and second sacrificial patterns to form a mask pattern composed of the first and second spacers. 제 1 항에 있어서,The method of claim 1, 상기 제 1 희생 패턴들의 라인 및 스페이스 비는 1:3인 것을 특징으로 하는 미세패턴 형성방법.The line and space ratio of the first sacrificial patterns is 1: 3 characterized in that the fine pattern. 제 1 항에 있어서,The method of claim 1, 상기 제 1 희생 패턴들의 라인 폭은 한계해상 이하의 폭을 갖도록 형성되는 것을 특징으로 하는 미세패턴 형성방법.The line width of the first sacrificial patterns is formed to have a width less than the limit resolution fine pattern forming method. 제 1 항에 있어서,The method of claim 1, 상기 제 1 희생 패턴들을 형성하는 것은Forming the first sacrificial patterns 상기 피식각막 상에 제 1 희생막을 형성하고,Forming a first sacrificial layer on the etched film; 상기 제 1 희생막 상에 포토레지스트막을 형성하고,Forming a photoresist film on the first sacrificial film, 상기 포토레지스트막을 패터닝하여 라인 및 스페이스 구조의 포토레지스트 패턴을 형성하되, 상기 라인 및 스페이스의 비가 1.5:2.5 또는 2:2가 되도록 형성하고,Patterning the photoresist film to form a photoresist pattern having a line and space structure, wherein the ratio of the line and space is 1.5: 2.5 or 2: 2, 상기 포토레지스트 패턴을 마스크로 이용하여 상기 제 1 희생막을 식각하여 예비 제1 희생 패턴들을 형성하고,Preliminary first sacrificial patterns are formed by etching the first sacrificial layer using the photoresist pattern as a mask; 상기 포토레지스트 패턴을 제거하고,Removing the photoresist pattern, 상기 예비 제1 희생 패턴들을 전면식각(full etching)하여 라인 및 스페이스의 비를 1:3으로 형성하는 것을 포함하되, 상기 포토레지스트 패턴 및 상기 예비 제1 희생 패턴들은 한계해상 폭을 갖는 것을 특징으로 하는 미세패턴 형성방법.And etching the preliminary first sacrificial patterns by full etching to form a ratio of lines and spaces of about 1: 3, wherein the photoresist pattern and the preliminary first sacrificial patterns have a limit resolution width. Fine pattern forming method. 제 1 항에 있어서,The method of claim 1, 상기 제 1 스페이서들은 상기 제 1 희생 패턴들의 폭과 동일한 폭을 갖도록 형성되는 것을 특징으로 하는 미세패턴 형성방법.And forming the first spacers to have the same width as the first sacrificial patterns. 제 1 항에 있어서,The method of claim 1, 상기 제 2 희생 패턴들을 형성하는 것은 Forming the second sacrificial patterns 상기 제 1 스페이서들을 갖는 기판 상에 제 2 희생막을 형성하고,Forming a second sacrificial layer on the substrate having the first spacers, 상기 제 1 스페이서들 및 상기 제 1 희생 패턴들의 상부면이 노출될때까지 상기 제 2 희생막을 평탄화하는 것을 포함하는 것을 특징으로 하는 미세패턴 형성방법.And planarizing the second sacrificial layer until the top surfaces of the first spacers and the first sacrificial patterns are exposed. 제 1 항에 있어서,The method of claim 1, 상기 제 1 희생 패턴들 및 상기 제 3 희생 패턴들의 교차각은 45도 내지 90도인 것을 특징으로 하는 미세패턴 형성방법.And a crossing angle between the first sacrificial patterns and the third sacrificial patterns is 45 degrees to 90 degrees. 제 1 항에 있어서,The method of claim 1, 상기 제 3 희생 패턴들의 라인 및 스페이스 비는 1:3인 것을 특징으로 하는 미세패턴 형성방법.The line and space ratio of the third sacrificial patterns is 1: 3, characterized in that the fine pattern. 제 1 항에 있어서,The method of claim 1, 상기 제 3 희생 패턴들의 라인 폭은 한계해상 이하의 폭을 갖도록 형성되는 것을 특징으로 하는 미세패턴 형성방법.The line width of the third sacrificial patterns is formed to have a width less than the limit resolution fine pattern forming method. 제 1 항에 있어서,The method of claim 1, 상기 제 3 희생 패턴들을 형성하는 것은Forming the third sacrificial patterns 상기 제 2 희생 패턴들을 갖는 기판 상에 제 3 희생막을 형성하고,Forming a third sacrificial layer on the substrate having the second sacrificial patterns, 상기 제 3 희생막 상에 포토레지스트막을 형성하고,Forming a photoresist film on the third sacrificial film, 상기 포토레지스트막을 패터닝하여 라인 및 스페이스 구조의 포토레지스트 패턴을 형성하되, 상기 라인 및 스페이스의 비가 1.5:2.5 또는 2:2가 되도록 형성하고,Patterning the photoresist film to form a photoresist pattern having a line and space structure, wherein the ratio of the line and space is 1.5: 2.5 or 2: 2, 상기 포토레지스트 패턴을 마스크로 이용하여 상기 제 3 희생막을 식각하여 예비 제3 희생 패턴들을 형성하고,Preliminary third sacrificial patterns are formed by etching the third sacrificial layer using the photoresist pattern as a mask; 상기 포토레지스트 패턴을 제거하고,Removing the photoresist pattern, 상기 예비 제3 희생 패턴들을 전면식각(full etching)하여 라인 및 스페이스의 비를 1:3으로 형성하는 것을 포함하되, 상기 포토레지스트 패턴 및 상기 예비 제3 희생 패턴들은 한계해상 폭을 갖는 것을 특징으로 하는 미세패턴 형성방법.And etching the preliminary third sacrificial patterns by full etching to form a ratio of lines and spaces in a ratio of 1: 3, wherein the photoresist pattern and the preliminary third sacrificial patterns have a limit resolution width. Fine pattern forming method. 제 1 항에 있어서,The method of claim 1, 상기 제 2 스페이서들은 상기 제 3 희생 패턴들의 폭과 동일한 폭을 갖도록 형성되는 것을 특징으로 하는 미세패턴 형성방법.The second spacers are fine pattern forming method, characterized in that formed to have the same width as the width of the third sacrificial patterns. 제 1 항에 있어서,The method of claim 1, 상기 마스크 패턴을 식각마스크로 이용하여 상기 피식각막을 식각하여 미세패턴들을 형성하는 것을 더 포함하는 것을 특징으로 하는 미세패턴 형성방법.And forming fine patterns by etching the etched film using the mask pattern as an etching mask. 제 1 항에 있어서,The method of claim 1, 상기 제 1 희생 패턴들을 형성하기 전에,Before forming the first sacrificial patterns, 상기 피식각막 상에 보호막을 형성하는 것을 더 포함하되, 상기 보호막은 상기 제 1 스페이서들과 동일 물질막으로 형성되는 것을 특징으로 하는 미세패턴 형성방법.And forming a protective film on the etched film, wherein the protective film is formed of the same material film as the first spacers. 제 1 항에 있어서,The method of claim 1, 상기 제 1 및 제 2 스페이서들은 동일 물질막으로 형성하는 것을 특징으로 하는 미세패턴 형성방법. The first and second spacers are fine pattern formation method, characterized in that formed with the same material film. 제 1 항에 있어서,The method of claim 1, 상기 제 1, 제 2 및 제 3 희생 패턴들은 동일 물질막으로 형성하는 것을 특징으로 하는 미세패턴 형성방법. And the first, second and third sacrificial patterns are formed of the same material layer. 제 1 항에 있어서,The method of claim 1, 상기 제 1 및 제 2 스페이서들은 상기 제 1, 제 2 및 제 3 희생 패턴들에 대해 식각선택비가 있는 물질막으로 형성하는 것을 특징으로 하는 미세패턴 형성방 법. And the first and second spacers are formed of a material film having an etch selectivity with respect to the first, second and third sacrificial patterns. 제 1 항에 있어서,The method of claim 1, 상기 제 1, 제 2 및 제 3 희생 패턴들, 및 상기 스페이서들은 상기 피식각막에 대해 식각선택비가 있는 물질막으로 형성하는 것을 특징으로 하는 미세패턴 형성방법. And the first, second and third sacrificial patterns and the spacers are formed of a material film having an etching selectivity with respect to the etched film.
KR1020060091894A 2006-09-21 2006-09-21 Methods of forming fine pattern of semiconductor device KR20080026832A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060091894A KR20080026832A (en) 2006-09-21 2006-09-21 Methods of forming fine pattern of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060091894A KR20080026832A (en) 2006-09-21 2006-09-21 Methods of forming fine pattern of semiconductor device

Publications (1)

Publication Number Publication Date
KR20080026832A true KR20080026832A (en) 2008-03-26

Family

ID=39414048

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060091894A KR20080026832A (en) 2006-09-21 2006-09-21 Methods of forming fine pattern of semiconductor device

Country Status (1)

Country Link
KR (1) KR20080026832A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130082333A (en) * 2012-01-11 2013-07-19 삼성전자주식회사 Method of fabricating a semiconductor device
US8785328B2 (en) 2012-06-15 2014-07-22 SK Hynix Inc. Mask pattern for hole patterning and method for fabricating semiconductor device using the same
KR20170043263A (en) * 2015-10-13 2017-04-21 삼성전자주식회사 Method for fabricating the semiconductor device
KR20180075714A (en) * 2010-09-14 2018-07-04 가부시키가이샤 니콘 Pattern formation method, and device production method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180075714A (en) * 2010-09-14 2018-07-04 가부시키가이샤 니콘 Pattern formation method, and device production method
KR101877078B1 (en) * 2010-09-14 2018-07-10 가부시키가이샤 니콘 Pattern formation method, and device production method
KR20130082333A (en) * 2012-01-11 2013-07-19 삼성전자주식회사 Method of fabricating a semiconductor device
US8785328B2 (en) 2012-06-15 2014-07-22 SK Hynix Inc. Mask pattern for hole patterning and method for fabricating semiconductor device using the same
KR20170043263A (en) * 2015-10-13 2017-04-21 삼성전자주식회사 Method for fabricating the semiconductor device

Similar Documents

Publication Publication Date Title
KR100843870B1 (en) Method for manufacturing fine pattern of a semiconductor device
KR100905157B1 (en) Method for forming fine pattern of semiconductor device
US7838175B2 (en) Wafer lithographic mask and wafer lithography method using the same
KR20120126442A (en) Method for forming pattern of Semiconductor Device
JP4956370B2 (en) Pattern formation method of semiconductor element
US6902851B1 (en) Method for using phase-shifting mask
US20110191728A1 (en) Integrated circuit having line end created through use of mask that controls line end shortening and corner rounding arising from proximity effects
KR20010004612A (en) Photo mask and method for forming fine pattern of semiconductor device using the same
KR20080026832A (en) Methods of forming fine pattern of semiconductor device
KR101096270B1 (en) Method of fabricating fine pattern in semiconductor device using spacer patterning
US20120135341A1 (en) Method for double patterning lithography and photomask layout
KR100882091B1 (en) Exposure mask and method for manufacturing semiconductor device using the same
KR101033354B1 (en) Method for Forming Fine Patten of Semiconductor Device
CN114200796B (en) Alignment mark and forming method thereof
KR20070106277A (en) Mehtod for pitch reduction
KR100915064B1 (en) Overlay vernier and method for forming the same
KR20100042423A (en) Method for forming a pattern in the semiconductor device
JP2005259991A (en) Patterning method
KR100866725B1 (en) Method for manufacturing fine pattern of a semiconductor device
KR101057197B1 (en) Phase reversal mask manufacturing method
KR100985307B1 (en) Photo mask and method for forming overlay vernier in semiconductor device using the same
US20100261118A1 (en) Intensity Selective Exposure Method And Apparatus
KR100826765B1 (en) Manufacturing method of reticle with enhanced resolving power in isolated pattern and reticle structure thereby
JP2009123878A (en) Semiconductor pattern forming method
KR100914296B1 (en) Method for fabricating photomask having assist pattern

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination