KR20080020938A - Method for fabricating semiconductor devise - Google Patents

Method for fabricating semiconductor devise Download PDF

Info

Publication number
KR20080020938A
KR20080020938A KR1020070077761A KR20070077761A KR20080020938A KR 20080020938 A KR20080020938 A KR 20080020938A KR 1020070077761 A KR1020070077761 A KR 1020070077761A KR 20070077761 A KR20070077761 A KR 20070077761A KR 20080020938 A KR20080020938 A KR 20080020938A
Authority
KR
South Korea
Prior art keywords
film
stress film
stress
silicide
transistor region
Prior art date
Application number
KR1020070077761A
Other languages
Korean (ko)
Inventor
장종광
박완재
박재언
이민호
샤일렌드라 미쉬라
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Publication of KR20080020938A publication Critical patent/KR20080020938A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects

Abstract

A method for manufacturing a semiconductor device is provided to stably form a bottom surface of a contact plug on an upper surface of a silicide layer or to stably form a contact plug within a contact hole formed inside the silicide layer. A first and second transistor regions are defined on a semiconductor substrate(100). A gate electrode having a first silicide layer(127a) and a first transistor having a first conductive type source/drain region, a gate electrode having a second silicide layer(127b), and a second transistor having a second conductive type source/drain region are formed in the first and second transistor regions. A first and second stress layers are formed in the first and second transistor regions, respectively. The first and second stress layers are overlapped on a third silicide layer(127c) formed in a boundary between the first and second transistor regions. The second stress layer is removed from the third silicide layer. An interlayer dielectric is formed on the semiconductor substrate. A contact hole is formed in the interlayer dielectric. A contact plug is formed to bury the contact hole.

Description

반도체 소자의 제조 방법{Method for fabricating semiconductor devise }Method for fabricating semiconductor devise

본 발명은 반도체 소자 및 그 제조 방법에 관한 것으로서, 더욱 상세하게는 안정적인 구조의 콘택이 형성된 반도체 소자 및 그 제조 방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a semiconductor device having a stable structure contact and a method of manufacturing the same.

일반적으로, 모스 전계효과 트랜지스터(MOSFET)가 고집적화 및 고속화 됨에 따른 한계를 극복하면서 보다 우수한 성능을 가진 트랜지스터를 형성하기 위한 다양한 방법이 연구되고 있다. 특히, 고성능의 트랜지스터를 구현하기 위하여 전자 또는 정공의 이동도(mobility)를 증가시키는 방법이 많이 개발되고 있다.In general, various methods for forming transistors having better performances have been studied while overcoming the limitations of MOSFETs having high integration and speed. In particular, many methods for increasing the mobility of electrons or holes have been developed to implement high-performance transistors.

전자 또는 정공의 이동도를 증가시키는 방법으로는 채널 영역에 물리적인 스트레스(stress)를 가하여 채널 영역의 에너지 밴드(energy band) 구조를 변경시키는 방법이 있다. 예를 들어, NMOS 트랜지스터는 채널에 인장응력(tensile stress)을 가하는 경우 성능이 향상되며, PMOS 트랜지스터는 채널에 압축응력(compressive stress)를 가하는 경우 성능이 향상된다.As a method of increasing the mobility of electrons or holes, there is a method of changing the energy band structure of the channel region by applying physical stress to the channel region. For example, NMOS transistors improve performance when a tensile stress is applied to a channel, and PMOS transistors perform when compressive stress is applied to a channel.

따라서, NMOS 트랜지스터에 인장 스트레스막을 형성하고, PMOS 트랜지스터에는 압축 스트레스막을 형성하여 동시에 NMOS 트랜지스터와 PMOS 트랜지스터의 성능을 모두 향상시킬 수 있으므로, 트랜지스터의 유형에 적합한 응력막을 각각 적용하 는 듀얼 응력막(Dual Stress Layer)을 사용하는 것이 바람직하다. Accordingly, since a tensile stress film is formed on the NMOS transistor and a compressive stress film is formed on the PMOS transistor, the performance of both the NMOS transistor and the PMOS transistor can be improved at the same time. It is preferable to use a stress layer.

도 1은 종래기술에 따른 듀얼 응력막이 형성된 반도체 소자의 단면도이다. 도 1을 참조하면, 제1 트랜지스터 영역(I), 예를 들어 NMOS 트랜지스터 영역에는 NMOS 트랜지스터를 덮는 인장응력막(31)과 식각 정지막(33)이 위치하며, 제2 트랜지스터 영역(II), 예를 들어 PMOS 트랜지스터 영역에는 PMOS 트랜지스터를 덮는 압축응력막(35)이 위치한다.1 is a cross-sectional view of a semiconductor device having a dual stress film according to the prior art. Referring to FIG. 1, in the first transistor region I, for example, an NMOS transistor region, a tensile stress film 31 and an etch stop layer 33 covering an NMOS transistor are positioned, and a second transistor region II, For example, the compressive stress film 35 covering the PMOS transistor is located in the PMOS transistor region.

그런데, NMOS 트랜지스터 영역(I)과 PMOS 트랜지스터 영역(II)의 경계부(II)에는 인장응력막(31), 식각정지막(33) 및 압축응력막(35)이 서로 중첩되는 영역이 존재한다. 이것은 각각의 응력막을 형성하기 위한 식각 공정에 있어서 공정상 마진으로 인하여 포토레지스트 패턴이 겹치는 영역에서 발생할 수 있다. 도면에 도시된 바와 같이 경계부(II)는 소자분리영역(11)에 위치하며 그 상부에 게이트 전극(25c)이 위치할 수 있다. 또한, 도면에 도시되지는 않았으나, 경계부(II)는 활성영역에 위치하며 소정의 게이트 라인 및/또는 소스/드레인 영역을 포함할 수도 있다.However, in the boundary portion II between the NMOS transistor region I and the PMOS transistor region II, there is a region where the tensile stress film 31, the etch stop film 33, and the compressive stress film 35 overlap each other. This may occur in regions where the photoresist patterns overlap due to process margins in the etching process for forming the respective stress films. As shown in the drawing, the boundary portion II is positioned in the device isolation region 11 and the gate electrode 25c may be positioned on the boundary portion II. In addition, although not shown, the boundary portion II may be located in the active region and may include a predetermined gate line and / or a source / drain region.

통상적으로 NMOS 트랜지스터 영역(I), PMOS 트랜지스터 영역(II) 및 경계부(II)에 구비된 게이트 전극(25a,25b,25c)과 소스/드레인 영역(21a,21b,)의 상면에는 콘택저항을 낮추기 위한 오믹막으로서 실리사이드막(27a,27b,27c)이 구비되며, 이러한 실리사이드막(27a,27b,27c)은 층간 절연막(40) 내에 형성된 콘택(61,63,65)에 의해 캐패시터, 비트라인 또는 배선 등과 전기적으로 연결된다.Typically, contact resistances are lowered on the upper surfaces of the gate electrodes 25a, 25b, 25c and the source / drain regions 21a, 21b, which are provided in the NMOS transistor region I, the PMOS transistor region II, and the boundary portion II. Silicide films 27a, 27b, and 27c are provided as ohmic films, and the silicide films 27a, 27b, and 27c are formed by capacitors, bit lines, or the like by contacts 61, 63, and 65 formed in the interlayer insulating film 40. It is electrically connected to wiring and the like.

도 1에 도시된 듀얼 응력막을 구비한 소자의 콘택을 형성하기 위해서는 각각의 응력막을 관통하여 실리사이드막을 노출시키도록 콘택홀을 형성하여야 한다. 그 런데, NMOS 트랜지스터 영역(I)과 PMOS 트랜지스터 영역(II)에는 단일 응력막이 존재하는 반면, 경계부(II)에는 각각의 응력막이 중첩된 적층막 구조를 갖는다. 이 때 모든 영역에서 콘택홀(51,53,55)을 동시에 형성하되 식각 종료점을 경계부(II)의 실리사이드막(27c)로 맞추면, 적층막이 형성된 경계부(II)에서 실리사이드막(27c)의 상면이 안정적으로 노출될 수 있는 반면, 단일 응력막을 구비하는 NMOS 트랜지스터 영역(I) 및 PMOS 트랜지스터 영역(II)에서는 과도식각이 일어나게 되어 실리사이드막(27a,27b)이 유실되어 콘택홀(51,53)의 저면에 소스/드레인 영역(21a,21b)과 게이트 전극(25a,25b)이 노출될 수 있다. 이로 인하여 경계부(III)에서는 실리사이드막(27c)의 유실없이 콘택홀(51)이 안정적으로 형성된다고 해도, NMOS 트랜지스터 영역(I) 및 PMOS 트랜지스터 영역(II)에서는 그렇지 못하다. 이러한 경우 콘택 저항이 증가하는 등 반도체 소자의 신뢰성과 특성이 열화될 수 있다.In order to form the contact of the device having the dual stress film shown in Figure 1 it is necessary to form a contact hole to expose the silicide film through each stress film. However, a single stress film exists in the NMOS transistor region I and the PMOS transistor region II, whereas the boundary portion II has a laminated film structure in which the respective stress films overlap. In this case, when the contact holes 51, 53, and 55 are simultaneously formed in all regions, the etching end point is aligned with the silicide layer 27c of the boundary portion II, and the upper surface of the silicide layer 27c is formed at the boundary portion II where the laminated layer is formed. While it can be stably exposed, transient etching occurs in the NMOS transistor region I and the PMOS transistor region II having a single stress film, so that the silicide films 27a and 27b are lost and the contact holes 51 and 53 are removed. Source / drain regions 21a and 21b and gate electrodes 25a and 25b may be exposed on the bottom surface. Therefore, even if the contact hole 51 is stably formed in the boundary portion III without losing the silicide film 27c, this is not the case in the NMOS transistor region I and the PMOS transistor region II. In this case, the reliability and characteristics of the semiconductor device may be degraded, such as an increase in contact resistance.

이와는 반대로, 별도의 도면으로 도시하지는 않았으나, 만일 식각 종료점을 NMOS 및 PMOS 트랜지스터 영역(I,II)의 실리사이드막의 상면에 맞추게 되면 경계부(III)에 형성되는 콘택홀은 적층막을 관통하지 못한 상태가 된다. 따라서, 경계부에서는 전기적 신호를 전달할 수 없으므로, 반도체 소자의 신뢰성 및 특성이 저하될 수 있다.On the contrary, although not illustrated in a separate drawing, if the etching end point is aligned with the top surface of the silicide film of the NMOS and PMOS transistor regions I and II, the contact hole formed in the boundary portion III does not penetrate the laminated film. . Therefore, since the electrical signal cannot be transmitted at the boundary, the reliability and characteristics of the semiconductor device may be degraded.

본 발명이 이루고자 하는 기술적 과제는 실리사이드막 상에 콘택이 안정적으로 형성되어 신뢰성 및 특성이 향상된 반도체 소자를 제조하는 방법을 제공하는데 있다.An object of the present invention is to provide a method for manufacturing a semiconductor device having a stable contact and formed on the silicide layer to improve the reliability and characteristics.

본 발명이 이루고자 하는 기술적 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The technical problem to be achieved by the present invention is not limited to the above-mentioned problem, and other problems not mentioned will be clearly understood by those skilled in the art from the following description.

상기 기술적 과제를 달성하기 위한 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법은 제1 트랜지스터 영역, 제2 트랜지스터 영역을 구비하는 반도체 기판을 제공하고, 상부에 제1 실리사이드막이 각각 형성된 게이트 전극과 제1 도전형 소스/드레인 영역을 포함하는 제1 트랜지스터 및 상부에 제2 실리사이드막이 각각 형성된 게이트 전극과 제2 도전형 소스/드레인 영역을 포함하는 제2 트랜지스터를 상기 제1 트랜지스터 영역 및 제2 트랜지스터 영역에 각각 형성하고, 상기 제1 트랜지스터 영역에 제1 응력막을, 상기 제2 트랜지스터 영역에 제2 응력막을 순차적으로 형성하되, 상기 제1 트랜지스터 영역과 상기 제2 트랜지스터 영역의 경계부에 구비된 제3 실리사이드막 상에 상기 제1 응력막 및 제2 응력막이 순차적으로 중첩되게 하고, 상기 제3 실리사이드막 상에 중첩된 상기 제2 응력막을 제거하고, 상기 반도체 기판 전면에 층간 절연막을 형성하고, 상기 층간 절연막을 관통하되 저면이 상기 제1 내지 제3 실리사이드막의 상면 또는 그 내부에 존재하는 콘택홀을 형성하고, 상기 콘택홀을 매립하는 콘택 플러그를 형성하는 것을 포함한다.According to another aspect of the present invention, there is provided a method of manufacturing a semiconductor device, including a gate electrode having a first transistor region and a second transistor region, the first electrode layer having a first silicide layer formed thereon; A first transistor including a first conductivity type source / drain region, a gate electrode having a second silicide layer formed thereon, and a second transistor including a second conductivity type source / drain region, respectively, include the first transistor region and the second transistor. A third stress film formed in each of the regions, a first stress film formed in the first transistor region, and a second stress film formed sequentially in the second transistor region, and provided in a boundary portion between the first transistor region and the second transistor region. The first stress film and the second stress film are sequentially stacked on the silicide film, and the third silicide Removing the second stress film superimposed on the passivation layer, forming an interlayer insulating film on the entire surface of the semiconductor substrate, and penetrating the interlayer insulating film, and having a bottom surface of the first to third silicide films or in contact therewith; And forming a contact plug to fill the contact hole.

기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다. Specific details of other embodiments are included in the detailed description and the drawings.

상기한 바와 같이 본 발명의 실시예들에 따르면, 저면이 실리사이드막의 상면 또는 그 내부에 존재하는 콘택홀 내에 콘택플러그가 안정적으로 형성될 수 있다. 따라서, 반도체 소자의 특성과 신뢰성이 더욱 향상될 수 있다.As described above, according to the exemplary embodiments of the present invention, the contact plug may be stably formed in the contact hole having the bottom surface of the silicide layer. Therefore, the characteristics and the reliability of the semiconductor device can be further improved.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. Advantages and features of the present invention and methods for achieving them will be apparent with reference to the embodiments described below in detail with the accompanying drawings. However, the present invention is not limited to the embodiments disclosed below, but will be implemented in various forms, and only the present embodiments are intended to complete the disclosure of the present invention, and the general knowledge in the art to which the present invention pertains. It is provided to fully convey the scope of the invention to those skilled in the art, and the present invention is defined only by the scope of the claims.

따라서, 몇몇 실시예에서, 잘 알려진 공정 단계들, 잘 알려진 구조 및 잘 알려진 기술들은 본 발명이 모호하게 해석되는 것을 피하기 위하여 구체적으로 설명되지 않는다. Thus, in some embodiments, well known process steps, well known structures and well known techniques are not described in detail in order to avoid obscuring the present invention.

본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 포함한다(comprises) 및/또는 포함하는(comprising)은 언급된 구성요소, 단계, 동작 및/또는 소자 이외의 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는 의미로 사용한다. 그리고, "및/또는"은 언급된 아이템들의 각각 및 하나 이상의 모든 조합을 포함한다. 또, 이하 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. In this specification, the singular also includes the plural unless specifically stated otherwise in the phrase. As used herein, including and / or comprising includes the presence or addition of one or more other components, steps, operations and / or elements other than the components, steps, operations and / or elements mentioned. Use in the sense that does not exclude. And “and / or” includes each and all combinations of one or more of the items mentioned. In addition, like reference numerals refer to like elements throughout the following specification.

또한, 본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 개략도들을 참고하여 설명될 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 또한 본 발명에 도시된 각 도면에 있어서 각 구성 요소들은 설명의 편의를 고려하여 다소 확대 또는 축소되어 도시된 것일 수 있다.In addition, the embodiments described herein will be described with reference to cross-sectional and / or schematic views, which are ideal illustrations of the invention. Accordingly, shapes of the exemplary views may be modified by manufacturing techniques and / or tolerances. Accordingly, the embodiments of the present invention are not limited to the specific forms shown, but also include variations in forms generated by the manufacturing process. In addition, each component in each drawing shown in the present invention may be shown to be somewhat enlarged or reduced in view of the convenience of description.

이하의 설명에서는 제1 트랜지스터로서 NMOS 트랜지스터를, 제2 트랜지스터로서 PMOS 트랜지스터를, 제1 응력막으로서 인장응력막을, 제2 응력막으로서 압축응력막을 각각 예를 들어 설명하는데 본 발명이 이에 한정되는 것은 아니다. 즉, 트랜지스터의 도전형과 응력막의 종류는 서로 역으로 적용될 수도 있을 뿐만 아니라 서로 같은 유형일 수도 있음은 물론이다.In the following description, an NMOS transistor is used as the first transistor, a PMOS transistor is used as the second transistor, a tensile stress film is used as the first stress film, and a compressive stress film is used as the second stress film, respectively, but the present invention is not limited thereto. no. That is, the type of the conductive type and the stress film of the transistor may not only be applied inversely to each other but also may be of the same type.

이하, 도 2를 참조하여 본 발명의 일 실시예에 따른 반도체 소자에 대해 상세히 설명하기로 한다.Hereinafter, a semiconductor device according to an exemplary embodiment of the present invention will be described in detail with reference to FIG. 2.

도 2를 참조하면, 본 발명의 일 실시예에 따른 반도체 소자는 NMOS 트랜지스 터 영역(I) 및 PMOS 트랜지스터 영역(II)이 구비된 반도체 기판(100)을 포함한다. NMOS 트랜지스터 영역(I)과 PMOS 트랜지스터 영역(II)의 사이에는 소정의 경계부(III)가 존재한다.Referring to FIG. 2, the semiconductor device according to the embodiment includes a semiconductor substrate 100 having an NMOS transistor region I and a PMOS transistor region II. A predetermined boundary III exists between the NMOS transistor region I and the PMOS transistor region II.

반도체 기판(100)은 소자분리영역(111)에 의해 활성영역이 정의된다. 기판(100)으로는 Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs 및 InP로 이루어지는 군에서 선택되는 하나 이상의 반도체 재료로 이루어진 기판, SOI(Silicon On Insulator) 기판 등이 사용될 수 있으나, 이는 예시적인 것에 불과하다. 또한, 도면상 도시되지는 않았으나, 필요하다면 NMOS 트랜지스터 영역(I)의 반도체 기판(100) 내에 P형 웰이, PMOS 트랜지스터 영역(II)의 반도체 기판(100) 내에는 N형 웰이 형성될 수 있다. In the semiconductor substrate 100, an active region is defined by an isolation region 111. As the substrate 100, a substrate made of at least one semiconductor material selected from the group consisting of Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs, and InP, a silicon on insulator (SOI) substrate, or the like may be used. This is merely illustrative. Although not shown in the drawings, if necessary, a P type well may be formed in the semiconductor substrate 100 of the NMOS transistor region I and an N type well may be formed in the semiconductor substrate 100 of the PMOS transistor region II. have.

NMOS 트랜지스터 영역(I)에 위치하는 NMOS 트랜지스터는 게이트 절연막(123)상에 형성된 게이트 전극(125a)과, 게이트 전극(125a)의 양측 기판 내에 형성되며 N형 불순물이 도핑된 소스/드레인 영역(121a)을 포함한다. 게이트 전극(125a)은 예를 들면 폴리실리콘막, 금속막 등의 단일막이거나 혹은 이들의 적층막일 수 있다. 이 때, 폴리실리콘막은 N형 불순물이 도핑된 폴리실리콘일 수 있는데 이에 한정되는 것은 아니며, 후술할 PMOS 트랜지스터의 게이트 전극(125b)과 동일한 도전형일 수 있다. The NMOS transistor located in the NMOS transistor region I is formed on the gate electrode 125a formed on the gate insulating film 123 and the source / drain region 121a formed on both substrates of the gate electrode 125a and doped with N-type impurities. ). The gate electrode 125a may be, for example, a single film such as a polysilicon film, a metal film, or a stacked film thereof. In this case, the polysilicon film may be polysilicon doped with N-type impurities, but is not limited thereto. The polysilicon film may have the same conductivity type as the gate electrode 125b of the PMOS transistor to be described later.

이러한 게이트 전극(125a)의 측벽에는 스페이서(129)가 위치하며, 게이트 전극(125a)과 소스/드레인 영역(121a)의 상부에는 제1 실리사이드막(127a)이 형성될 수 있다. 제1 실리사이드막(127a)의 금속 성분으로서는 예를 들어 Co, Ni, Ti, Ta, W 등일 수 있는데 이에 한정되지는 않는다.The spacer 129 may be positioned on the sidewall of the gate electrode 125a, and the first silicide layer 127a may be formed on the gate electrode 125a and the source / drain region 121a. The metal component of the first silicide layer 127a may be, for example, Co, Ni, Ti, Ta, W, or the like, but is not limited thereto.

한편, PMOS 트랜지스터 영역(II)에 위치하는 PMOS 트랜지스터는 게이트 절연막(123)상에 형성된 게이트 전극(125b)과, 게이트 전극(125b)의 양측 기판 내에 형성되며 P형 불순물이 도핑된 소스/드레인 영역(121b)을 포함한다. 여기서, 게이트 전극(125b)은 예를 들면 폴리실리콘막, 금속막 등의 단일막이거나 혹은 이들의 적층막일 수 있다. 이 때, 폴리실리콘막은 P형 불순물이 도핑된 폴리실리콘일 수 있는데 이에 한정되는 것은 아니다. NMOS 트랜지스터의 게이트 전극(125a)과 PMOS 트랜지스터의 게이트 전극(125b)은 서로 다른 도전형인 것이 바람직하나, 본 발명의 실시예들이 양 게이트 전극이 동일한 도전형인 경우를 배제하지는 않는다. On the other hand, the PMOS transistor located in the PMOS transistor region II is a gate electrode 125b formed on the gate insulating film 123 and a source / drain region formed in both substrates of the gate electrode 125b and doped with P-type impurities. (121b). The gate electrode 125b may be, for example, a single film such as a polysilicon film, a metal film, or a stacked film thereof. In this case, the polysilicon film may be polysilicon doped with P-type impurities, but is not limited thereto. The gate electrode 125a of the NMOS transistor and the gate electrode 125b of the PMOS transistor are preferably of different conductivity types, but embodiments of the present invention do not exclude the case where both gate electrodes are of the same conductivity type.

이러한 게이트 전극(125b)의 측벽에는 스페이서(129)가 위치하며, 게이트 전극(125b)과 소스/드레인 영역(121b)의 상부에는 제2 실리사이드막(127b)이 형성된다. 제2 실리사이드막(127b)의 금속 성분으로서는 Co, Ni, Ti, Ta, W 등일 수 있는데 이에 한정되지는 않는다.The spacer 129 is positioned on the sidewall of the gate electrode 125b, and the second silicide layer 127b is formed on the gate electrode 125b and the source / drain region 121b. The metal component of the second silicide layer 127b may be Co, Ni, Ti, Ta, W, or the like, but is not limited thereto.

NMOS 트랜지스터 영역(I)과 PMOS 트랜지스터 영역(II) 사이에 위치하는 경계부(III)에는 상면에 제3 실리사이드막(127c)이 구비된 소정의 게이트 전극(125c)이 존재할 수 있다. 도 2에 도시된 바와 같이, 게이트 전극(125c)은 소자분리영역(111) 상에 위치할 수 있는데 이에 한정되는 것은 아니며 활성영역 상에 위치할 수도 있다. 또한, 도면에 도시하지는 않았으나, 경계부(III)에는 상면에 실리사이드막이 구비된 소정의 소스/드레인 영역이 위치할 수도 있다.In the boundary portion III positioned between the NMOS transistor region I and the PMOS transistor region II, a predetermined gate electrode 125c having a third silicide layer 127c may be present on an upper surface thereof. As shown in FIG. 2, the gate electrode 125c may be positioned on the isolation region 111, but is not limited thereto. The gate electrode 125c may also be disposed on the active region. Although not shown in the drawings, a predetermined source / drain region having a silicide film may be disposed on the boundary portion III.

NMOS 트랜지스터 영역(I)에는 NMOS 트랜지스터의 채널영역에 인장응력을 가 할 수 있는 인장응력막(131)이 위치한다. 이러한 인장응력막(131)은 NMOS 트랜지스터의 채널영역에 인장응력을 가함으로써 캐리어의 이동도를 높여줄 수 있다. In the NMOS transistor region I, a tensile stress film 131 capable of applying tensile stress to a channel region of the NMOS transistor is located. The tensile stress film 131 may increase the mobility of the carrier by applying a tensile stress to the channel region of the NMOS transistor.

이러한 인장응력막(131)으로는 예를 들어 SiN, SiON, SiC, SiCN, SiO2 또는 이들의 조합막을 사용할 수 있는데 이에 한정되지는 않는다. 또한, 인장응력막(131)의 두께는 약 50 ~ 1000Å의 두께 내에서 적절하게 조절될 수 있다.As the tensile stress film 131, for example, SiN, SiON, SiC, SiCN, SiO 2 or a combination thereof may be used, but is not limited thereto. In addition, the thickness of the tensile stress film 131 may be appropriately adjusted within a thickness of about 50 ~ 1000Å.

인장응력막(131) 상에 식각정지막(133)이 더 위치할 수 있다. 이러한 식각정지막(133)으로서는 예를 들어 LTO(Low Temperature Oxide)막이 사용될 수 있는데 이에 한정되지는 않는다. 이러한 식각정지막(133)은 제조공정상 인장응력막(133)을 먼저 형성하느냐, 후술할 압축응력막(135)을 먼저 형성하느냐 등 제조공정에 따라 인장응력막(131) 또는 압축응력막(135) 상에 선택적으로 위치시킬 수 있다.An etch stop layer 133 may be further disposed on the tensile stress layer 131. As the etch stop layer 133, for example, a low temperature oxide (LTO) film may be used, but is not limited thereto. The etch stop layer 133 is a tensile stress film 131 or a compressive stress film 135 in accordance with the manufacturing process, such as forming a tensile stress film 133 first in the manufacturing process, or first to form a compressive stress film 135 to be described later May be selectively positioned on the

또한, PMOS 트랜지스터 영역(II)에는 PMOS 트랜지스터 상에는 채널영역에 압축응력을 가할 수 있는 압축응력막(135)이 위치한다. 이러한 압축응력막(135)은 PMOS 트랜지스터의 채널 영역에 압축응력을 가함으로써 캐리어의 이동도를 높여줄 수 있다. In the PMOS transistor region II, a compressive stress film 135 capable of applying compressive stress to the channel region is located on the PMOS transistor. The compressive stress film 135 may increase carrier mobility by applying compressive stress to the channel region of the PMOS transistor.

이러한 압축응력막(135)으로는 예를 들어 SiN, SiON, SiC, SiCN, SiO2 또는 이들의 조합막질을 사용할 수 있는데 이에 한정되지는 않는다. 또한, 압축응력막(135)의 두께는 약 50 ~ 1000Å의 두께 내에서 적절하게 조절될 수 있다.As the compressive stress film 135, for example, SiN, SiON, SiC, SiCN, SiO 2 or a combination thereof may be used, but is not limited thereto. In addition, the thickness of the compressive stress film 135 may be appropriately adjusted within a thickness of about 50 ~ 1000Å.

경계부(III)에는 인장응력막(131), 식각정지막(133) 및 압축응력막(135)이 공존할 수 있다. 즉, 인장응력막(131), 식각 정지막(133) 및 압축응력막(135)은 경계부로 연장되어 형성된다. 본 발명의 일 실시예에서는 경계부(III)에 위치하는 제 3 실리사이드막(127c) 상에 인장응력막(131)이 존재한다. A tensile stress film 131, an etch stop film 133, and a compressive stress film 135 may coexist at the boundary portion III. That is, the tensile stress film 131, the etch stop film 133, and the compressive stress film 135 extend to the boundary portion. In one embodiment of the present invention, a tensile stress film 131 is present on the third silicide film 127c positioned at the boundary portion III.

NMOS 트랜지스터 영역(I), PMOS 트랜지스터 영역(II) 및 경계부(III)의 상부에는 기판 전면을 덮는 층간절연막(140)이 위치한다. 층간절연막(140)에는 층간절연막(140)을 관통하는 제1 내지 제3 콘택홀(151,153,155)들이 존재한다.An interlayer insulating layer 140 covering the entire surface of the substrate is disposed on the NMOS transistor region I, the PMOS transistor region II, and the boundary portion III. First to third contact holes 151, 153, and 155 penetrate the interlayer insulating layer 140.

구체적으로, 제1 콘택홀(151)은 NMOS 트랜지스터 영역(I)에 위치하는데, 층간 절연막(140), 식각정지막(133) 및 인장응력막(131)을 관통하여 형성되며, 그 저면이 제1 실리사이드막(127a)의 상면 또는 그 내부에 위치한다. 또한, 제2 콘택홀(153)은 PMOS 트랜지스터 영역(II)에 위치하는데, 층간 절연막(140) 및 압축응력막(135)을 관통하여 형성되며, 그 저면이 제2 실리사이드막(127b)의 상면 또는 그 내부에 위치한다. 제3 콘택홀(155)은 경계부(III) 상에 위치하는데, 층간 절연막(140), 식각정지막(133), 인장응력막(131)을 관통하여 형성되며, 그 저면이 제3 실리사이드막(127c)의 상면 또는 그 내부에 위치한다.In detail, the first contact hole 151 is positioned in the NMOS transistor region I. The first contact hole 151 is formed through the interlayer insulating layer 140, the etch stop layer 133, and the tensile stress layer 131. 1 is located on or inside the silicide film 127a. In addition, the second contact hole 153 is positioned in the PMOS transistor region II, and is formed through the interlayer insulating layer 140 and the compressive stress layer 135, and a bottom surface thereof is formed on the top surface of the second silicide layer 127b. Or located inside. The third contact hole 155 is positioned on the boundary portion III, and is formed through the interlayer insulating layer 140, the etch stop layer 133, and the tensile stress layer 131, and a bottom surface thereof is formed through the third silicide layer ( It is located on or inside the upper surface of 127c).

이러한 제1 내지 제3 콘택홀(151,153,155)의 내부는 도전성 물질인 콘택플러그(161,163,165)가 형성된다. 이러한 콘택플러그(161,163,165)들은 그 저면이 제1 내지 제3 실리사이드막(127c) 중 어느 하나의 상면 또는 그 내부에 위치하게 된다. The contact plugs 161, 163, and 165, which are conductive materials, are formed in the first to third contact holes 151, 153, and 155. The bottom surfaces of the contact plugs 161, 163, and 165 may be located on or in the upper surface of any one of the first to third silicide layers 127c.

콘택플러그(161,163,165)는 W, Cu 또는 Al 등과 같은 금속 물질이나 도전성 폴리실리콘과 같은 도전성 물질로 채워질 수 있다. 도면상 표시되지는 않았으나, 도전성 물질로 채우기 전에 각각의 콘택홀(151,153,155) 내부를 따라 컨포멀하게 배리어막(미도시)이 더 구비될 수 있다. 배리어막은 콘택홀(151,153,155) 내에 매립되는 금속막의 접촉성을 향상시키기 위한 오믹막(adhesion)과 금속 물질이 확산 되어 실리콘과 반응하는 것을 방지하는 확산 방지막(diffusion barrier)을 포함할 수 있다. 예를 들면, 오믹막은 Ti 또는 Ta 등과 같은 고융점 금속(refractory metal)을 콘택홀의 표면을 따라 컨포말하게 증착하여 형성할 수 있으며, 확산 방지막은 오믹막의 표면을 따라 TiN 또는 TaN 등으로 이루어질 수 있다.The contact plugs 161, 163, and 165 may be filled with a metal material such as W, Cu, or Al, or a conductive material such as conductive polysilicon. Although not shown in the drawings, a barrier layer (not shown) may be further provided along the inside of each of the contact holes 151, 153, and 155 before filling with the conductive material. The barrier layer may include an ohmic layer to improve contactability of the metal layer embedded in the contact holes 151, 153, and 155, and a diffusion barrier to prevent the metal material from diffusing and reacting with the silicon. For example, the ohmic layer may be formed by conformally depositing a high melting point metal (refractory metal) such as Ti or Ta along the contact hole, and the diffusion barrier layer may be formed of TiN or TaN along the surface of the ohmic layer. .

이처럼, 본 발명의 일 실시예에 다른 반도체 소자는 각각의 콘택홀들의 저면에 위치하는 실리사이드막이 완전히 소진되거나 펀치쓰루(punch-through)되지 않고, 콘택플러그들의 저면이 실리사이드막의 상면이나 그 내부와 접촉하도록 형성된다. 따라서, 반도체 소자의 신뢰성과 특성이 향상될 수 있다.As described above, in the semiconductor device according to the exemplary embodiment of the present invention, the silicide layer positioned at the bottom of each contact hole is not exhausted or punched through, and the bottom surface of the contact plug is in contact with the top surface or the inside of the silicide layer. It is formed to. Therefore, the reliability and characteristics of the semiconductor device can be improved.

이하에서는 도 3 내지 도 13을 참조하여 도 2에 도시된 반도체 소자를 제조하는 방법을 예시적으로 설명하기로 한다. 도 3 내지 도 13은 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다. 전술한 반도체 소자에 있어서의 각각의 구성요소에 대한 재질이나 치수 등에 대한 설명은 제조 방법에서도 동일하게 적용되므로, 설명의 중복을 피하기 위하여 이하의 설명에서는 생략하거나 간략하게 하기로 한다. 또한, 이하 제조 방법 설명시 본 발명의 기술분야에서 통상의 지식을 가진 자에게 널리 알려진 공정 단계들에 따라 형성될 수 있는 공정에 대해서는 본 발명이 모호하게 해석되는 것을 피하기 위하여 개략적으로 설명한다. Hereinafter, a method of manufacturing the semiconductor device shown in FIG. 2 will be described with reference to FIGS. 3 to 13. 3 to 13 are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention. Since the descriptions of materials, dimensions, and the like for each component in the semiconductor device described above apply equally to the manufacturing method, the following description will be omitted or briefly described in order to avoid duplication of description. In addition, the process that can be formed according to the process steps that are well known to those skilled in the art in the following description of the manufacturing method will be outlined in order to avoid being ambiguously interpreted.

먼저, 도 3을 참조하면, NMOS 트랜지스터 영역(I) 및 PMOS 트랜지스터 영역(II)이 구비된 반도체 기판(100)을 제공한다. 이 때, NMOS 트랜지스터 영역(I) 및 PMOS 트랜지스터 영역(II) 사이에는 경계부(III)가 위치한다.First, referring to FIG. 3, a semiconductor substrate 100 including an NMOS transistor region I and a PMOS transistor region II is provided. At this time, the boundary III is located between the NMOS transistor region I and the PMOS transistor region II.

보다 상세히 설명하면, 우선 반도체 기판(100)의 소정 영역에 LOCOS(Local Oxidation of Silicon) 공정 또는 STI(Shallow Trench Isolation) 공정을 수행하여 소자분리영역(111)을 형성한다. In more detail, first, a device isolation region 111 is formed by performing a local oxide of silicon (LOCOS) process or a shallow trench isolation (STI) process on a predetermined region of the semiconductor substrate 100.

또한, 도면상 도시되지는 않았으나 NMOS 트랜지스터 영역(I) 및/또는 PMOS 트랜지스터 영역(II)에 웰영역을 형성할 수 있다. 예를 들어, P형 기판을 사용하는 경우, PMOS 트랜지스터 영역(II)에 n형 불순물을 주입하여 n-웰을 형성할 수 있으며, NMOS 트랜지스터 영역(I)에 p형 불순물을 주입하여 p-웰을 형성할 수 있다. Although not shown in the drawings, a well region may be formed in the NMOS transistor region I and / or the PMOS transistor region II. For example, when a P-type substrate is used, n-well may be formed by implanting n-type impurities into the PMOS transistor region II, and p-well may be formed by implanting p-type impurities into the NMOS transistor region I. Can be formed.

도면상 경계부(III)는 소자분리영역(111)에 이루어진 것으로 도시되었지만 이에 한정하는 것은 아니며, 활성영역에 형성될 수도 있음은 물론이다.In the drawing, the boundary portion III is illustrated as being formed in the device isolation region 111, but is not limited thereto, and may be formed in the active region.

그런 다음, 도 4를 참조하면, NMOS 트랜지스터 영역(I) 및 PMOS 트랜지스터 영역(II)에 NMOS 트랜지스터 및 PMOS 트랜지스터를 각각 형성한다. 이 때, 경계부(III)에도 게이트 전극(125c)이 형성될 수 있다. 4, an NMOS transistor and a PMOS transistor are formed in the NMOS transistor region I and the PMOS transistor region II, respectively. In this case, the gate electrode 125c may be formed at the boundary portion III.

NMOS 트랜지스터는 게이트 전극(125a)과 N형 소스/드레인 영역(121a)을 포함하며, 상부에 제1 실리사이드막(127a)을 구비한다. 또한, PMOS 트랜지스터는 게이트 전극(125b)과 P형 소스/드레인 영역(121b)을 포함하며, 상부에 제2 실리사이드막(127b)을 구비한다. NMOS 트랜지스터 영역(I), PMOS 트랜지스터 영역(II) 및 경계부(III)에 구비된 게이트 전극과 소스/드레인 영역의 상부에는 제1 내지 제3 실리사이드막(127a,127b,127c)이 형성된다.The NMOS transistor includes a gate electrode 125a and an N-type source / drain region 121a and a first silicide layer 127a thereon. In addition, the PMOS transistor includes a gate electrode 125b and a P-type source / drain region 121b and includes a second silicide layer 127b thereon. First to third silicide layers 127a, 127b, and 127c are formed on the gate electrode and the source / drain regions provided in the NMOS transistor region I, the PMOS transistor region II, and the boundary portion III.

구체적으로 설명하자면, 먼저, 반도체 기판(100) 전면에 게이트 절연막 및 게이트 전극용 도전막을 형성하고 패터닝하여 게이트 전극(125a,125b,125c)을 형성 한다. 이 때, 게이트 절연막(123)은 실리콘 산화막으로 형성될 수 있는데 이에 한정되는 것은 아니며 실리콘 산화막보다 유전율이 높은 고유전율막으로 형성할 수도 있다. 게이트 전극(125a,125b,125c)은 서로 같거나 혹은 서로 다른 도전형의 불순물이 도핑된 폴리실리콘막, 금속막 등의 단일막 또는 적층막으로 형성될 수 있다. Specifically, first, the gate insulating film and the conductive film for the gate electrode are formed and patterned on the entire surface of the semiconductor substrate 100 to form the gate electrodes 125a, 125b, and 125c. In this case, the gate insulating layer 123 may be formed of a silicon oxide film, but is not limited thereto. The gate insulating film 123 may be formed of a high dielectric constant film having a higher dielectric constant than that of the silicon oxide film. The gate electrodes 125a, 125b, and 125c may be formed of a single film or a stacked film of a polysilicon film or a metal film doped with impurities of the same or different conductivity types.

그리고 나서, NMOS 트랜지스터 영역(I)을 노출시키는 포토레지스트 패턴을 형성하고, 게이트 전극(125a) 양측에 n형 불순물을 주입하여 N형 소스/드레인 영역(121a)을 형성한다. 이 후, NMOS 트랜지스터 영역(I)을 노출시키는 포토레지스트 패턴을 제거하고, PMOS 트랜지스터 영역(II)을 노출시키는 포토레지스트 패턴을 형성하여 PMOS 트랜지스터 영역(II)의 게이트 전극(125b) 양측에도 P형 소스/드레인 영역(121b)을 형성한다. 이와 같이 형성되는 N형 및 P형 소스/드레인 영역(121a,121b)은 DDD(Double Diffused Drain) 또는 LDD(Lightly Doped Drain) 구조로 형성될 수 있다. 이와 같은 방법을 통해 NMOS 트랜지스터와 PMOS 트랜지스터가 완성된다. 설명하지 않은 도면부호 129는 절연성 스페이서를 의미한다.Then, a photoresist pattern exposing the NMOS transistor region I is formed, and n-type impurities are implanted into both sides of the gate electrode 125a to form the N-type source / drain region 121a. Thereafter, the photoresist pattern exposing the NMOS transistor region I is removed, and a photoresist pattern exposing the PMOS transistor region II is formed to form a P-type on both sides of the gate electrode 125b of the PMOS transistor region II. Source / drain regions 121b are formed. The N-type and P-type source / drain regions 121a and 121b formed as described above may be formed of a double diffused drain (DDD) or a lightly doped drain (LDD) structure. In this way, NMOS and PMOS transistors are completed. Reference numeral 129 not described refers to an insulating spacer.

이 후, NMOS 및 PMOS 트랜지스터가 형성된 반도체 기판(100) 전면에 실리사이드용 금속막을 증착하고 열처리하여, 각각의 게이트 전극(125a,125b,125c) 및 소스/드레인 영역(121a,121b) 상에 제1 내지 제3 실리사이드막(127a,127b,127c)을 각각 형성한다.Subsequently, a silicide metal film is deposited on the entire surface of the semiconductor substrate 100 on which the NMOS and PMOS transistors are formed, and then heat-treated to form a first layer on the gate electrodes 125a, 125b and 125c and the source / drain regions 121a and 121b. To third silicide layers 127a, 127b, and 127c, respectively.

다음으로, 도 5 및 도 8을 참조하면, NMOS 트랜지스터 영역에 NMOS 트랜지스터를 덮는 인장응력막을, PMOS 트랜지스터 영역에 PMOS 트랜지스터를 덮는 압축응력막을 각각 형성한다. Next, referring to FIGS. 5 and 8, a tensile stress film covering the NMOS transistor is formed in the NMOS transistor region, and a compressive stress film covering the PMOS transistor is formed in the PMOS transistor region, respectively.

구체적으로 설명하자면, 먼저 도 5에 도시된 바와 같이 화학적 기상증착법(CVD), 예를 들어 열 CVD, PECVD, 고밀도 플라즈마 CVD 등을 이용하여 각각의 영역에 인장응력막(131a)을 형성한다. 이러한 인장응력막(131a)의 두께와 재질은 도 2를 참조하여 전술한 바와 같다. 예를 들어, SiN막질의 인장응력막(131a)을 형성하기 위해서, 소스가스로서 SiH4 등의 실리콘 소스가스와, NH3, N2 등의 질소 소스가스를 사용하고, 약 300 내지 600℃의 온도, 약 1 내지 10torr의 압력 하에서 증착공정을 수행할 수 있다.Specifically, first, as shown in FIG. 5, the tensile stress film 131a is formed in each region by using chemical vapor deposition (CVD), for example, thermal CVD, PECVD, high density plasma CVD, and the like. The thickness and material of the tensile stress film 131a are as described above with reference to FIG. 2. For example, in order to form the SiN film-like tensile stress film 131a, a silicon source gas such as SiH4 and a nitrogen source gas such as NH3 or N2 are used as the source gas, and the temperature is about 300 to 600 캜. The deposition process may be performed under a pressure of 1 to 10 torr.

계속해서, 인장응력막(131a) 상에 식각정지막(133a)을 더 형성할 수 있다. 식각정지막(133)은 전술한 바와 같이 LTO막으로 형성할 수 있다. Subsequently, an etch stop film 133a may be further formed on the tensile stress film 131a. The etch stop film 133 may be formed of an LTO film as described above.

인장응력막(131a)과 식각정지막(133a) 형성 후 PMOS 트랜지스터 영역(II)을 선택적으로 노출시키는 제1 마스크 패턴(P1)을 형성한다. 이러한 제1 마스크 패턴(P1)은 포토레지스트 패턴일 수 있다. 이 때, 공정마진상 NMOS 트랜지스터 영역(I)을 가리는 제1 마스크 패턴(P1)이 경계부(III)의 일부 영역에 걸쳐 형성될 수 있다.After the tensile stress film 131a and the etch stop film 133a are formed, a first mask pattern P1 for selectively exposing the PMOS transistor region II is formed. The first mask pattern P1 may be a photoresist pattern. In this case, a first mask pattern P1 covering the NMOS transistor region I may be formed over a portion of the boundary portion III in the process margin.

그런 다음, 도 6에 도시된 바와 같이, NMOS 트랜지스터 영역(I) 이외의 영역에 형성된 인장응력막 및 식각정지막을 제거하여, NMOS 트랜지스터 영역(I)에 인장응력막(131) 및 식각정지막(133)을 잔류시킨다. 이러한 인장응력막 및 식각정지막 제거공정은 당업계에 통상적으로 사용되는 건식 또는 습식식각 방법에 의할 수 있다. 또한, 인장응력막(131)과 식각정지막(133)은 경계부(III)에도 잔류하게 된다.Then, as shown in FIG. 6, the tensile stress film and the etch stop film formed in the regions other than the NMOS transistor region I are removed, and thus the tensile stress film 131 and the etch stop film ( 133) is left. The tensile stress film and the etch stop film removal process may be by a dry or wet etching method commonly used in the art. In addition, the tensile stress film 131 and the etch stop film 133 remain at the boundary portion III.

형성된 인장응력막(131)은 NMOS 트랜지스터의 채널영역에 높은 인장응력을 가함으로써 캐리어 이동도를 향상시킬 수 있으므로, NMOS 트랜지스터의 성능이 보다 개선될 수 있다.The formed tensile stress film 131 may improve carrier mobility by applying a high tensile stress to the channel region of the NMOS transistor, so that the performance of the NMOS transistor may be further improved.

계속해서, 도 7 내지 도 8을 참조하면, PMOS 트랜지스터 영역(II)에 압축응력막(135)을 형성한다.7 to 8, the compressive stress film 135 is formed in the PMOS transistor region II.

먼저, 도 7에 도시된 바와 같이, 기판 전면에 압축응력막(135a)을 형성시킨다. 압축응력막(135)은 화학적 기상증착법(CVD), 예를 들어 열 CVD, PECVD, 고밀도 플라즈마 CVD 등을 이용하여 형성할 수 있다. 이러한 압축응력막(135a)의 두께와 재질은 도 2를 참조하여 전술한 바와 같다. 이 때, 압축응력막(135a)은 이전에 형성된 NMOS 트랜지스터 영역(I), 즉, 인장응력막(131)과 식각정지막(133) 상에도 형성될 수 있다.First, as shown in FIG. 7, the compressive stress film 135a is formed on the entire surface of the substrate. The compressive stress film 135 may be formed using chemical vapor deposition (CVD), for example, thermal CVD, PECVD, high density plasma CVD, or the like. The thickness and the material of the compressive stress film 135a are as described above with reference to FIG. 2. In this case, the compressive stress film 135a may also be formed on the previously formed NMOS transistor region I, that is, the tensile stress film 131 and the etch stop film 133.

그런 다음, NMOS 트랜지스터 영역(I)을 선택적으로 노출시키는 제2 마스크 패턴(P2), 예를 들면 포토레지스트 패턴을 형성한다. 이 때, 공정마진상 PMOS 트랜지스터 영역(II)을 가리는 제2 마스크 패턴(P2)이 경계부(III)의 일부 영역에 걸쳐 형성될 수 있다.Then, a second mask pattern P2, for example, a photoresist pattern, which selectively exposes the NMOS transistor region I is formed. In this case, a second mask pattern P2 covering the process margin PMOS transistor region II may be formed over a portion of the boundary portion III.

그런 다음, 도 8에 도시된 바와 같이, 제2 마스크 패턴(P2)에 의해 노출된 영역에서 압축응력막을 식각한 다음 제2 마스크 패턴(P2)을 제거하여, PMOS 트랜지스터 영역(II)에 압축응력막(135)을 잔류시킨다. 이 때, 압축응력막(135)은 경계부(III)에도 잔류되다. 이로 인해, 경계부(III)에는 인장응력막(131), 식각정지막(133) 및 압축응력막(135)이 모두 적층된다. 이러한 적층막은 경계부(III)에 형성된 제3 실리사이드막(127c) 상에 위치할 수 있다. 이러한 압축응력막 제거공정은 당업계에 통상적으로 사용되는 건식 또는 습식식각 방법에 의할 수 있다. Then, as shown in FIG. 8, the compressive stress film is etched in the region exposed by the second mask pattern P2 and then the second mask pattern P2 is removed to compress the compressive stress in the PMOS transistor region II. The film 135 is left. At this time, the compressive stress film 135 remains at the boundary portion III. Thus, the tensile stress film 131, the etch stop film 133, and the compressive stress film 135 are all stacked on the boundary portion III. The laminated film may be located on the third silicide film 127c formed at the boundary portion III. This compressive stress film removal process may be by a dry or wet etching method commonly used in the art.

전술한 반도체 소자의 제조 방법에서는 인장응력막 형성공정을 압축응력막 형성공정보다 먼저 수행하였으나 이에 한정되는 것은 아니며, 그 역으로 압축응력막을 인장응력막보다 먼저 형성할 수도 있음은 물론이다. 이 경우, 식각정지막은 인장응력막 대신 압축응력막 상에 형성될 수 있다.In the above-described method of manufacturing a semiconductor device, the tensile stress film forming process is performed before the compressive stress film forming process, but the present invention is not limited thereto. In contrast, the compressive stress film may be formed before the tensile stress film. In this case, the etch stop film may be formed on the compressive stress film instead of the tensile stress film.

그런 다음, 경계부(III)에 형성된 제3 실리사이드막(127c) 상에 중첩된 압축응력막(135)을 제거한다. 이로써, 제3 실리사이드막(127c) 상에도 인장응력막(131)만이 잔류할 수 있어, 제1 내지 제3 실리사이드막(127a,127b,127c) 상에 유사한 두께의 응력막이 존재할 수 있다. 이 때, 식각정지막(133)은 인장응력막(131) 상에 잔류할 수 있거나, 그 일부 또는 전부가 제거될 수도 있다.Then, the compressive stress film 135 overlying the third silicide film 127c formed on the boundary portion III is removed. As a result, only the tensile stress film 131 may remain on the third silicide film 127c, and a stress film having a similar thickness may exist on the first to third silicide films 127a, 127b, and 127c. At this time, the etch stop film 133 may remain on the tensile stress film 131, or part or all of the etch stop film 131 may be removed.

구체적으로 설명하자면, 먼저 도 9에 도시된 바와 같이, 반도체 기판 전면을 덮는 포토레지스트막(P3)을 형성한다.Specifically, as shown in FIG. 9, the photoresist film P3 covering the entire surface of the semiconductor substrate is formed.

계속해서, 도 10에 도시된 바와 같이, 포토레지스트막(P3)을 선택적으로 에치백하여 제3 실리사이드막(127c) 상에 중첩된 압축응력막(135)이 돌출되어 노출되도록 포토레지스트막(P4)을 잔류시킨다. 이 때, 선택적 에치백은 O2 또는 O3 플라즈마를 사용한 RIE 에치백 공정으로 수행될 수 있다. 여기서, 본 발명의 목적범위 내에서 별도의 식각가스를 더 첨가할 수도 있다.Subsequently, as shown in FIG. 10, the photoresist film P4 is selectively etched back so that the compressive stress film 135 superimposed on the third silicide film 127c protrudes and is exposed. ) Is left. In this case, the selective etch back may be performed by a RIE etch back process using an O 2 or O 3 plasma. Here, a separate etching gas may be further added within the scope of the present invention.

그런 다음, 도 11에 도시된 바와 같이, 압축응력막(135)의 노출된 부분을 선택적으로 제거한다. 이 경우, 포토레지스트막(P4)을 잔류시키면서 압축응력막(135)의 돌출되어 노출된 부분을 선택적으로 제거할 수 있는 조건이라면 어떠한 식각방 법이라도 사용될 수 있다. 이로써 제3 실리사이드막(127c) 상에도 단일의 응력막 만이 위치할 수 있다.Then, as shown in FIG. 11, the exposed portion of the compressive stress film 135 is selectively removed. In this case, any etching method may be used as long as the photoresist film P4 is left in the condition that the protruding exposed portions of the compressive stress film 135 can be selectively removed. As a result, only a single stress film may be positioned on the third silicide layer 127c.

한편, 비선택적인 에치백 공정에 의한다면 포토레지스트를 일부 제거하는 공정과 압축응력막의 일부를 제거하는 공정은 단일공정으로 수행할 수 있다. 즉, 도 10의 단계를 거치지 않고 도 11의 결과물을 얻을 수 있다.On the other hand, in the non-selective etch back process, the process of removing part of the photoresist and the process of removing part of the compressive stress film may be performed in a single process. That is, the result of FIG. 11 may be obtained without going through the step of FIG. 10.

이 때, 비선택적인 에치백 공정은 포토레지스트막과 압축응력막을 동시에 제거할 수 있는 공정으로서, 예를 들면 CxFy/CxHyFz/O2계열의 가스를 사용하여 RIE를 이용한 에치백 공정으로 수행할 수 있다. At this time, the non-selective etch back process is a process that can remove the photoresist film and the compressive stress film at the same time, for example, can be performed by an etch back process using RIE using a gas of CxFy / CxHyFz / O2 series. .

그런 다음, 도 12를 참조하면, 잔류된 포토레지스트막(도 11의 P4)을 제거하고, 반도체 기판 전면에 층간 절연막(140)을 형성한 다음, 층간 절연막(140) 상에 콘택홀 형성을 위한 개구부(151a,153a,155a)가 구비된 마스크 패턴(P5)을 형성한다.Next, referring to FIG. 12, the remaining photoresist film (P4 of FIG. 11) is removed, an interlayer insulating layer 140 is formed on the entire surface of the semiconductor substrate, and then a contact hole is formed on the interlayer insulating layer 140. A mask pattern P5 having openings 151a, 153a, and 155a is formed.

층간 절연막(140)은 O3-TEOS(O3-Tetra Ethyl Ortho Silicate), USG(Undoped Silicate Glass), PSG(PhosphoSilicate Glass), BSG(Borosilicate Glass), BPSG(BoroPhosphoSilicate Glass), FSG(Fluoride Silicate Glass), SOG(Spin On Glass), TOSZ(Tonen SilaZene) 또는 이들의 조합을 사용할 수 있다. 여기서, 층간 절연막(140)은 CVD 방식, 스핀 코팅 방식 등을 이용하여 형성할 수 있는데 이에 한정되는 것은 아니다.The interlayer insulating layer 140 may include O 3 -TEOS (O 3 -Tetra Ethyl Ortho Silicate), USG (Undoped Silicate Glass), PSG (PhosphoSilicate Glass), BSG (Borosilicate Glass), BPOG (BoroPhosphoSilicate Glass), FSG (Fluoride Silicate Glass) ), Spin On Glass (SOG), Tonen SilaZene (TOSZ), or a combination thereof may be used. Here, the interlayer insulating layer 140 may be formed using a CVD method, a spin coating method, but is not limited thereto.

계속해서, 도 13에 도시된 바와 같이, 마스크 패턴(도 12의 P5)을 식각마스 크로 하여 식각공정을 수행함으로써, 저면이 제1 내지 제3 실리사이드막(127c)의 상면 또는 그 내부에 위치하는 제1 내지 제3 콘택홀(151,153,155)들을 완성한다.Subsequently, as shown in FIG. 13, an etching process is performed by using the mask pattern (P5 of FIG. 12) as an etch mask so that the bottom surface is located on or inside the first to third silicide layers 127c. The first to third contact holes 151, 153, and 155 are completed.

그런 다음, 마스크 패턴(P5)을 제거하고, 각각의 콘택홀을 매립하는 콘택 플러그(161,163,165)를 형성하여, 도 2에 도시된 반도체 소자를 제조할 수 있다.Thereafter, the mask pattern P5 may be removed, and contact plugs 161, 163, and 165 may be formed to fill the respective contact holes, thereby manufacturing the semiconductor device illustrated in FIG. 2.

콘택플러그(161,163,165)는 W, Cu 또는 Al 등과 같은 금속 물질이나 도전성 폴리실리콘과 같은 도전성 물질로 채워질 수 있다. 도면상 표시되지는 않았으나, 도전성 물질로 채우기 전에 각각의 콘택홀(151,153,155) 내부를 따라 컨포멀하게 배리어막(미도시)을 더 형성할 수 있다. 배리어막은 콘택홀(151,153,155) 내에 매립되는 금속막의 접촉성을 향상시키기 위한 오믹막(adhesion)과 금속 물질이 확산되어 실리콘과 반응하는 것을 방지하는 확산 방지막(diffusion barrier)을 포함할 수 있다. 예를 들면, 오믹막은 Ti 또는 Ta 등과 같은 고융점 금속(refractory metal)을 콘택홀의 표면을 따라 컨포말하게 증착하여 형성할 수 있으며, 확산 방지막은 오믹막의 표면을 따라 TiN 또는 TaN 등을 증착하여 형성할 수 있다.The contact plugs 161, 163, and 165 may be filled with a metal material such as W, Cu, or Al, or a conductive material such as conductive polysilicon. Although not shown in the drawings, a barrier layer (not shown) may be further conformally formed along each of the contact holes 151, 153, and 155 before filling with the conductive material. The barrier layer may include an ohmic layer for improving contactability of the metal layer embedded in the contact holes 151, 153, and 155, and a diffusion barrier for preventing the metal material from diffusing and reacting with the silicon. For example, the ohmic film may be formed by conformally depositing a high melting point metal (refractory metal) such as Ti or Ta along the contact hole, and the diffusion barrier is formed by depositing TiN or TaN along the surface of the ohmic film. can do.

콘택 플러그를 형성하는 공정에서는 층간 절연막(140)의 표면이 노출될 때까지 CMP(Chemical Mechanical Polishing) 또는 에치 백(etch back) 등과 같은 평탄화 공정을 실시할 수 있다. In the process of forming the contact plug, a planarization process such as chemical mechanical polishing (CMP) or etch back may be performed until the surface of the interlayer insulating layer 140 is exposed.

이처럼, 본 발명의 실시예들에 의하면, 과도식각되지 않으며 저면이 실리사이드막의 상면 또는 그 내부에 존재하는 콘택홀 내에 콘택플러그가 안정적으로 형성될 수 있으므로, 저항이나 누설전류 등 반도체 소자의 특성이 향상될 수 있다.As described above, according to the exemplary embodiments of the present invention, since the contact plug may be stably formed in the contact hole which is not over-etched and the bottom surface is present on or inside the silicide layer, the characteristics of the semiconductor device such as resistance or leakage current are improved. Can be.

이상 첨부된 도면을 참고하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. Although embodiments of the present invention have been described above with reference to the accompanying drawings, those skilled in the art to which the present invention pertains may implement the present invention in other specific forms without changing the technical spirit or essential features thereof. I can understand that. Therefore, it should be understood that the embodiments described above are exemplary in all respects and not restrictive.

도 1은 종래기술에 따른 반도체 소자를 도시한 단면도이다. 1 is a cross-sectional view showing a semiconductor device according to the prior art.

도 2는 본 발명의 일 실시예에 따른 반도체 소자를 도시한 단면도이다.2 is a cross-sectional view illustrating a semiconductor device in accordance with an embodiment of the present invention.

도 3 내지 도 13은 본 발명의 일 실시예에 따른 반도체 소자 제조 방법을 순서대로 나타낸 단면도들이다.3 to 13 are cross-sectional views sequentially illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention.

<도면의 주요 부분에 관한 부호의 설명> <Explanation of symbols on main parts of the drawings>

100: 반도체 기판 111: 소자분리영역100: semiconductor substrate 111: device isolation region

121a: N형 소스/드레인 영역 121b: P형 소스/드레인 영역121a: N-type source / drain area 121b: P-type source / drain area

123: 게이트 절연막 125a,125b,125c: 게이트 전극123: gate insulating film 125a, 125b, 125c: gate electrode

127a: 제1 실리사이드막 127b: 제2 실리사이드막127a: first silicide film 127b: second silicide film

127c: 제3 실리사이드막 131: 인장응력막127c: third silicide film 131: tensile stress film

133: 식각정지막 135: 압축응력막133: etch stop film 135: compressive stress film

140: 층간절연막 151: 제1 콘택홀140: interlayer insulating film 151: first contact hole

153: 제2 콘택홀 155: 제3 콘택홀153: second contact hole 155: third contact hole

161,163,165: 콘택플러그161,163,165: Contact Plug

Claims (5)

제1 트랜지스터 영역, 제2 트랜지스터 영역을 구비하는 반도체 기판을 제공하고,Providing a semiconductor substrate having a first transistor region and a second transistor region, 상부에 제1 실리사이드막이 각각 형성된 게이트 전극과 제1 도전형 소스/드레인 영역을 포함하는 제1 트랜지스터 및 상부에 제2 실리사이드막이 각각 형성된 게이트 전극과 제2 도전형 소스/드레인 영역을 포함하는 제2 트랜지스터를 상기 제1 트랜지스터 영역 및 제2 트랜지스터 영역에 각각 형성하고,A first transistor including a gate electrode having a first silicide layer formed thereon and a first conductivity type source / drain region, and a second including a gate electrode having a second silicide layer formed thereon and a second conductive source / drain region formed therein Transistors are formed in the first and second transistor regions, respectively, 상기 제1 트랜지스터 영역에 제1 응력막을, 상기 제2 트랜지스터 영역에 제2 응력막을 순차적으로 형성하되, 상기 제1 트랜지스터 영역과 상기 제2 트랜지스터 영역의 경계부에 구비된 제3 실리사이드막 상에 상기 제1 응력막 및 제2 응력막이 순차적으로 중첩되게 하고,A first stress film is formed in the first transistor region and a second stress film is sequentially formed in the second transistor region, and the first stress film is formed on a third silicide layer provided at a boundary between the first transistor region and the second transistor region. The first stress film and the second stress film are sequentially superimposed, 상기 제3 실리사이드막 상에 중첩된 상기 제2 응력막을 제거하고,Removing the second stress film superimposed on the third silicide film, 상기 반도체 기판 전면에 층간 절연막을 형성하고,An interlayer insulating film is formed on the entire surface of the semiconductor substrate, 상기 층간 절연막을 관통하되 저면이 상기 제1 내지 제3 실리사이드막의 상면 또는 그 내부에 존재하는 콘택홀을 형성하고, A contact hole penetrating through the interlayer insulating layer and having a bottom surface formed on or in the upper surface of the first to third silicide layers; 상기 콘택홀을 매립하는 콘택 플러그를 형성하는 것을 포함하는 반도체 소자의 제조 방법.And forming a contact plug to fill the contact hole. 제1항에 있어서, 상기 제2 응력막을 제거하는 공정은The process of claim 1, wherein the removing of the second stress film is performed. 상기 제1 응력막 및 제2 응력막을 형성한 이후 상기 반도체 기판 전면에 포토레지스트막을 형성하고, 에치백하여 상기 포토레지스트막의 일부를 선택적으로 제거하되 상기 제3 실리사이드막 상의 상기 제2 응력막을 노출시키는 것을 더 포함하고,After forming the first stress film and the second stress film, a photoresist film is formed on the entire surface of the semiconductor substrate, and is etched back to selectively remove a portion of the photoresist film, thereby exposing the second stress film on the third silicide film. Further includes, 상기 제2 응력막을 제거한 다음 잔류된 상기 포토레지스트막을 제거하는 것을 더 포함하는 반도체 소자의 제조 방법.Removing the second stress film, and then removing the remaining photoresist film. 제1항에 있어서, 상기 제2 응력막을 제거하는 공정은The process of claim 1, wherein the removing of the second stress film is performed. 상기 제1 응력막 및 제2 응력막을 형성한 이후 상기 반도체 기판 전면에 포토레지스트막을 형성하고, 상기 포토레지스트막의 일부를 비선택적으로 에치백하되 상기 제3 실리사이드막 상의 상기 제2 응력막을 동시에 제거하는 것을 더 포함하고,After forming the first stress film and the second stress film, a photoresist film is formed on the entire surface of the semiconductor substrate, and a portion of the photoresist film is non-selectively etched back while simultaneously removing the second stress film on the third silicide film. Further includes, 상기 제2 응력막을 제거한 다음 잔류된 상기 포토레지스트막을 제거하는 것을 더 포함하는 반도체 소자의 제조 방법.Removing the second stress film, and then removing the remaining photoresist film. 제1항에 있어서, The method of claim 1, 상기 제1 트랜지스터 및 상기 제2 트랜지스터는 각각 NMOS 트랜지스터 및 PMOS 트랜지스터이고, The first transistor and the second transistor are NMOS transistors and PMOS transistors, respectively. 상기 제1 응력막 및 상기 제2 응력막은 각각 인장응력막 및 압축응력막인 반도체 소자의 제조 방법. And the first stress film and the second stress film are tensile stress films and compressive stress films, respectively. 제1항에 있어서, The method of claim 1, 상기 제1 응력막 및 상기 제2 응력막은 각각 SiN, SION, SiC, SiCN, SiO2 또는 이들의 조합막인 반도체 소자의 제조 방법.And said first stress film and said second stress film are SiN, SION, SiC, SiCN, SiO2, or a combination thereof, respectively.
KR1020070077761A 2006-09-01 2007-08-02 Method for fabricating semiconductor devise KR20080020938A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US84208606A 2006-09-01 2006-09-01
US60/842,086 2006-09-01

Publications (1)

Publication Number Publication Date
KR20080020938A true KR20080020938A (en) 2008-03-06

Family

ID=39395681

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070077761A KR20080020938A (en) 2006-09-01 2007-08-02 Method for fabricating semiconductor devise

Country Status (1)

Country Link
KR (1) KR20080020938A (en)

Similar Documents

Publication Publication Date Title
KR100868098B1 (en) Methods of forming integrated circuit devices, method of forming semiconductor devices, and semiconductor devices formed thereby
KR100858450B1 (en) Semiconductor device and method for manufacturing the same
KR100441682B1 (en) Semiconductor device having LDD-type source/drain regions and fabrication method thereof
US6350661B2 (en) Silicon nitride capped shallow trench isolation method for fabricating sub-micron devices with borderless contacts
KR100703984B1 (en) Fabrication method of semiconductor integrated circuit device and resultant structure
KR100772901B1 (en) Semiconductor device and method of fabricating the same
US6372569B1 (en) Selective formation of hydrogen rich PECVD silicon nitride for improved NMOS transistor performance
KR100772902B1 (en) Semiconductor device and method of fabricating the same
JP2010205908A (en) Semiconductor device, and method of manufacturing the same
JP2001110911A (en) Semiconductor device of soi structure and its manufacturing method
JP3205306B2 (en) Semiconductor device and method of manufacturing the same
US6699746B2 (en) Method for manufacturing semiconductor device
US11437272B2 (en) Semiconductor device and method for fabricating the same
US7649218B2 (en) Lateral MOS transistor and method for manufacturing thereof
US10529854B1 (en) Semiconductor device and method for fabricating the same
US7785951B2 (en) Methods of forming integrated circuit devices having tensile and compressive stress layers therein and devices formed thereby
US6258643B1 (en) Method for forming twin gate CMOS
KR20010001765A (en) Semiconductor device manufacturing method
KR100935770B1 (en) Semiconductor device and method of fabricating the same
KR20080020938A (en) Method for fabricating semiconductor devise
JP3285855B2 (en) Semiconductor device and manufacturing method thereof
KR100362934B1 (en) Method for manufacturing semiconductor device
US20090072318A1 (en) Semiconductor Device and Method of Fabricating the Same
KR20090069857A (en) Method for forming a contact plug in semiconductor device
KR20090098136A (en) Method for manufacturing a semiconductor device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination