KR20070120175A - Cartesian robot cluster tool architecture - Google Patents

Cartesian robot cluster tool architecture Download PDF

Info

Publication number
KR20070120175A
KR20070120175A KR1020077025316A KR20077025316A KR20070120175A KR 20070120175 A KR20070120175 A KR 20070120175A KR 1020077025316 A KR1020077025316 A KR 1020077025316A KR 20077025316 A KR20077025316 A KR 20077025316A KR 20070120175 A KR20070120175 A KR 20070120175A
Authority
KR
South Korea
Prior art keywords
substrate
robot
assembly
processing
cluster tool
Prior art date
Application number
KR1020077025316A
Other languages
Korean (ko)
Other versions
KR100960765B1 (en
Inventor
미키 라이스
제프리 후드겐스
챨스 칼손
윌리엄 틸러 웨버
로버트 로렌스
에릭 잉글하트
딘 씨. 휴제크
다브 실베티
미첼 쿠찰
키르크 반 카티크
반 호스킨즈
비네이 샤
스티브 홍캄
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/315,984 external-priority patent/US7651306B2/en
Priority claimed from US11/315,873 external-priority patent/US7374391B2/en
Priority claimed from US11/315,778 external-priority patent/US20060182535A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070120175A publication Critical patent/KR20070120175A/en
Application granted granted Critical
Publication of KR100960765B1 publication Critical patent/KR100960765B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

A method and apparatus for processing substrates using a multi-chamber processing system that has an increased throughput, increased system reliability, improved device yield performance, a more repeatable wafer processing history, and a reduced footprint. The various embodiments of the cluster tool may utilize two or more robots that are configured in a parallel processing configuration to transfer substrates between the various processing chambers retained in the processing racks so that a desired processing sequence can be performed. In one aspect, the parallel processing configuration contains two or more robot assemblies that are adapted to move in vertical and horizontal directions, to access the various processing chambers retained in the processing racks. In one embodiment, a robot blade is adapted to restrain a substrate so that the accelerations experienced by the substrate during a transferring process will not cause the substrate position to change on the robot blade.

Description

직교 좌표형 로봇 클러스터 툴 아키텍쳐 {CARTESIAN ROBOT CLUSTER TOOL ARCHITECTURE}Cartesian Robot Cluster Tool Architecture {CARTESIAN ROBOT CLUSTER TOOL ARCHITECTURE}

본 발명은 대체로 병렬식으로 다수의 기판을 처리할 수 있는 로봇 및 다중 처리 스테이션을 포함하는 일체화된 처리 시스템에 관한 것이다. The present invention is generally directed to an integrated processing system comprising a robot and multiple processing stations capable of processing multiple substrates in parallel.

전자 장치를 형성하는 처리는 통제된 처리 환경 내에서 순서대로 기판을 처리할 수 있는 (예, 반도체 웨이퍼) 멀티 챔버 처리 시스템 (예, 클러스터 툴)에서 이루어진다. 트랙 리소그래피 툴이라고 대체로 알려진 포로레지스트 물질을 증착(즉, 코우트)하고 현상하기 위해 사용되거나 또는 웨트/세정 툴로서 대체로 설명되는 반도체 세정 처리를 수행하는데 이용되는 전형적인 클러스터 툴은, 포드/카세트 장착 장치와 메인프레임에 연결된 여러 처리 챔버 사이에서 기판을 이전하는 기판 이전 로봇이 하나 이상 들어있는 메인프레임을 포함할 것이다. 클러스터 툴은 통제된 처리 환경에서 반복적으로 기판을 처리할 수 있도록 하는 데 종종 사용된다. 통제된 처리 환경은 많은 이점을 가지며 여기에는 이전 중, 여러 기판 처리 단계 중에 오염을 최소화하는 것도 들어간다. 통제된 환경에서의 처리는 따라서 생성 결함의 수를 줄이며 장치 수율을 개선한다. The processing to form the electronic device takes place in a multi-chamber processing system (eg, cluster tool) capable of processing the substrates in sequence (eg, semiconductor wafer) in a controlled processing environment. A typical cluster tool used to deposit (ie, coat) and develop pororesist materials, commonly known as track lithography tools, or to perform semiconductor cleaning processes, generally described as wet / clean tools, is a pod / cassette mounting apparatus. And a mainframe containing one or more substrate transfer robots for transferring substrates between the various processing chambers connected to the mainframe. Cluster tools are often used to enable substrate processing repeatedly in a controlled processing environment. A controlled processing environment has many advantages, including minimizing contamination during migration and during various substrate processing steps. Treatment in a controlled environment thus reduces the number of production defects and improves device yield.

기판 제조 처리의 효율은 보통 중요한 두 가지 관련 요소인 장치 수율과 소유 비용 (cost of ownership, CoO)으로 측정된다. 이 요소들은 직접적으로 전자 장치 생산 비용에 영향을 미쳐 시장에서의 해당 장치 제조업체 경쟁력에까지 영향을 주기 때문에 중요하다. 소유비용은 다른 많은 요소들의 영향도 받지만 시스템 및 챔버 처리량에 대단히 큰 영향을 받으며 혹은 바람직한 처리 순서를 사용하여 처리된 시간당 기판 수에만 영향을 받을 수 있다. 처리 순서는 대체로 클러스터 툴 내 하나 이상의 처리 챔버에서 완성된 장치 제조 단계, 혹은 처리 레시피(recipe) 단계로 정의된다. 처리 순서는 대체로 여러 기판 (혹은 웨이퍼) 전자 장치 제조 처리 단계를 포함할 수 있다. 소유 비용을 줄이려는 노력의 일환으로 전자 장치 제조업체들은 처리 순서 및 챔버 처리 시간을 최적화하는 데 많은 시간을 들여 주어진 클러스터 툴 아키텍처 한계와 챔버 처리 시간 내에서 가능한 한 최대한의 기판 처리량을 달성하려 한다. 트랙 리소그래피 타입 클러스터 툴에서는 챔버 처리 시간이 다소 짧고 (예, 처리를 마치는 데 약 1분) 전형적인 처리 순서를 끝마치는 데 필요한 처리 단계의 수가 많기 때문에 처리 순서를 마치는 데 드는 시간의 상당 부분이 여러 처리 챔버 간 기판 이전에 쓰인다. 전형적인 트랙 리소그래피 처리 순서는 대체로 다음과 같은 단계를 포함할 것이다. 기판 표면 위에 하나 이상의 균일한 포토레지스트 (혹은 레지스트)층 증착, 기판을 클러스터 툴에서 분리된 스텝퍼(stepper) 혹은 스캐너 툴로 이전시킨 후 포토레지스트 층을 포토레지스트 수정 전자기 방사능에 노광시켜 기판 표면에 패턴 형성, 패턴이 생긴 포토 레지스트 층 현상. 만일 클러스터 툴에서의 기판 처리량이 로봇 제한되지 않는다면 가장 긴 처리 레시피 단계가 대체로 처리 순서의 처리량을 제한할 것이다. 트랙 리소그래피 처리 순서에서는 처리 시간이 짧고 처리 단계 수가 많기 때문에 이와 다르다. 전형적 처리를 하는 트랙 리소그래피 등 기존 제조 처리의 전형적 시스템 처리량은 대체로 시간당 100-120개 사이의 기판일 것이다.The efficiency of the substrate manufacturing process is usually measured by two important factors: device yield and cost of ownership (CoO). These factors are important because they directly affect the cost of producing electronic devices, which in turn affect the competitiveness of the device manufacturers in the market. Cost of ownership is also affected by many other factors, but can be greatly affected by system and chamber throughput, or only by the number of substrates per hour processed using the desired processing sequence. The processing sequence is generally defined as a completed device manufacturing step, or processing recipe step, in one or more processing chambers in a cluster tool. The processing sequence may generally include several substrate (or wafer) electronic device manufacturing processing steps. In an effort to reduce cost of ownership, electronics manufacturers spend a great deal of time optimizing processing sequences and chamber processing times to achieve the maximum possible substrate throughput within the given cluster tool architecture limits and chamber processing times. In a track lithography type cluster tool, the chamber processing time is rather short (e.g., about one minute to complete the process) and the number of processing steps required to complete a typical processing sequence results in a significant portion of the time required to complete the processing sequence. Used before the interchamber substrate. A typical track lithography processing sequence will generally involve the following steps. Deposit one or more uniform photoresist (or resist) layers on the substrate surface, transfer the substrate to a stepper or scanner tool separated from the cluster tool, and then expose the photoresist layer to photoresist-modified electromagnetic radiation to form a pattern on the substrate surface , Patterned photoresist layer development. If the substrate throughput in the cluster tool is not robot limited, the longest processing recipe step will generally limit the throughput of the processing sequence. The track lithography processing sequence is different because of the short processing time and the large number of processing steps. Typical system throughput of conventional manufacturing processes, such as track lithography with typical processing, will typically be between 100-120 substrates per hour.

소유 비용 계산에 있어서 기타 중요한 요소는 시스템 신뢰성과 시스템 가동시간이다. 시스템이 기판 처리를 오래 할 수 없으면 없을수록 클러스터 툴에서 기판을 처리할 기회를 잃기 때문에 사용자의 금전적 손실은 커지게 되고 따라서 이 요소들은 클러스터 툴의 수익성 및 유용성에 매우 중요하다. 그러므로 클러스터 툴의 사용자와 제조업체들은 신뢰성 있는 처리, 신뢰성 있는 하드웨어, 가동시간이 늘어난 신뢰성 있는 시스템 개발을 시도하는데 많은 시간을 소비하는 것이다. Other important factors in the cost of ownership are system reliability and system uptime. If the system cannot process the substrate for a long time, the user loses the opportunity to process the substrate in the cluster tool, thereby increasing the user's financial loss, which is critical for the profitability and usefulness of the cluster tool. Therefore, users and manufacturers of cluster tools spend a lot of time trying to develop reliable systems with reliable processing, reliable hardware, and increased uptime.

장치 처리 속도를 개선하고 장치에 의한 열 발생 감소를 위해 반도체 장치 크기를 줄여야 한다는 산업에서의 요구는 처리 변화도에 대한 오차범위를 야기시켰다. 처리 변화도를 최소화하기 위해 트랙 리소그래피 처리 순서에 있어 중요한 요소는 클러스터 툴을 통과하는 모든 기판이 동일한 "웨이퍼 히스토리"를 갖도록 하는 것이다. 대체로 처리 엔지니어는 기판의 웨이퍼 히스토리를 모니터 및 통제함으로써 후에 장치 성능에 영향을 미칠 수 있는 모든 장비 제조 처리 변수를 통제하여 동일군에 있는 모든 기판이 항상 같은 방식으로 처리되도록 한다. 각각의 기판이 동일한 "웨이퍼 히스토리"를 가지려면 각 기판은 같은 반복적 기판 처리 단계 (예, 일관된 코우팅 처리, 일관된 하드 베이크 처리, 일관된 냉각 처리 등)를 밟아 야 하며 여러 처리 단계 간의 타이밍이 기판마다 동일해야 한다. 리소그래피 형태 장치 제조 처리는 특히 처리 레시피 변수 상의 변화와 레시피 단계 간의 타이밍에 민감하며 이는 처리 변화도 및 궁극적으로 장치 성능에 바로 영향을 미친다. 따라서 처리 변화도 및 처리 단계 간 타이밍 상의 변화도를 최소화하는 처리 순서를 수행할 수 있는 지지 장치 및 클러스터 툴이 필요하다. 또한 원하는 기판 처리량을 달성하면서도 균일하며 반복적인 처리 결과를 보이는 장치 제조 처리를 수행할 수 있는 지지 장치 및 클러스터 툴도 필요하다. The industry's need to reduce the size of semiconductor devices to improve device throughput and reduce heat generation by devices has led to margins of process variation. An important factor in the track lithography process order to minimize process variations is to ensure that all substrates passing through the cluster tool have the same "wafer history". Typically, processing engineers monitor and control the wafer history of the board to control all equipment manufacturing process variables that can later affect device performance, ensuring that all boards in the same group are always processed in the same way. In order for each board to have the same "wafer history," each board must undergo the same iterative substrate processing steps (e.g. consistent coating, consistent hard bake, consistent cooling, etc.) and the timing between the different processing steps Should be the same. Lithographic form device fabrication processes are particularly sensitive to changes in process recipe parameters and timing between recipe steps, which directly affect process variability and ultimately device performance. Accordingly, there is a need for a support device and cluster tool capable of performing a processing sequence that minimizes the degree of change in processing and the change in timing between processing steps. There is also a need for support devices and cluster tools capable of performing device fabrication processes that achieve uniform and repeatable processing results while achieving the desired substrate throughput.

그러므로 바람직한 장치 성능 목표를 충족시키고 시스템 처리량을 증가시켜 처리 순서 소유 비용이 줄어들도록 기판을 처리할 수 있는 시스템 및 방법, 장치가 필요하다. Therefore, what is needed is a system, method, and apparatus that can process substrates to meet desired device performance goals and increase system throughput to reduce processing order ownership costs.

본 발명은, 제 1 처리 래크로서, 수직으로 쌓인 둘 이상의 기판 처리 챔버들을 갖는 처리 챔버의 제 1 그룹; 및 수직으로 쌓인 둘 이상의 처리 챔버들을 갖는 처리 챔버의 제 2 그룹을 포함하는 제 1 처리 래크, -상기 제 1 그룹 및 제 2 그룹의 둘 이상의 기판 처리 챔버들이 제 1 방향으로 정렬된 제 1 측부를 가짐- 상기 제 1 처리 래크에서 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 1 로봇 어셈블리로서, 로봇 블레이드 및 기판 수용면을 갖는 제 1 로봇; -상기 제 1 로봇은 이동 영역을 형성하고 제 1 평면 내에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이루어져 있으며, 상기 제 1 평면은 제 1 방향 및 이 제 1 방향과 수직을 이루는 제 2 방향에 평행함- 상기 제 1 평면에 대체로 수직인 제 3 방향으로 상기 제 1 로봇을 위치시키도록 이루어지고 액츄에이터 어셈블리를 갖는 제 1 운동 어셈블리; 및 상기 제 1 방향에 대체로 평행한 방향으로 상기 제 1 로봇을 위치시키도록 이루어지고 액츄에이터 어셈블리를 갖는 제 2 운동 어셈블리; -기판이 상기 로봇 블레이드의 기판 수용면 상에 위치할 때, 상기 이동 영역은 상기 제 2 방향과 평행하고 상기 제 2 방향으로 상기 기판의 치수보다 약 5% 내지 50% 큰 폭을 가짐-를 포함하는, 제 1 로봇 어셈블리를 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다. The present invention provides a first processing rack comprising: a first group of processing chambers having two or more substrate processing chambers stacked vertically; And a second group of processing chambers having two or more processing chambers stacked vertically,-a first side of which two or more substrate processing chambers of the first group and the second group are aligned in a first direction; Having a first robotic assembly configured to move a substrate from said first processing rack to said substrate processing chambers, said first robotic assembly having a robot blade and a substrate receiving surface; The first robot is configured to form a movement area and to position the substrate at one or more locations generally contained within the first plane, the first plane being in a first direction and a second direction perpendicular to the first direction Parallel to-a first movement assembly adapted to position said first robot in a third direction generally perpendicular to said first plane and having an actuator assembly; And a second movement assembly adapted to position the first robot in a direction generally parallel to the first direction and having an actuator assembly; When the substrate is located on the substrate receiving surface of the robot blade, the moving region is parallel to the second direction and has a width of about 5% to 50% greater than the dimension of the substrate in the second direction. To provide a cluster tool for processing a substrate, comprising a first robotic assembly.

본 발명은, 수직 방향으로 쌓인 둘 이상의 기판 처리 챔버들의 둘 이상의 그룹을 포함하는 제 1 처리 래크; -상기 둘 이상의 그룹의 상기 둘 이상의 기판 처리 챔버들이 제 1 방향을 따라 정렬된 제 1 측부를 가지고 이를 통해 상기 기판 처리 챔버들에 접근함- 수직 방향으로 쌓인 둘 이상의 기판 처리 챔버들의 둘 이상의 그룹을 포함하는 제 2 처리 래크; -상기 둘 이상의 그룹의 상기 둘 이상의 기판 처리 챔버들이 제 1 방향을 따라 정렬된 제 1 측부를 가지고 이를 통해 상기 기판 처리 챔버들에 접근함- 상기 제 1 처리 래크 및 상기 제 2 처리 래크 사이에 위치하고 상기 제 1 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 1 로봇 어셈블리로서, 수평면 내에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이루어진 로봇; 상기 수직 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수직 운동 어셈블리; 및 상기 제 1 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 1 로봇 어셈블리; 상기 제 1 처리 래크 및 상기 제 2 처리 래크 사이에 위치하고 상기 제 1 측부로부터 상기 제 2 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 2 로봇 어셈블리로서, 수평면 내에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이루어진 로봇; 상기 수직 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수직 운동 어셈블리; 및 상기 제 1 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 2 로봇 어셈블리; 및 상기 제 1 처리 래크 및 상기 제 2 처리 래크 사이에 위치하고 상기 제 1 측부로부터 상기 제 2 처리 래크 또는 상기 제 1 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 3 로봇 어셈블리로서, 수평면에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이루어진 로봇; 상기 수직 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수직 운동 어셈블리; 및 상기 제 1 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 3 로봇 어셈블리를 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다. The present invention provides a method for manufacturing a semiconductor device comprising: a first processing rack comprising two or more groups of two or more substrate processing chambers stacked in a vertical direction; The two or more groups of the two or more substrate processing chambers have a first side aligned along a first direction to access the substrate processing chambers through two or more groups of two or more substrate processing chambers stacked in a vertical direction. A second treatment rack comprising; Said two or more groups of said at least two substrate processing chambers having a first side aligned along a first direction and accessing said substrate processing chambers through said first processing rack and said second processing rack A first robotic assembly configured to move a substrate from the first side to the substrate processing chambers of the first processing rack, comprising: a robot configured to position the substrate at one or more locations generally included in a horizontal plane; A vertical motion assembly having a motor configured to position the robot in a direction generally parallel to the vertical direction; And a horizontal motion assembly having a motor configured to position the robot in a direction generally parallel to the first direction. A second robotic assembly located between the first processing rack and the second processing rack and configured to move a substrate from the first side to the substrate processing chambers of the second processing rack, the one or more generally included in a horizontal plane A robot configured to position the substrate in position; A vertical motion assembly having a motor configured to position the robot in a direction generally parallel to the vertical direction; And a horizontal motion assembly having a motor configured to position the robot in a direction generally parallel to the first direction. And positioned between the first processing rack and the second processing rack and configured to move a substrate from the first side to the substrate processing chambers of the first processing rack from the second processing rack or from the first side. 3 A robot assembly comprising: a robot configured to position a substrate at one or more locations generally included in a horizontal plane; A vertical motion assembly having a motor configured to position the robot in a direction generally parallel to the vertical direction; And a horizontal robotic assembly having a motor configured to position the robot in a direction generally parallel to the first direction.

본 발명은, 둘 이상의 수직으로 쌓인 기판 처리 챔버들의 둘 이상의 그룹을 포함하는 제 1 처리 래크; -상기 둘 이상의 그룹의 상기 둘 이상의 수직으로 쌓인 기판 처리 챔버들이, 제 1 방향을 따라 정렬된 제 1 측부 및 제 2 방향을 따라 정렬된 제 2 측부를 가지고 이들을 통해 상기 기판 처리 챔버들에 접근함- 상기 제 1 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 1 로봇 어셈블리로서, 상기 제 1 로봇이, 수평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 1 로봇; 상기 수직 방향에 대체로 평행한 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 모터를 갖는 수직 운동 어셈블리; 및 상기 제 1 방향에 대체로 평행한 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 1 로봇 어셈블리; 및 상기 제 2 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 2 로봇 어셈블리로서, 상기 제 2 로봇이, 수평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 2 로봇; 상기 수직 방향에 대체로 평행한 방향으로 상기 제 2 로봇을 위치시키도록 이루어진 모터를 갖는 수직 운동 어셈블리; 및 상기 제 1 방향에 대체로 평행한 방향으로 상기 제 2 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 2 로봇 어셈블리를 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다. The present invention is directed to a process comprising: a first processing rack comprising two or more groups of two or more vertically stacked substrate processing chambers; The two or more vertically stacked substrate processing chambers of the two or more groups have access to the substrate processing chambers through them having a first side aligned along a first direction and a second side aligned along a second direction A first robotic assembly configured to move a substrate from the first side to the substrate processing chambers of the first processing rack, the first robot positioning the substrate at one or more points generally included in a horizontal plane; A first robot made up of; A vertical movement assembly having a motor configured to position the first robot in a direction generally parallel to the vertical direction; And a horizontal motion assembly having a motor configured to position the first robot in a direction generally parallel to the first direction. And a second robotic assembly configured to move the substrate from the second side to the substrate processing chambers of the first processing rack, the second robot positioning the substrate at one or more points generally included in a horizontal plane. A second robot made up of; A vertical movement assembly having a motor configured to position the second robot in a direction generally parallel to the vertical direction; And a horizontal robotic assembly having a motor configured to position the second robot in a direction generally parallel to the first direction.

본 발명의 실시예는, 이 클러스터 툴에 위치한 둘 이상의 기판 처리 챔버; 및 상기 둘 이상의 기판 처리 챔버로 기판을 이동시키도록 이루어진 제 1 로봇 어셈블리로서, 제 1 방향으로 기판을 위치시키도록 이루어진 제 1 로봇으로서, 기판을 수용하고 수송하도록 이루어진 기판 수용면 및 제 1 단부를 갖는 로봇 블레이드; 제 1 피봇 포인트 및 제 2 피봇 포인트를 갖는 제 1 링키지 부재; 상기 제 2 피봇 포인트에서 상기 제 1 링키지 부재에 회전식으로 결합된 모터; 상기 제 1 피봇 포인트에서 상기 제 1 링키지 부재에 회전식으로 결합되고 상기 로봇 블레이드의 제 1 단부에 부착된 제 1 기어; 및 상기 제 1 기어에 대한 제 2 기어의 기어비가 약 3:1 내지 약 4:3인, 상기 제 1 링키지의 상기 제 2 피봇 지점과 동심적으로 정렬되고 상기 제 1 기어에 회전식으로 결합된 제 2 기어를 포함하는 제 1 로봇; 상기 제 1 방향에 대체로 수직인 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 1 운동 어셈블리; 및 상기 제 2 방향에 대체로 수직인 제 3 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 모터를 갖는 제 2 운동 어셈블리를 포함하는, 제 1 로봇 어셈블리를 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다. Embodiments of the invention include two or more substrate processing chambers located in the cluster tool; And a first robot assembly configured to move a substrate into the at least two substrate processing chambers, the first robot configured to position the substrate in a first direction, the first robot assembly comprising: a substrate receiving surface and a first end configured to receive and transport the substrate; Having a robot blade; A first linkage member having a first pivot point and a second pivot point; A motor rotatably coupled to the first linkage member at the second pivot point; A first gear rotatably coupled to the first linkage member at the first pivot point and attached to a first end of the robot blade; And a second coaxially aligned with the second pivot point of the first linkage and rotatably coupled to the first gear, wherein the gear ratio of the second gear to the first gear is about 3: 1 to about 4: 3. A first robot comprising two gears; A first movement assembly configured to position the first robot in a second direction generally perpendicular to the first direction; And a second motion assembly having a motor configured to position the first robot in a third direction generally perpendicular to the second direction. 2. A cluster tool for processing a substrate, comprising: a first robot assembly; do.

본 발명은, 둘 이상의 수직으로 쌓인 기판 처리 챔버들의 둘 이상의 그룹을 포함하는 제 1 처리 래크; -상기 둘 이상의 그룹의 상기 둘 이상의 수직으로 쌓인 기판 처리 챔버들이, 제 1 방향을 따라 정렬된 제 1 측부 및 제 2 방향을 따라 정렬된 제 2 측부를 가지고 이들을 통해 상기 기판 처리 챔버들에 접근함- 상기 제 1 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 1 로봇 어셈블리로서, 상기 제 1 로봇이, 수평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 1 로봇; 상기 수직 방향에 대체로 평행한 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 모터를 갖는 수직 운동 어셈블리; 및 상기 제 1 방향에 대체로 평행한 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 1 로봇 어셈블리; 및 상기 제 2 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 2 로봇 어셈블리로서, 상기 제 2 로봇이, 수평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 2 로봇; 상기 수직 방향에 대체로 평행한 방향으로 상기 제 2 로봇을 위치시키도록 이루어진 모터를 갖는 수직 운동 어셈블리; 및 상기 제 1 방향에 대체로 평행한 방향으로 상기 제 2 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 2 로봇 어셈블리를 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다. The present invention is directed to a process comprising: a first processing rack comprising two or more groups of two or more vertically stacked substrate processing chambers; The two or more vertically stacked substrate processing chambers of the two or more groups have access to the substrate processing chambers through them having a first side aligned along a first direction and a second side aligned along a second direction A first robotic assembly configured to move a substrate from the first side to the substrate processing chambers of the first processing rack, the first robot positioning the substrate at one or more points generally included in a horizontal plane; A first robot made up of; A vertical movement assembly having a motor configured to position the first robot in a direction generally parallel to the vertical direction; And a horizontal motion assembly having a motor configured to position the first robot in a direction generally parallel to the first direction. And a second robotic assembly configured to move the substrate from the second side to the substrate processing chambers of the first processing rack, the second robot positioning the substrate at one or more points generally included in a horizontal plane. A second robot made up of; A vertical movement assembly having a motor configured to position the second robot in a direction generally parallel to the vertical direction; And a horizontal robotic assembly having a motor configured to position the second robot in a direction generally parallel to the first direction.

본 발명의 실시예는, 이 클러스터 툴에 위치한 둘 이상의 기판 처리 챔버; 및 상기 둘 이상의 기판 처리 챔버로 기판을 이동시키도록 이루어진 제 1 로봇 어셈블리로서, 제 1 방향으로 기판을 위치시키도록 이루어진 제 1 로봇으로서, 기판을 수용하고 수송하도록 이루어진 기판 수용면 및 제 1 단부를 갖는 로봇 블레이드; 제 1 피봇 포인트 및 제 2 피봇 포인트를 갖는 제 1 링키지 부재; 상기 제 2 피봇 포인트에서 상기 제 1 링키지 부재에 회전식으로 결합된 모터; 상기 제 1 피봇 포인트에서 상기 제 1 링키지 부재에 회전식으로 결합되고 상기 로봇 블레이드의 제 1 단부에 부착된 제 1 기어; 및 상기 제 1 기어에 대한 제 2 기어의 기어비가 약 3:1 내지 약 4:3인, 상기 제 1 링키지의 상기 제 2 피봇 지점과 동심적으로 정렬되고 상기 제 1 기어에 회전식으로 결합된 제 2 기어를 포함하는 제 1 로봇; 상기 제 1 방향에 대체로 수직인 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 1 운동 어셈블리; 및 상기 제 2 방향에 대체로 수직인 제 3 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 모터를 갖는 제 2 운동 어셈블리를 포함하는, 제 1 로봇 어셈블리를 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다. Embodiments of the invention include two or more substrate processing chambers located in the cluster tool; And a first robot assembly configured to move a substrate into the at least two substrate processing chambers, the first robot configured to position the substrate in a first direction, the first robot assembly comprising: a substrate receiving surface and a first end configured to receive and transport the substrate; Having a robot blade; A first linkage member having a first pivot point and a second pivot point; A motor rotatably coupled to the first linkage member at the second pivot point; A first gear rotatably coupled to the first linkage member at the first pivot point and attached to a first end of the robot blade; And a second coaxially aligned with the second pivot point of the first linkage and rotatably coupled to the first gear, wherein the gear ratio of the second gear to the first gear is about 3: 1 to about 4: 3. A first robot comprising two gears; A first movement assembly configured to position the first robot in a second direction generally perpendicular to the first direction; And a second motion assembly having a motor configured to position the first robot in a third direction generally perpendicular to the second direction. 2. A cluster tool for processing a substrate, comprising: a first robot assembly; do.

본 발명의 실시예는, 제 1 평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 1 로봇; 수직 운동 어셈블리로서, 수직 방향으로 배향된 선형 레일에 결합된 블록을 포함하는 슬라이드 어셈블리; 상기 블록 및 상기 제 1 로봇에 결합된 지지 플레이트; 및 상기 선형 레일을 따라 수직 위치로 상기 지지 플레이트를 수직으로 위치시키도록 이루어진 액츄에이터를 포함하는 수직 운동 어셈블리; 및 수평 방향으로 상기 제 1 로봇 및 상기 수직 운동 어셈블리를 위치시키도록 이루어진 수평 액츄에이터를 가지고 상기 수직 운동 어셈블리에 결합된 수평 운동 어셈블리를 포함하는, 클러스터 툴에서 기판을 이동시키기 위한 장치를 제공한다. Embodiments of the invention include a first robot configured to position a substrate at one or more points generally included in a first plane; A vertical movement assembly, comprising: a slide assembly comprising a block coupled to a linear rail oriented in a vertical direction; A support plate coupled to the block and the first robot; And an actuator configured to vertically position the support plate in a vertical position along the linear rail; And a horizontal motion assembly coupled to the vertical motion assembly with a horizontal actuator configured to position the first robot and the vertical motion assembly in a horizontal direction.

본 발명의 실시예는, 제 1 평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 1 로봇; 수직 운동 어셈블리로서, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 액츄에이터 어셈블리로서, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 수직 액츄에이터; 및 상기 수직 액츄에이터에 의해 상기 제 1 로봇이 이동될 때 상기 제 1 로봇을 안내하도록 이루어진 수직 슬라이드를 추가로 포함하는, 액츄에이터 어셈블리; 상기 수직 액츄에이터 및 상기 수직 슬라이드로 이루어진 그룹으로부터 선택된 상기 구성요소 중 하나 이상을 둘러싸는 내부 영역을 형성하는 하나 이상의 벽을 갖는 인클로저; 및 상기 인클로저 내부에 네거티브 압력을 생성하도록 이루어진 상기 내부 영역과 유체 소통하는 팬을 포함하는, 수직 운동 어셈블리; 및 상기 제 1 처리 래크의 제 1 측부에 대체로 평행한 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 수평 안내 부재 및 수평 액츄에이터를 갖는 수평 운동 어셈블리를 포함하는, 클러스터 툴에서 기판을 이동시키기 위한 장치를 제공한다. Embodiments of the invention include a first robot configured to position a substrate at one or more points generally included in a first plane; A vertical movement assembly, comprising: an actuator assembly configured to vertically position the first robot, the vertical actuator configured to position the first robot vertically; And a vertical slide adapted to guide the first robot when the first robot is moved by the vertical actuator. An enclosure having one or more walls defining an interior region surrounding at least one of the components selected from the group consisting of the vertical actuator and the vertical slide; And a fan in fluid communication with the interior region configured to generate negative pressure inside the enclosure; And a horizontal movement assembly having a horizontal guide member and a horizontal actuator configured to position the first robot in a direction generally parallel to the first side of the first treatment rack. to provide.

본 발명의 실시예는, 제 1 방향으로 기판을 위치시키도록 이루어진 제 1 로봇 어셈블리로서, 기판 수용면 및 제 1 단부를 갖는 로봇 블레이드; 제 1 피봇 지점 및 제 2 피봇 지점을 갖는 제 1 링키지 부재; 상기 로봇 블레이드의 제 1 단부에 결합되고 상기 제 1 피봇 지점에서 상기 제 1 링키지 부재에 회전식으로 결합된 제 1 기어; 상기 제 1 기어에 회전식으로 결합되고 상기 제 1 링키지의 제 2 피봇 지점과 정렬된 제 2 기어; 및 상기 제 1 링키지 부재에 회전식으로 결합된 제 1 모터를 포함하는, 제 1 로봇 어셈블리; -상기 제 1 모터는 상기 제 2 기어에 대해 상기 제 1 링키지 및 제 1 기어를 회전시킴에 의해 상기 기판 수용면을 위치시키도록 이루어짐- 상기 제 1 방향에 대체로 수직인 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 1 운동 어셈블리; 및 상기 제 2 방향에 대체로 수직인 제 3 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 2 운동 어셈블리를 포함하는, 클러스터 툴에서 기판을 이동시키기 위한 장치를 제공한다. An embodiment of the present invention provides a robot assembly comprising: a first robot assembly configured to position a substrate in a first direction, the robot blade having a substrate receiving surface and a first end; A first linkage member having a first pivot point and a second pivot point; A first gear coupled to the first end of the robot blade and rotatably coupled to the first linkage member at the first pivot point; A second gear rotatably coupled to the first gear and aligned with a second pivot point of the first linkage; And a first motor rotatably coupled to the first linkage member. The first motor is adapted to position the substrate receiving surface by rotating the first linkage and the first gear relative to the second gear-the first in a second direction generally perpendicular to the first direction A first movement assembly configured to position the robot; And a second movement assembly configured to position the first robot in a third direction generally perpendicular to the second direction.

본 발명의 실시예는, 제 1 평면에 대체로 포함된 아크(arc)를 따라 하나 이상의 지점에서 기판을 위치키시도록 이루어진 제 1 로봇 어셈블리로서, 제 1 단부 및 기판 수용면을 갖는 로봇 어셈블리; 및 상기 로봇 블레이드의 제 1 단부에 회전식으로 결합된 모터를 포함하는, 제 1 로봇 어셈블리; 상기 제 1 평면에 대체로 수직인 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 1 운동 어셈블리로서, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 액츄에이터 어셈블리로서, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 수직 액츄에이터 및 상기 수직 액츄에이터에 의해 상기 제 1 로봇이 이동될 때 상기 제 1 로봇을 안내하도록 이루어진 수직 슬라이드를 포함하는, 액츄에이터 어셈블리; 상기 수직 액츄에이터 및 상기 수직 슬라이드로 이루어진 그룹으로부터 선택된 상기 구성요소 중 하나 이상을 둘러싸는 내부 영역을 형성하는 하나 이상의 벽을 갖는 인클로저; 및 상기 인클로저 내부에 네거티브 압력을 생성하도록 이루어지고 상기 내부 영역과 유체 소통하는 팬을 포함하는, 제 1 운동 어셈블리; 및 상기 제 2 방향에 대체로 수직인 제 3 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 2 액츄에이터를 갖는 제 2 운동 어셈블리를 포함하는, 클러스터 툴에서 기판을 이동시키기 위한 장치를 제공한다. Embodiments of the present invention provide a robot assembly comprising a robot assembly having a first end and a substrate receiving surface, the first robot assembly configured to position a substrate at one or more points along an arc generally included in the first plane; And a motor rotatably coupled to the first end of the robot blade. A first movement assembly configured to position the first robot in a second direction generally perpendicular to the first plane, an actuator assembly configured to position the first robot vertically, the first robot positioned vertically An actuator assembly comprising a vertical actuator adapted to guide and a vertical slide configured to guide the first robot when the first robot is moved by the vertical actuator; An enclosure having one or more walls defining an interior region surrounding at least one of the components selected from the group consisting of the vertical actuator and the vertical slide; And a fan adapted to generate negative pressure inside the enclosure and in fluid communication with the interior region; And a second movement assembly having a second actuator configured to position the first robot in a third direction generally perpendicular to the second direction.

본 발명의 실시예는, 제 1 방향으로 기판을 위치시키도록 이루어진 제 1 로봇 어셈블리로서, 제 1 단부 및 기판 수용면을 갖는 로봇 블레이드; 상기 로봇 블레이드의 제 1 단부에 결합된 제 1 기어; 상기 제 1 기어에 회전식으로 결합된 제 2 기어; 상기 제 1 기어에 회전식으로 결합된 제 1 모터; 및 상기 제 2 기어에 회전식으로 결합된 제 2 모터를 포함하는, 제 1 로봇 어셈블리; -상기 제 2 모터는 가변성 기어비를 만들도록 상기 제 1 기어에 대해 상기 제 2 기어를 회전시키도록 이루어짐- 및 상기 제 1 방향에 대체로 수직인 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 1 운동 어셈블리를 포함하는, 클러스터 툴에서 기판을 이동시키기 위한 장치를 제공한다. Embodiments of the present invention provide a robot assembly comprising: a first robot assembly configured to position a substrate in a first direction, the robot blade having a first end and a substrate receiving surface; A first gear coupled to the first end of the robot blade; A second gear rotatably coupled to the first gear; A first motor rotatably coupled to the first gear; And a second motor rotatably coupled to the second gear; The second motor is adapted to rotate the second gear relative to the first gear to create a variable gear ratio, and to position the first robot in a second direction generally perpendicular to the first direction. An apparatus is provided for moving a substrate in a cluster tool, comprising one movement assembly.

본 발명의 실시예는, 기판 지지면을 갖는 베이스; 상기 베이스 상에 위치한 반응 부재; 상기 반응 부재에 대해 기판을 가압하도록(urge) 이루어진 액츄에이터에 결합된 접촉 부재; 및 상기 접촉 부재가 상기 반응 부재에 대해 상기 기판을 가압하도록 위치할 때 상기 접촉 부재의 운동을 대체로 금지하도록 이루어진 브레이크 부재를 포함하는, 기판을 이동시키기 위한 장치를 포함한다. Embodiments of the invention include a base having a substrate support surface; A reaction member located on the base; A contact member coupled to an actuator configured to urge the substrate against the reaction member; And a brake member configured to generally inhibit movement of the contact member when the contact member is positioned to press the substrate against the reaction member.

본 발명의 실시예는, 지지면을 갖는 베이스; 상기 베이스에 위치한 반응 부재; 상기 베이스에 결합된 액츄에이터; 상기 반응 부재에 의해 에지에서 지지되고 상기 지지면 상에 위치한 기판의 에지에 대해 상기 접촉 부재를 가압하도록 이루어진 상기 액츄에이터에 결합된 접촉 부재; 및 브레이크 부재 어셈블리로서, 브레이크 부재; 및 브레이크 액츄에이팅 부재를 포함하고, 상기 브레이크 액츄에이팅가 기판 이동 과정 동안 상기 접촉 부재의 운동을 대체로 금지하는 제한력을 만들도록 상기 접촉 부재에 대해 상기 브레이크 부재를 가압하도록 이루어진, 브레이크 부재 어셈블리를 포함하는, 기판을 이동시키기 위한 장치를 제공한다. Embodiments of the invention include a base having a support surface; A reaction member located at the base; An actuator coupled to the base; A contact member coupled to the actuator supported at the edge by the reaction member and configured to press the contact member against an edge of the substrate located on the support surface; And a brake member assembly, the brake member; And a brake actuating member, the brake actuating member configured to press the brake member against the contact member such that the brake actuating creates a limiting force that generally prohibits movement of the contact member during the substrate movement process. Including, there is provided an apparatus for moving a substrate.

본 발명의 실시예는, 지지면을 갖는 베이스; 상기 베이스 상에 위치한 반응 부재; 접촉 부재 어셈블리로서, 액츄에이터; 및 기판 접촉면 및 이 기판 접촉면 및 상기 액츄에이터 사이에 위치한 순응 부재(compliant member)를 갖는 접촉 부재를 포함하는 접촉 부재 어셈블리; -상기 액츄에이터가 상기 반응 부재의 표면에 대해 위치한 기판에 대해 상기 접촉면을 가압하도록 이루어짐- 브레이크 부재 어셈블리로서, 브레이크 부재; 및 기판 이동 과정 동안 상기 접촉 부재의 움직임을 금지하도록 상기 접촉 부재에 대해 상기 브레이크 부재를 가압하도록 이루어진 브레이크 액츄에이팅 부재를 포함하는 브레이크 부재 어셈블리; 및 상기 접촉면의 위치를 감지하도록 이루어지고 상기 접촉 부재에 결합된 센서를 포함하는, 기판을 이동시키기 위한 장치를 제공합니다. Embodiments of the invention include a base having a support surface; A reaction member located on the base; A contact member assembly, comprising: an actuator; And a contact member having a substrate contact surface and a compliant member positioned between the substrate contact surface and the actuator; The actuator is adapted to press the contact surface against a substrate positioned against the surface of the reaction member, the brake member assembly comprising: a brake member; And a brake actuating member configured to press the brake member against the contact member to prohibit movement of the contact member during a substrate movement process. And a sensor coupled to the contact member and adapted to sense the position of the contact surface.

본 발명의 실시예는, 로봇 어셈블리로서, 제 1 방향으로 로봇 블레이드 상에 위치한 기판을 이동시키도록 이루어진 제 1 로봇; 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 액츄에이터를 갖는 제 1 운동 어셈블리; 및 상기 제 2 방향에 대체로 수직인 제 3 방향으로 상기 제 1 운동 어셈블리 및 상기 제 1 로봇을 위치시키도록 이루어진 제 2 액츄에이터를 가지고 상기 제 1 운동 어셈블리에 결합된 제 2 운동 어셈블리를 포함하는 로봇 어셈블리; 및 상기 로봇 블레이드에 결합되고 기판을 지지하도록 이루어진 기판 그립핑 기구(substrate gripping device)로서, 상기 로봇 블레이드 상에 위치한 반응 부재; 상기 로봇 블레이드에 결합된 액츄에이터; 상기 액츄에이터에 결합된 접촉 부재; -상기 액츄에이터가 상기 접촉 부재 및 상기 반응 부재 사이에 위치한 기판의 에지에 대해 상기 접촉 부재를 가압함에 의해 기판을 제한하도록 이루어짐- 및 브레이크 부재 어셈블리로서, 브레이크 부재 및 기판 이동 과정 동안 상기 접촉 부재의 움직임을 금지하도록 상기 접촉 부재에 대해 상기 브레이크 부재를 가압하도록 이루어진 브레이크 액츄에이팅 부재를 포함하는, 브레이크 부재 어셈블리를 포함하는, 기판 그립핑 기구를 포함하는, 기판을 이동시키기 위한 장치를 제공한다. Embodiments of the present invention provide a robot assembly comprising: a first robot configured to move a substrate located on a robot blade in a first direction; A first movement assembly having an actuator configured to position the first robot in a second direction; And a second movement assembly coupled to the first movement assembly with a second actuator configured to position the first movement assembly and the first robot in a third direction generally perpendicular to the second direction. ; And a substrate gripping device coupled to the robot blade and adapted to support a substrate, the substrate gripping device comprising: a reaction member located on the robot blade; An actuator coupled to the robot blade; A contact member coupled to the actuator; The actuator is adapted to constrain the substrate by pressing the contact member against an edge of the substrate located between the contact member and the reaction member, and as a brake member assembly, movement of the contact member during the brake member and substrate movement process. An apparatus for moving a substrate, comprising a substrate gripping mechanism, comprising a brake member assembly, the brake actuating member configured to press the brake member against the contact member to prohibit the contact.

본 발명의 실시예는, 기판을 이동시키는 방법으로서, 기판 지지 기구 상에 위치한 반응 부재 및 기판 접촉 부재 사이의 이 기판 지지 기구 상에 기판을 위치시키는 단계; 상기 반응 부재에 대해 기판을 가압하고 상기 기판에 대해 상기 기판 접촉 부재를 가압하는 액츄에이터를 이용하여 기판 지지력을 생성시키는 단계; 및 브레이크 어셈블리를 이용하여 기판을 이동시키는 과정 동안 상기 기판 접촉 부재의 움직임을 제한하도록 이루어진 제한력을 생성하는 단계를 포함하는, 기판을 이동시키는 방법을 제공한다. Embodiments of the present invention provide a method of moving a substrate, comprising: positioning a substrate on the substrate support mechanism between a reaction member and a substrate contact member located on the substrate support mechanism; Pressing a substrate against the reaction member and generating a substrate bearing force using an actuator for pressing the substrate contact member against the substrate; And generating a limiting force configured to limit the movement of the substrate contact member during the process of moving the substrate using the brake assembly.

본 발명의 실시예는, 기판 지지 기구 상에 위치한 반응 부재 및 기판 접촉 부재 사이의 상기 기판 지지 기구 상에 기판을 위치시키는 단계; 연결 부재가 상기 기판 접촉 부재에 액츄에이터를 결합시키도록 상기 기판 접촉 부재에 상기 연결 부재를 갖는 상기 액츄에이터를 결합시키는 단계; 상기 반응 부재에 대하여 상기 기판을 그리고 상기 기판에 대해 상기 기판 접촉 부재를 가압하는 액츄에이터를 이용하여 상기 기판에 지지력을 가하는 단계; 상기 기판 접촉 부재 및 상기 연결 부재 사이에 위치한 순응 부재에 에너지를 저장하는 단계; 상기 기판의 이동 과정 동안 상기 지지력의 변화량을 최소화하도록 상기 지지력이 가해진 이후 상기 연결 부재의 이동을 제한하는 단계; 및 상기 순응 부재에 저장된 에너지의 감소에 의해 상기 기판 접촉 부재의 이동을 감지함에 의해 상기 기판의 이동을 감지하는 단계를 포함하는, 기판을 이동시키는 방법을 제공한다. Embodiments of the invention include positioning a substrate on the substrate support mechanism between a reaction member and a substrate contact member located on the substrate support mechanism; Coupling the actuator with the connection member to the substrate contact member such that a connection member couples an actuator to the substrate contact member; Applying a bearing force to the substrate using an actuator that presses the substrate against the reaction member and the substrate contact member against the substrate; Storing energy in a compliant member positioned between the substrate contact member and the connection member; Limiting movement of the connecting member after the supporting force is applied to minimize the amount of change in the supporting force during the movement of the substrate; And sensing movement of the substrate by sensing movement of the substrate contact member by reduction of energy stored in the compliant member.

본 발명의 실시예는, 로봇 기판 지지부 상에서 제 1 처리 챔버 내에 위치한 기판을 수용하는 단계로서, 상기 로봇 기판 지지부 상에 위치한 반응 부재 및 기판 접촉 부재 사이에서 상기 로봇 기판 지지부 상에 기판을 위치시키는 단계; 상기 반응 부재에 대해 상기 기판을 그리고 상기 기판에 대해 상기 기판 접촉 부재를 가압하는 액츄에이터를 이용함에 의해 기판 지지력을 생성시키는 단계; 및 기판을 이동시키는 과정에서 상기 기판 접촉 부재의 이동을 제한하는 제한력을 생성하도록 브레이크 어셈블리를 위치시키는 단계를 포함하는, 기판을 수용하는 단계; 및 제 1 방향으로 원하는 위치에 그리고 이 제 1 방향과 대체로 수직인 제 2 방향으로 원하는 위치에 상기 기판을 위치시키도록 이루어진 제 1 로봇 어셈블리를 이용하여, 상기 제 1 처리 챔버 내의 위치로부터 상기 제 1 방향을 따라 상기 제 1 처리 챔버로부터 이격되어 위치한 제 2 처리 챔버 내의 위치로 상기 로봇 기판 지지부 및 상기 기판을 이동시키는 단계를 포함하는, 기판을 이동시키는 방법을 제공한다. An embodiment of the invention is a method of receiving a substrate located in a first processing chamber on a robot substrate support, the method comprising positioning a substrate on the robot substrate support between a reaction member and a substrate contact member located on the robot substrate support. ; Generating a substrate bearing force by using an actuator that presses the substrate against the reaction member and the substrate contact member against the substrate; And positioning the brake assembly to produce a limiting force that limits the movement of the substrate contact member in the process of moving the substrate; And using a first robotic assembly configured to position the substrate at a desired position in a first direction and at a desired position in a second direction generally perpendicular to the first direction, the first from a position in the first processing chamber. Moving the robotic substrate support and the substrate to a location in a second processing chamber spaced apart from the first processing chamber along a direction.

본 발명의 실시예는, 제 1 방향으로 원하는 위치에 그리고 이 제 1 방향과 대체로 수직인 제 2 방향으로 원하는 위치에 기판을 위치시키도록 이루어진 제 1 로봇 어셈블리를 이용하여 상기 제 1 방향을 따라 위치한 처리 챔버들의 제 1 배열로 기판을 이동시키는 단계; 상기 제 1 방향으로 원하는 위치에 그리고 상기 제 2 방향으로 원하는 위치에 상기 기판을 위치시키도록 이루어진 제 2 로봇 어셈블리를 이용하여 상기 제 1 방향을 따라 위치한 처리 챔버들의 제 2 배열로 기판을 이동시키는 단계; 및 상기 제 1 방향으로 원하는 위치에 그리고 상기 제 2 방향으로 원하는 위치에 상기 기판을 위치시키도록 이루어진 제 3 로봇 어셈블리를 이용하여 상기 제 1 방향을 따라 위치한 처리 챔버들의 상기 제 1 및 제 2 배열로 기판을 이동시키는 단계를 포함하는, 클러스터 툴에서 기판을 이동시키는 방법을 제공한다. Embodiments of the invention are located along the first direction using a first robotic assembly configured to position the substrate at a desired position in a first direction and at a desired position in a second direction generally perpendicular to the first direction. Moving the substrate to a first array of processing chambers; Moving the substrate to a second array of processing chambers located along the first direction using a second robotic assembly configured to position the substrate at a desired position in the first direction and at a desired position in the second direction ; And the first and second arrays of processing chambers located along the first direction using a third robotic assembly configured to position the substrate at a desired position in the first direction and at a desired position in the second direction. A method of moving a substrate in a cluster tool, comprising moving the substrate.

본 발명의 실시예는, 제 1 방향으로 원하는 위치에 그리고 이 제 1 방향과 대체로 수직인 제 2 방향으로 원하는 위치에 기판을 위치시키도록 이루어진 제 1 로봇 어셈블리를 이용하여, 제 1 소통 챔버(first passthru chamber)로부터 상기 제 1 방향을 따라 위치한 처리 챔버들의 제 1 배열로 기판을 이동시키는 단계; 상기 제 1 방향으로 원하는 위치에 그리고 상기 제 2 방향으로 원하는 위치에 기판을 위치시키도록 이루어진 제 2 로봇 어셈블리를 이용하여, 상기 제 1 소통 챔버로부터 상기 처리 챔버들의 제 1 배열로 기판을 이동시키는 단계; 및 전단부 어셈블리에 위치한 전단부 로봇을 이용하여, 기판 카세트로부터 상기 제 1 소통 챔버로 기판을 이동시키는 단계를 포함하고, 상기 전단부 어셈블리가 상기 처리 챔버들의 제 1 배열, 상기 제 1 로봇 어셈블리 및 상기 제 2 로봇 어셈블리를 포함하는 이동 영역에 거의 인접한, 클러스터 툴에서 기판을 이동시키는 방법을 제공한다. Embodiments of the present invention utilize a first robotic assembly using a first robotic assembly configured to position a substrate at a desired position in a first direction and at a desired position in a second direction generally perpendicular to the first direction. moving the substrate from a passthru chamber into a first array of processing chambers located along the first direction; Moving the substrate from the first communication chamber to the first array of processing chambers using a second robotic assembly configured to position the substrate at a desired position in the first direction and at a desired position in the second direction. ; And using a front end robot located in a front end assembly to move the substrate from a substrate cassette to the first communication chamber, wherein the front end assembly comprises a first arrangement of the processing chambers, the first robot assembly and Provided is a method of moving a substrate in a cluster tool, substantially adjacent to a moving region comprising the second robotic assembly.

상기에서 간략하게 요약된 본 발명의 설명은 첨부된 도면에서 도시된 실시예를 참조로 하여, 본 발명의 상기 언급된 특징들이 상세하게 이해될 수 있다. 첨부된 도면은 본 발명의 오직 전형적인 실시예 만을 도시한 것이고 따라서 그 범위를 제한하려는 것으로 이해되어서는 안 되며 본 발명은 다른 동일하게 효과적인 실시예를 허용할 수 있다. Description of the invention briefly summarized above, with reference to the embodiments shown in the accompanying drawings, the above-mentioned features of the present invention can be understood in detail. The accompanying drawings illustrate only typical embodiments of the invention and therefore should not be construed to limit the scope thereof, but the invention may allow other equally effective embodiments.

도 1A는 본 발명의 클러스터 툴의 일 실시예를 도시하는 등축도이다. 1A is an isometric view showing one embodiment of the cluster tool of the present invention.

도 1B는 본 발명에 따라 도 1A에서 도시된 처리 시스템의 평면도이다. 1B is a top view of the processing system shown in FIG. 1A in accordance with the present invention.

도 1C는 본 발명에 따른 제 1 처리 래크(60)의 일 실시예를 도시하는 측면도 이다. 1C is a side view illustrating one embodiment of a first treatment rack 60 in accordance with the present invention.

도 1D는 본 발명에 따른 제 2 처리 래크(80)의 일 실시예를 도시하는 측면도이다. 1D is a side view illustrating one embodiment of a second treatment rack 80 in accordance with the present invention.

도 1E는 본 발명에 따른 도 1B에서 도시된 처리 시스템의 평면도이다. 1E is a top view of the processing system shown in FIG. 1B in accordance with the present invention.

도 1F는 다양한 처리 방법 단계를 포함한 처리 순서의 일 실시예를 도시한다. 1F illustrates one embodiment of a processing sequence including various processing method steps.

도 1G는 도 1B에서 도시된 처리 시스템의 평면도이고, 이는 클러스터 툴을 통해 기판의 이동 경로를 도시하고, 이는 도 1F에서 도시된 처리 순서를 따른다. FIG. 1G is a top view of the processing system shown in FIG. 1B, which shows the path of travel of the substrate through the cluster tool, which follows the processing sequence shown in FIG. 1F.

도 2A는 본 발명에 따른 처리 시스템의 평면도이다. 2A is a plan view of a processing system according to the present invention.

도 2B는 본 발명에 따른 도 2A에서 도시된 처리 시스템의 평면도이다. 2B is a plan view of the processing system shown in FIG. 2A in accordance with the present invention.

도 2C는 도 2B에서 도시된 처리 시스템의 평면도이고, 이는 클러스터 툴을 통한 기판의 이동 경로를 도시하며, 이는 도 1F에서 도시된 처리 순서를 따른다. FIG. 2C is a top view of the processing system shown in FIG. 2B, which shows the path of travel of the substrate through the cluster tool, which follows the processing sequence shown in FIG. 1F.

도 3A는 본 발명에 따른 처리 시스템의 평면도이다. 3A is a plan view of a processing system according to the present invention.

도 3B는 도 3A에서 도시된 처리 시스템의 평면도이고, 이는 클러스터 툴을 통한 기판의 이동 경로를 도시하며, 이는 도 1F에서 도시된 처리 순서를 따른다. FIG. 3B is a top view of the processing system shown in FIG. 3A, which shows the path of travel of the substrate through the cluster tool, which follows the processing sequence shown in FIG. 1F.

도 4A는 본 발명에 따른 처리 시스템의 평면도이다. 4A is a plan view of a processing system according to the present invention.

도 4B는 도 4A에서 도시된 처리 시스템의 평면도이고, 이는 클러스터 툴을 통한 기판의 이동 경로를 도시하며, 이는 도 1F에서 도시된 처리 순서를 따른다. 4B is a top view of the processing system shown in FIG. 4A, which illustrates the path of travel of the substrate through the cluster tool, which follows the processing sequence shown in FIG. 1F.

도 5A는 본 발명에 따른 처리 시스템의 평면도이다. 5A is a plan view of a processing system according to the present invention.

도 5B는 도 5A에서 도시된 처리 시스템의 평면도이고, 이는 클러스터 툴을 통한 기판의 이동 경로를 도시하며, 이는 도 1F에서 도시된 처리 순서를 따른다. FIG. 5B is a top view of the processing system shown in FIG. 5A, which shows the path of travel of the substrate through the cluster tool, which follows the processing sequence shown in FIG. 1F.

도 6A는 본 발명에 따른 처리 시스템의 평면도이다. 6A is a plan view of a processing system according to the present invention.

도 6B는 도 6A에서 도시된 처리 시스템의 평면도이고, 이는 클러스터 툴을 통한 기판의 두 가능한 이동 경로를 도시하며, 이는 도 1F에서 도시된 처리 순서를 따른다. FIG. 6B is a top view of the processing system shown in FIG. 6A, which shows two possible paths of travel of the substrate through the cluster tool, following the processing sequence shown in FIG. 1F.

도 6C는 본 발명에 따른 처리 시스템의 평면도이다. 6C is a plan view of a processing system according to the present invention.

도 6D는 도 6C에서 도시된 처리 시스템의 평면도이고, 이는 클러스터 툴을 통한 기판의 두 개의 가능한 이동 경로를 도시하며, 이는 도 1F에서 도시된 처리 순서를 따른다. FIG. 6D is a top view of the processing system shown in FIG. 6C, which shows two possible paths of travel of the substrate through the cluster tool, which follows the processing sequence shown in FIG. 1F.

도 7A는 본 발명에 따른 교환 챔버의 일 실시예의 측면도이다. 7A is a side view of one embodiment of an exchange chamber in accordance with the present invention.

도 7B는 본 발명에 따라, 도 1B에서 도시된 처리 시스템의 평면도이다. 7B is a top view of the processing system shown in FIG. 1B, in accordance with the present invention.

도 8A는 도 1A에서 도시된 클러스터 툴의 다른 실시예를 도시하는 등축도이고, 이는 본 발명에 따라 부착된 주위 인클로저를 갖는다. 8A is an isometric view showing another embodiment of the cluster tool shown in FIG. 1A, which has a peripheral enclosure attached in accordance with the present invention.

도 8B는 본 발명에 따라, 도 8A에서 도시된 클러스터 툴의 단면도이다. 8B is a cross-sectional view of the cluster tool shown in FIG. 8A, in accordance with the present invention.

도 8C는 본 발명에 따른 일 구성의 단면도이다. 8C is a sectional view of one configuration according to the present invention.

도 9A는 클러스터 툴의 다양한 실시예에서 기판을 이동시키도록 이루어질 수 있는 로봇의 일 실시예를 도시하는 등축도이다. 9A is an isometric view illustrating one embodiment of a robot that may be configured to move a substrate in various embodiments of a cluster tool.

도 10A는 본 발명에 따른 단일 로봇 어셈블리를 갖는 로봇 하드웨어 어셈블리의 일 실시예를 도시하는 등축도이다. 10A is an isometric view illustrating one embodiment of a robot hardware assembly with a single robot assembly in accordance with the present invention.

도 10B는 본 발명에 따른 이중 로봇 어셈블리를 갖는 로봇 하드웨어의 일 실 시예를 도시하는 등축도이다. 10B is an isometric view showing one embodiment of robot hardware with a dual robot assembly in accordance with the present invention.

도 10C는 본 발명에 따라 도 10A에서 도시된 로봇 하드웨어 어셈블리의 일 실시예를 도시하는 단면도이다. 10C is a cross-sectional view illustrating one embodiment of the robot hardware assembly shown in FIG. 10A in accordance with the present invention.

도 10D는 본 발명에 따라 로봇 하드웨어 어셈블리의 일 실시예를 도시하는 단면도이다. 10D is a cross-sectional view illustrating one embodiment of a robot hardware assembly in accordance with the present invention.

도 10E는 본 발명에 따라 도 10A에서 도시된 로봇 하드웨어 어셈블리의 일 실시예의 단면도이다. 10E is a cross-sectional view of one embodiment of the robot hardware assembly shown in FIG. 10A in accordance with the present invention.

도 11A는 본 발명에 따라 처리 챔버로 기판을 이동시킴에 따른 로봇 블레이드의 다양한 위치를 도시하는 로봇 어셈블리의 일 실시예의 평면도이다.11A is a plan view of one embodiment of a robotic assembly showing various positions of a robot blade as it moves a substrate into a processing chamber in accordance with the present invention.

도 11B는 본 발명에 따라 처리 챔버로 이동되는 기판 중심의 다양한 가능한 경로를 도시한다. 11B illustrates various possible paths of the substrate center to the processing chamber in accordance with the present invention.

도 11C는 본 발명에 따라 처리 챔버로 기판을 이동시킴에 따른 로봇 블레이드의 다양한 위치를 도시하는 로봇 어셈블리의 일 실시예의 평면도이다. 11C is a plan view of one embodiment of a robotic assembly showing various positions of a robot blade as it moves a substrate into a processing chamber in accordance with the present invention.

도 11E는 본 발명에 따라 처리 챔버로 기판을 이동시킴에 따른 로봇 블레이드의 다양한 위치를 도시하는 로봇 어셈블리의 일 실시예의 평면도이다. 11E is a plan view of one embodiment of a robotic assembly showing various positions of the robot blade as it moves the substrate into the processing chamber in accordance with the present invention.

도 11F는 본 발명에 따라 처리 챔버로 기판을 이동시킴에 따른 로봇 블레이드의 다양한 위치를 도시하는 로봇 어셈블리의 일 실시예의 평면도이다. 11F is a plan view of one embodiment of a robotic assembly showing various positions of a robot blade as it moves a substrate into a processing chamber in accordance with the present invention.

도 11G는 본 발명에 따라 처리 챔버로 기판을 이동시킴에 따른 로봇 블레이드의 다양한 위치를 도시하는 로봇 어셈블리의 일 실시예의 평면도이다. 11G is a plan view of one embodiment of a robotic assembly showing various positions of the robot blade as it moves the substrate into the processing chamber in accordance with the present invention.

도 11H는 본 발명에 따라 처리 챔버로 기판을 이동시킴에 따른 로봇 블레이 드의 다양한 위치를 도시하는 로봇 어셈블리의 일 실시예의 평면도이다. 11H is a top view of one embodiment of a robotic assembly showing various locations of the robot blade as it moves the substrate into the processing chamber in accordance with the present invention.

도 11I는 본 발명에 따라 처리 챔버로 기판을 이동시킴에 따른 로봇 블레이드의 다양한 위치를 도시하는 로봇 어셈블리의 일 실시예의 평면도이다. 11I is a plan view of one embodiment of a robotic assembly showing various positions of a robot blade as it moves a substrate into a processing chamber in accordance with the present invention.

도 11J는 본 발명에 따른 로봇 어셈블리의 일 실시예의 평면도이다. 11J is a plan view of one embodiment of a robotic assembly in accordance with the present invention.

도 11K는 처리 래크 근처에 위치한 로봇 어셈블리의 종래의 SCARA 로봇의 평면도이다. 11K is a top view of a conventional SCARA robot of the robotic assembly located near the treatment rack.

도 12A는 본 발명에 따른 도 9A에서 도시된 수평 운동 어셈블리의 단면도이다. 12A is a cross-sectional view of the horizontal motion assembly shown in FIG. 9A in accordance with the present invention.

도 12B는 본 발명에 따른 도 9A에서 도시된 수평 운동 어셈블리의 단면도이다. 12B is a cross-sectional view of the horizontal motion assembly shown in FIG. 9A in accordance with the present invention.

도 12C는 본 발명에 따른 도 9A에서 도시된 수평 운동 어셈블리의 단면도이다. 12C is a cross-sectional view of the horizontal motion assembly shown in FIG. 9A in accordance with the present invention.

도 13A는 본 발명에 따른 도 9A에서 도시된 수직 운동 어셈블리의 단면도이다. 13A is a cross-sectional view of the vertical motion assembly shown in FIG. 9A in accordance with the present invention.

도 13B는 도 13A에서 도시된 로봇의 일 실시예를 도시하는 등축도이고, 이는 클러스터 툴의 다양한 실시예에서 기판을 이동시키도록 이루어질 수 있다. FIG. 13B is an isometric view showing one embodiment of the robot shown in FIG. 13A, which may be made to move the substrate in various embodiments of the cluster tool.

도 14A는 클러스터 툴의 다양한 실시예에서 기판을 이동시키도록 이루어질 수 있는 로봇의 일 실시예를 도시하는 등축도이다. 14A is an isometric view illustrating one embodiment of a robot that may be configured to move a substrate in various embodiments of a cluster tool.

도 15A는 클러스터 툴의 다양한 실시예에서 기판을 이동시키도록 이루어질 수 있는 로봇의 일 실시예를 도시하는 등축도이다. 15A is an isometric view illustrating one embodiment of a robot that may be configured to move a substrate in various embodiments of a cluster tool.

도 16A는 클러스터 툴의 다양한 실시예에서 기판을 이동시키도록 이루어질 수 있는 로봇 블레이드 어셈블리의 일 실시예를 도시하는 평면도이다. 16A is a plan view illustrating one embodiment of a robot blade assembly that may be configured to move a substrate in various embodiments of a cluster tool.

도 16B는 도 16A에서 도시된 로봇 블레이드 어셈블리의 일 실시예를 도시하는 측단면도이고, 이는 클러스터 툴의 다양한 실시예에서 기판을 이동시키도록 이루어질 수 있다. FIG. 16B is a side cross-sectional view illustrating one embodiment of the robot blade assembly shown in FIG. 16A, which may be made to move the substrate in various embodiments of the cluster tool.

도 16C는 클러스터 툴의 다양한 실시예에서 기판을 이동시키도록 이루어질 수 있는 로봇 블레이드 어셈블리의 일 실시예를 도시하는 평면도이다. 16C is a plan view illustrating one embodiment of a robot blade assembly that may be configured to move a substrate in various embodiments of a cluster tool.

도 16D는 클러스터 툴의 다양한 실시예에서 기판을 이동시키도록 이루어질 수 있는 로봇 블레이드 어셈블리의 일 실시예를 도시하는 평면도이다. FIG. 16D is a plan view illustrating one embodiment of a robot blade assembly that may be configured to move a substrate in various embodiments of a cluster tool. FIG.

본 발명은 다중 챔버 처리 시스템(예를 들어 클러스터 툴)을 이용하여 기판을 처리하기 위한 방법 및 장치를 대체로 제공하고, 이 시스템은 증가된 시스템 처리량, 증가된 시스템 신뢰도, 향상된 기구 수득률 성능, 더 반복 가능한 웨이퍼 처리 히스토리(또는 웨이퍼 히스토리) 및 감소된 풋프린트(footprint)를 가진다. 일 실시예에서, 클러스터 툴은 트랙 리소그래피 처리를 수행하도록 이루어지고, 이 경우 기판은 감광성 물질로 코팅되며, 이후 스텝퍼/스캐너로 이동되고, 이는 감광성 물질을 일정한 형태의 복사에 노출시켜 감광성 물질에 패턴을 형성하며 이후 감광성 물질의 일정량이 클러스터 툴에서 완료된 현상 공정에서 제거된다. 다른 실시예에서, 클러스터 툴은 웨트/세정 공정 순서를 수행하도록 이루어지고, 이 경우 다 양한 기판 세정 공정이 클러스터 툴의 기판 상에서 수행된다. The present invention generally provides a method and apparatus for processing substrates using a multi-chamber processing system (e.g., cluster tool), which system provides increased system throughput, increased system reliability, improved instrument yield performance, more repeatability Possible wafer processing history (or wafer history) and reduced footprint. In one embodiment, the cluster tool is adapted to perform a track lithography process, in which case the substrate is coated with a photosensitive material and then moved to a stepper / scanner, which exposes the photosensitive material to some form of radiation to pattern the photosensitive material. And a certain amount of photosensitive material is then removed in the development process completed in the cluster tool. In another embodiment, the cluster tool is adapted to perform a wet / clean process sequence, in which case various substrate cleaning processes are performed on the substrate of the cluster tool.

도 1-6은 본 발명의 다양한 실시예와 관련되어 이용될 수 있는 다양한 로봇 및 처리 챔버 구성을 도시한다. 클러스터 툴(10)의 다양한 실시예는 둘 이상의 로봇을 대체로 이용하고, 이 로봇은 처리 래크(예를 들어 요소(60, 80) 등)에 보유된 다양한 처리 챔버들 사이에서 기판을 이동시키기 위해 평행한 처리 구성으로 구성되며 이에 의해 원하는 처리 순서가 기판 상에서 수행될 수 있다. 일 실시예에서, 평행한 처리 구성은 둘 이상의 로봇 어셈블리(11)(도 1A 및 1B에서 요소(11A, 11B, 11C))를 포함하고, 이는 수직(이후 z-방향) 및 수평 방향, 즉 이동 방향(x-방향) 및 이동 방향에 수직한 방향(y-방향)으로 기판을 이동시키도록 이루어지며, 이에 의해 기판은 이동 방향을 따라 정렬된 처리 래크(예를 들어 요소(60, 80))에 보유된 다양한 처리 챔버들에서 처리될 수 있다. 평행한 처리 구성의 일 장점은, 로봇 블레이드 중 하나가 작동 불능이 되거나 또는 정비를 위해 분해된 경우에도 시스템은 시스템에 있는 다른 로봇을 이용하여 계속 기판을 처리할 수 있다는 것이다. 대체로, 여기서 설명된 다양한 실시예는, 기판 처리 챔버들의 각각의 열 또는 그룹이 둘 이상의 로봇에 의해 정비되고 이에 의해 증가된 처리량 및 증가된 시스템 신뢰도를 제공한다. 또한, 여기서 설명된 다양한 실시예는 기판 이동 메커니즘에 의해 생성된 입자를 최소화하고 제어하도록 구성되는 것이 일반적이고 이에 의해 클러스터 툴의 CoO에 영향을 미칠 수 있는 기구 수득률 및 기판 스크랩(scrap) 문제를 막는다. 이러한 구성의 다른 장점은 가요성이 있다는 것이고, 모듈 형태의 아키텍쳐(flexible and modular architecture)는 사용자의 처리량 요구에 맞추는데 필요한 처리 챔버, 처리 래크, 및 처리 로봇의 수를 구성하도록 한다. 도 1-6은 본 발명의 다양한 태양을 수행하는데 필요할 수 있는 로봇 어셈블리(11)의 일 실시예를 도시하고, 다른 형태의 로봇 어셈블리(11)가 본 발명의 기본 범위로부터 벗어나지 아니한 채 동일한 기판 이동 및 위치 기능을 수행하도록 이루어질 수 있다. 1-6 illustrate various robot and processing chamber configurations that may be used in connection with various embodiments of the present invention. Various embodiments of the cluster tool 10 generally employ two or more robots, which are parallel to move the substrate between the various processing chambers held in the processing racks (eg, elements 60, 80, etc.). It consists of one processing configuration whereby the desired processing sequence can be performed on the substrate. In one embodiment, the parallel processing configuration comprises two or more robotic assemblies 11 (elements 11A, 11B, 11C in FIGS. 1A and 1B), which are vertical (hereafter z-direction) and horizontal directions, i.e., movement To move the substrate in a direction (x-direction) and in a direction perpendicular to the direction of movement (y-direction), whereby the substrate is aligned with the direction of movement (for example, elements 60 and 80). It can be processed in a variety of processing chambers held in. One advantage of a parallel processing configuration is that even if one of the robot blades becomes inoperable or disassembled for maintenance, the system can continue to process the substrate using another robot in the system. In general, the various embodiments described herein provide that each row or group of substrate processing chambers is maintained by two or more robots thereby providing increased throughput and increased system reliability. In addition, the various embodiments described herein are generally configured to minimize and control particles produced by the substrate movement mechanism, thereby avoiding substrate yield and substrate scrap issues that can affect the CoO of the cluster tool. . Another advantage of this configuration is that it is flexible, and the flexible and modular architecture allows you to configure the number of processing chambers, processing racks, and processing robots required to meet your throughput needs. 1-6 illustrate one embodiment of a robotic assembly 11 that may be required to carry out various aspects of the present invention, and other forms of robotic assembly 11 moving the same substrate without departing from the basic scope of the present invention. And a location function.

제 1 클러스터 툴 구성Configure the First Cluster Tool

A. 시스템 구성A. System Configuration

도 1A는 클러스터 툴(10)의 일 실시예의 등축도이고, 이는 유리하게 이용될 수 있는 본 발명에 따른 다수의 태양을 도시한다. 도 1A는 세 개의 로봇을 포함한 클러스터 툴(10)의 실시예를 도시하고, 이 로봇은 다양한 처리 챔버에 접근하도록 이루어지며, 이 챔버는 제 1 처리 래크(60) 및 제 2 처리 래크(80) 및 외부 모듈(5)에 수직으로 적층된다. 일 태양에서 클러스터 툴(10)이 포토리소그래피 처리 순서를 완료하는데 이용될 때, 외부 모듈(5)은 스텝퍼/스캐너 도구일 수 있고, 이는 후방 영역(45)에 부착되어(도 1A에서는 미도시) 일정한 추가적인 노광 형태 처리 단계(들)을 수행한다. 도 1A에서 도시된 것처럼, 클러스터 툴(10)의 일 실시예는 전단부 모듈(24) 및 중앙 모듈(25)을 포함한다. 1A is an isometric view of one embodiment of the cluster tool 10, which illustrates a number of aspects in accordance with the present invention that may be advantageously employed. 1A shows an embodiment of a cluster tool 10 that includes three robots, which robots are configured to access various processing chambers, which chambers have a first processing rack 60 and a second processing rack 80. And vertically stacked on the outer module 5. In one aspect, when the cluster tool 10 is used to complete the photolithography processing sequence, the external module 5 may be a stepper / scanner tool, which is attached to the rear region 45 (not shown in FIG. 1A). Perform certain additional exposure pattern processing step (s). As shown in FIG. 1A, one embodiment of the cluster tool 10 includes a front end module 24 and a central module 25.

도 1B는 도 1A에서 도시된 클러스터 툴(10)의 실시예의 평면도이다. 전단부 모듈(24)은 대체로 하나 이상의 포드 어셈블리(105)(예를 들어 물품(105A-D)) 및 전단부 로봇 어셈블리(15)(도 1B)를 포함한다. 하나 이상의 포드 어셈블리(105) 또는 전단부 개구 통합 포드(front-end opening unified pods, FOUPs)는 대체로 하 나 이상의 카세트(106)를 수용하도록 이루어지고, 이 카세트는 하나 이상의 기판("W") 또는 웨이퍼를 포함할 수 있고, 이 기판 또는 웨이퍼는 클러스터 툴(10)에서 처리될 것이다. 일 태양에서, 전단부 모듈(24)도 하나 이상의 개통구(pass-through) 위치(9)를 포함한다(예를 들어 요소(9A-C), 도 1B). 1B is a top view of the embodiment of the cluster tool 10 shown in FIG. 1A. The front end module 24 generally includes one or more pod assemblies 105 (eg, articles 105A-D) and the front end robot assembly 15 (FIG. 1B). One or more pod assemblies 105 or front-end opening unified pods (FOUPs) are generally adapted to receive one or more cassettes 106, which cassettes may comprise one or more substrates ("W") or It can include a wafer, which substrate or wafer will be processed in the cluster tool 10. In one aspect, the front end module 24 also includes one or more pass-through positions 9 (eg elements 9A-C, FIG. 1B).

일 태양에서, 중앙 모듈(25)은 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B), 제 3 로봇 어셈블리(11C), 후방 로봇 어셈블리(40), 제 1 처리 래크(60) 및 제 2 처리 래크(80)를 가진다. 제 1 처리 래크(60) 및 제 2 처리 래크(80)는 다양한 처리 챔버들(예를 들어, 이하에서 언급되는 코우터/현상기 챔버, 베이크 챔버, 냉각 챔버, 웨트 세정 챔버 등(도 1C-D))을 포함하고, 이들은 기판 처리 순서에서 공지된 다양한 처리 단계들을 수행하도록 이루어진다. In one aspect, the central module 25 includes a first robotic assembly 11A, a second robotic assembly 11B, a third robotic assembly 11C, a rear robotic assembly 40, a first processing rack 60 and a first robotic assembly 11A. It has two processing racks 80. The first treatment rack 60 and the second treatment rack 80 may comprise various processing chambers (e.g., coater / developing chambers, bake chambers, cooling chambers, wet cleaning chambers, etc., described below (FIG. 1C-D). )), Which are adapted to perform various processing steps known in the substrate processing sequence.

도 1C 및 1D는 제 1 처리 래크(60) 및 제 2 처리 래크(80)의 일 실시예의 측면도를 도시하고, 이는 측부(60A)에 가장 가까운 위치에 서서 제 1 처리 래크(60) 및 제 2 처리 래크(80)를 바라볼 때의 모습이고, 따라서 도 1-6에서 도시된 모습과 일치할 것이다. 제 1 처리 래크(60) 및 제 2 처리 래크(80)는 대체로 수직으로 적층된 처리 챔버들의 하나 이상의 그룹을 포함하고, 이 챔버들은 기판 상에서 일정한 원하는 반도체 또는 평판 디스플레이 기구 제작 처리 단계를 수행하도록 이루어진다. 예를 들면, 도 1C에서 제 1 처리 래크(60)는 수직으로 적층된 처리 챔버들의 5개의 그룹 또는 칼럼을 갖는다. 일반적인 경우에 기구 제작 처리 단계는 기판의 표면 상에 물질을 증착시키는 단계, 기판 표면을 세정하는 단계, 기판 표면을 에칭하는 단계, 또는 기판 상의 하나 이상의 영역에 물리적 또는 화학적 변경을 일 으키도록 기판을 일정한 형태의 복사에 노출시키는 단계를 포함할 수 있다. 일 실시예에서, 제 1 처리 래크(60) 및 제 2 처리 래크(80)는 이들에 포함된 하나 이상의 처리 챔버들을 갖고, 이 챔버들은 하나 이상의 포토리소그래피 처리 순서 단계들을 수행하도록 이루어질 수 있다. 일 태양에서, 처리 래크(60, 80)는 하나 이상의 코우터/현상기 챔버(160), 하나 이상의 냉각 챔버(180), 하나 이상의 베이크 챔버(190), 하나 이상의 광학 에지 비드 제거(OEBR) 챔버(162), 하나 이상의 포스트 노광 베이크(post exposure bake, PEB) 챔버(130), 하나 이상의 지지 챔버(165), 통합된 베이크/냉각 챔버(800) 및/또는 하나 이상의 헥사메틸디실라잔(hexamethyldisilazane, HMDS) 처리 챔버(170)를 포함할 수 있다. 본 발명의 하나 이상의 태양의 장점을 갖도록 이루어질 수 있는 예시적인 코우터/현상기 챔버, 냉각 챔버, 베이크 챔버, OEBR 챔버, PEB 챔버, 지지 챔버, 통합된 베이크/냉각 챔버 및/또는 HMDS 처리 챔버는, 2005년 4월 22일에 출원된 미국 특허 출원 제 11/112,281호에서 설명되고, 이는 여기서 그 전체가 청구된 발명과 일치하는 정도까지 참조로 인용된다. 본 발명의 하나 이상의 태양의 이익을 얻도록 이루어질 수 있는 통합된 베이크/냉각 챔버의 예시는, 2005년 4월 11일에 출원된 미국 특허출원 11/111,154호 및 2005년 4월 11일에 출원된 미국 특허출원 11/111,353호에서 추가로 설명되고, 이는 여기서 청구된 발명과 일치하는 정도까지 참조로서 인용된다. 기판 상에서 하나 이상의 세정 처리를 수행하도록 이루어질 수 있고 본 발명의 하나 이상의 태양의 이익을 얻도록 이루어질 수 있는 시스템 및/또는 처리 시스템의 예는 2001년 6월 25일 출원된 미국 특허출원 09/891,849호 및 2001년 8월 31일 출 원된 미국 특허출원 09/945,454호에서 설명되고, 이는 여기서 청구된 발명과 일치하는 정도까지 참조로서 인용된다. 1C and 1D show side views of one embodiment of the first treatment rack 60 and the second treatment rack 80, which stand at the position closest to the side 60A and the first treatment rack 60 and the second. This is the view when looking at the treatment rack 80 and will therefore match the view shown in FIGS. 1-6. The first processing rack 60 and the second processing rack 80 comprise one or more groups of processing chambers that are generally stacked vertically, which chambers are configured to perform a desired desired semiconductor or flat panel display instrument manufacturing process step on a substrate. . For example, in FIG. 1C the first processing rack 60 has five groups or columns of processing chambers stacked vertically. In general cases, the instrument fabrication processing step may include depositing a material on a surface of a substrate, cleaning the surface of the substrate, etching the substrate surface, or causing the substrate to cause physical or chemical alterations to one or more regions on the substrate. Exposing it to some form of radiation. In one embodiment, the first processing rack 60 and the second processing rack 80 have one or more processing chambers included therein, which chambers may be configured to perform one or more photolithographic processing sequence steps. In one aspect, the treatment racks 60, 80 include one or more couter / developing chambers 160, one or more cooling chambers 180, one or more bake chambers 190, one or more optical edge bead removal (OEBR) chambers ( 162, one or more post exposure bake (PEB) chambers 130, one or more support chambers 165, integrated bake / cooling chambers 800 and / or one or more hexamethyldisilazanes, HMDS) processing chamber 170. Exemplary coater / developer chambers, cooling chambers, bake chambers, OEBR chambers, PEB chambers, support chambers, integrated bake / cooling chambers and / or HMDS processing chambers, which may be made to take advantage of one or more aspects of the present invention, Described in US patent application Ser. No. 11 / 112,281, filed April 22, 2005, which is hereby incorporated by reference to the extent that it is consistent with the claimed invention. Examples of integrated bake / cooling chambers that may be made to benefit one or more aspects of the present invention are described in US patent application Ser. No. 11 / 111,154, filed April 11, 2005 and filed April 11, 2005. It is further described in US patent application Ser. No. 11 / 111,353, which is incorporated by reference to the extent consistent with the claimed invention. Examples of systems and / or processing systems that can be made to perform one or more cleaning treatments on a substrate and that can be made to benefit one or more aspects of the invention are described in US patent application Ser. No. 09 / 891,849, filed June 25, 2001. And US patent application Ser. No. 09 / 945,454, filed August 31, 2001, which is incorporated by reference to the extent consistent with the claimed invention.

도 1C에서 도시된 것처럼, 클러스터 툴(10)이 포토리소그래피 형태 처리를 수행하도록 이루어진 실시예에서, 제 1 처리 래크(60)는 8개의 코우터/현상기 챔버(160)(CD1-8로 라벨되어 있음), 18개의 냉각 챔버(180)(C1-8로 라벨되어 있음), 8개의 베이크 챔버(190)(B1-8로 라벨되어 있음), 6개의 PEB 챔버(130)(PEB1-6으로 라벨되어 있음), 2개의 OEBR 챔버(162)(162로 라벨되어 있음) 및/또는 6개의 HMDS 처리 챔버(170)(DP1-6으로 라벨되어 있음)를 가질 수 있다. 일 실시예에서, 도 1D에서 도시된 것처럼, 클러스터 툴(10)은 포토리소그래피 형태 처리를 수행하도록 이루어지고, 제 2 처리 래크(80)는 8개의 코우터/현상기 챔버(160)(CD1-8로 라벨되어 있음), 6개의 통합된 베이크/냉각 챔버(800)(BC1-6), 6개의 HMDS 처리 챔버(170)(DP1-6으로 라벨되어 있음) 및/또는 6개의 지지 챔버(165)(S1-6으로 라벨되어 있음)를 가질 수 있다. 도 1C-D에서 도시된 처리 챔버의 방향, 위치, 형태 및 수는 본 발명의 범위를 제한하려는 의도가 아니고 본 발명의 실시예를 나타내기 위함이다. In the embodiment in which the cluster tool 10 is configured to perform photolithographic type processing, as shown in FIG. 1C, the first processing rack 60 is labeled with eight coater / developing chambers 160 (CD1-8). 18 cooling chambers 180 (labeled C1-8), eight bake chambers 190 (labeled B1-8), six PEB chambers 130 (labeled PEB1-6) ), Two OEBR chambers 162 (labeled 162) and / or six HMDS processing chambers 170 (labeled DP1-6). In one embodiment, as shown in FIG. 1D, the cluster tool 10 is adapted to perform photolithographic form processing, and the second processing rack 80 includes eight coater / developing chambers 160 (CD1-8). Labeled), six integrated bake / cooling chambers 800 (BC1-6), six HMDS processing chambers 170 (labeled DP1-6) and / or six support chambers 165 (Labeled S1-6). The direction, position, shape, and number of process chambers shown in FIGS. 1C-D are not intended to limit the scope of the present invention but are intended to represent embodiments of the present invention.

도 1B를 참고하면, 일 실시예에서, 전단부 로봇 어셈블리(15)는 포드 어셈블리(105)(요소(105A-D)를 보라)에 장착된 카세트(106) 및 하나 이상의 개통구 위치(9)(도 1B에서 개통구 위치(9A-C)를 보라) 사이에서 기판을 이동시키도록 이루어진다. 다른 실시예에서, 전단부 로봇 어셈블리(15)는 포드 어셈블리(105)에 장착된 카세트 및 전단부 모듈(24)과 접하는 제 2 처리 래크(80) 또는 제 1 처리 래 크(60)의 하나 이상의 처리 챔버들 사이에서 기판을 이동시키도록 이루어진다. 전단부 로봇 어셈블리(15)는 대체로 수평 운동 어셈블리(15A) 및 로봇(15B)을 포함하고, 이는 연합하여 중앙 모듈(25)에서 인접한 위치 또는 전단부 모듈(24)에서 원하는 수평 및/또는 수직 위치에 기판을 위치시킬 수 있다. 전단부 로봇 어셈블리(15)는, 시스템 제어기(101)(이하에서 설명됨)로부터 보내진 명령을 이용함에 의해 하나 이상의 로봇 블레이드(15C)를 이용하여 하나 이상의 기판을 이동시키도록 이루어진다. 일 순서에서, 전단부 로봇 어셈블리(15)는 카세트로부터 개통구 위치(9)(예를 들면 도 1B에서 요소(9A-C)) 중 하나로 기판을 이동시키도록 이루어진다. 대체로 개통구 위치는 기판 스테이징 구역(substrate staging area)이고 이 구역은 개통구 처리 챔버를 포함할 수 있으며, 이 챔버는 교환 챔버(533, 도 7A) 또는 종래의 기판 카세트(106)와 유사한 특징을 가지고 제 1 로봇으로부터 기판을 수용할 수 있으며 이에 의해 이는 제 2 로봇에 의해 제거되고 재위치 될 수 있다. 일 태양에서, 개통구 위치에 장착된 개통구 처리 챔버는, 원하는 처리 순서에 따라 하나 이상의 처리 단계들, 예를 들어 HMDS 처리 단계 또는 냉각/쿨다운 처리 단계 또는 기판 노치 정렬(substrate notch align)과 같은 단계를 수행하도록 이루어질 수 있다. 일 태양에서, 개통구 위치들(도 1B에서 요소(9A-C))의 각각은 중앙 로봇 어셈블리(즉, 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B), 및 제 3 로봇 어셈블리(11C))의 각각에 의해 접근될 수 있다. Referring to FIG. 1B, in one embodiment, the front end robot assembly 15 has a cassette 106 mounted to the pod assembly 105 (see elements 105A-D) and one or more opening positions 9. To move the substrate (see opening positions 9A-C in FIG. 1B). In another embodiment, the front end robot assembly 15 is one or more of the second processing rack 80 or the first processing rack 60 in contact with the cassette and front end module 24 mounted to the pod assembly 105. The substrate is made to move between processing chambers. The front end robot assembly 15 generally includes a horizontal motion assembly 15A and a robot 15B, which in combination are adjacent positions in the central module 25 or desired horizontal and / or vertical positions in the front end module 24. The substrate may be positioned at. The front end robot assembly 15 is adapted to move one or more substrates using one or more robot blades 15C by using commands sent from the system controller 101 (described below). In one sequence, the front end robot assembly 15 is adapted to move the substrate from the cassette to one of the opening positions 9 (eg, elements 9A-C in FIG. 1B). In general, the opening position is a substrate staging area, which may comprise an opening processing chamber, which has similar characteristics to the exchange chamber 533 (FIG. 7A) or the conventional substrate cassette 106. And can receive a substrate from the first robot so that it can be removed and repositioned by the second robot. In one aspect, the opening processing chamber mounted at the opening position may include one or more processing steps, such as an HMDS processing step or a cooling / cool down processing step or a substrate notch align, depending on the desired processing sequence. The same step can be done. In one aspect, each of the opening positions (elements 9A-C in FIG. 1B) is a central robot assembly (ie, a first robotic assembly 11A, a second robotic assembly 11B, and a third robotic assembly ( 11C)).

도 1A-B를 참고하면, 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B), 및 제 3 로봇 어셈블리(11C)는 제 1 처리 래크(60) 및 제 2 처리 래크(80)에 포함 된 다양한 처리 챔버들로 기판을 이동시키도록 이루어진다. 일 실시예에서, 클러스터 툴(10)에서 기판을 이동시키는 공정을 수행하기 위해, 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B), 및 제 3 로봇 어셈블리(11C)는 유사하게 구성된 로봇 어셈블리(11)를 가지고, 각각은 시스템 제어기(101)와 소통하는 하나 이상의 로봇 하드웨어 어셈블리(85), 수직 운동 어셈블리(95), 및 수평 운동 어셈블리(90)를 갖는다. 일 태양에서, 제 1 처리 래크(60)의 측부(60B) 및 제 2 처리 래크(80)의 측부(80A)는, 다양한 로봇 어셈블리(즉, 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B), 및 제 3 로봇 어셈블리(11C)) 각각의 수평 운동 어셈블리(90)(이하에서 설명됨)에 평행한 방향을 따라, 모두 정렬된다. 1A-B, the first robotic assembly 11A, the second robotic assembly 11B, and the third robotic assembly 11C are included in the first processing rack 60 and the second processing rack 80. To transfer the substrate to the various processing chambers. In one embodiment, to perform the process of moving the substrate in the cluster tool 10, the first robot assembly 11A, the second robot assembly 11B, and the third robot assembly 11C are similarly configured robots. With assembly 11, each has one or more robot hardware assemblies 85, vertical movement assemblies 95, and horizontal movement assemblies 90 in communication with system controller 101. In one aspect, the side portion 60B of the first treatment rack 60 and the side portion 80A of the second treatment rack 80 include various robot assemblies (ie, a first robot assembly 11A, a second robot assembly). 11B), and all along the direction parallel to the horizontal motion assembly 90 (described below) of each of the third robotic assemblies 11C.

시스템 제어기(101)는 이동 공정을 완료하는데 이용되는 다양한 구성요소의 위치 및 운동을 제어하도록 이루어진다. 시스템 제어기(101)는 대체로 전체 시스템의 자동화 및 제어를 향상시키도록 설계되고, 대체로 중앙 처리 유닛(CPU)(미도시), 메모리(미도시), 및 지지 회로(또는 I/O)(미도시)를 포함한다. CPU는 컴퓨터 프로세서의 어떠한 형태 중 하나일 수 있고, 이는 다양한 시스템 기능, 챔버 처리 및 지원 하드웨어(예를 들어 탐지기, 로봇, 모터, 가스 소스 하드웨어 등)를 제어하기 위한 산업 설치에서 이용되고 시스템 및 챔버 처리(예를 들어 챔버 온도, 처리 순서 처리량, 챔버 처리 시간, I/O 신호 등)을 모니터한다. 메모리는 CPU에 연결되고 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 국부적 또는 원격 디지털 기억장치의 다른 형태와 같이 즉시 이용가능한 메모리(readily available memory)의 하나 이상일 수 있다. 소프트웨어 명령 및 데이터는 CPU에 명령하기 위한 메모리 내에서 코드화되고 저장될 수 있다. 또한, 지원 회로는 종래 방식으로 프로세서를 지원하기 위해 CPU에 연결된다. 지원 회로는, 캐쉬, 전력 공급장치, 시계 회로, 입력/출력 회로, 하위 시스템 및 이와 유사한 것을 포함할 수 있다. 시스템 제어기(101)에 의해 읽을 수 있는 프로그램(또는 컴퓨터 명령)은 어떠한 작업이 기판 상에서 수행 가능한지를 결정한다. 바람직하게, 프로그램은 시스템 제어기(101)에 의해 읽을 수 있는 소프트웨어이고, 이는 처리 순서 작업 및 다양한 챔버 처리 방법 단계의 실행 및 모니터링에 관한 작업을 수행하기 위한 코드를 포함한다. System controller 101 is adapted to control the position and movement of the various components used to complete the movement process. System controller 101 is generally designed to improve automation and control of the overall system, and is generally a central processing unit (CPU) (not shown), memory (not shown), and support circuits (or I / O) (not shown). ). The CPU can be any form of computer processor, which is used in industrial installations to control various system functions, chamber processing and supporting hardware (eg detectors, robots, motors, gas source hardware, etc.) and systems and chambers. The processing (eg chamber temperature, processing sequence throughput, chamber processing time, I / O signals, etc.) is monitored. The memory may be connected to a CPU and be one or more of readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or other form of local or remote digital storage. Can be. Software instructions and data may be coded and stored in memory for instructing the CPU. The support circuit is also coupled to the CPU to support the processor in a conventional manner. Support circuitry may include cache, power supplies, clock circuits, input / output circuitry, subsystems, and the like. The program (or computer instruction) readable by the system controller 101 determines which tasks can be performed on the substrate. Preferably, the program is software readable by the system controller 101, which includes code for performing tasks relating to the execution of the processing sequence and the execution and monitoring of various chamber processing method steps.

도 1B를 참고하면, 제 1 로봇 어셈블리(11A)는 적어도 일 측부, 예를 들어 측부(60B)로부터 제 1 처리 래크(60)의 처리 챔버들 사이에서 기판에 접근하고 이동하도록 이루어진다. 일 태양에서, 제 3 로봇 어셈블리(11C)는 적어도 일 측부, 예를 들어 측부(80A)로부터 제 2 처리 래크(800)의 처리 챔버들 사이에서 기판에 접근하고 이동하도록 이루어진다. 일 태양에서, 제 2 로봇 어셈블리(11B)는 측부(60B)로부터 제 1 처리 래크(60)의 처리 챔버들 및 측부(80A)로부터 제 2 처리 래크(80)의 처리 챔버들 사이에서 기판에 접근하고 이동시키도록 이루어진다. 도 1E는 도 1B에서 도시된 클러스터 툴(10)의 실시예의 평면도를 도시하고, 이 경우에 제 2 로봇 어셈블리(11B)로부터의 로봇 블레이드(87)는 측부(60B)를 통해 제 1 처리 래크(60)에서 처리 챔버들로 연장된다. 처리 챔버로 로봇 블레이드(87)를 연장하고 처리 챔버로부터 로봇 블레이드(87)를 수축하는 능력은, 수평 운동 어셈블리(90), 수직 운동 어셈블리(95), 및 로봇 하드웨어 어셈블리(85)에 포함된 구성요 소의 협력적 이동에 의해 그리고 시스템 제어기(101)로부터 보내진 명령을 이용함에 의해 대체로 완성된다. 제 1 로봇 어셈블리(11A) 및 제 2 로봇 어셈블리(11B) 또는 제 2 로봇 어셈블리(11B) 및 제 3 로봇 어셈블리(11C)와 같이 서로 "겹치는" 둘 이상의 로봇의 능력은 유용한데, 왜냐하면 이는 기판 이동 중복을 가능하게 하고, 이러한 중복은 클러스터 신뢰도, 가동시간을 향상시키고 또한 기판 처리량을 증가시킬 수 있기 때문이다. 로봇 "겹침"은 대체로 처리 래크의 동일한 처리 챔버들 사이에서 기판을 독립적으로 이동시키고 및/또는 접근하는 둘 이상의 로봇의 능력이다. 처리 챔버들에 중복적으로 접근하는 둘 이상의 로봇의 능력은, 시스템 로봇 이동 병목을 막는데 있어서 중요한 측면일 수 있는데, 왜냐하면 이는 이용되는 로봇이 있는 경우에 시스템 처리량을 제한하는 로봇을 돕는 것을 가능하게 하기 때문이다. 따라서, 기판 처리량은 증가될 수 있고, 기판의 웨이퍼 히스토리는 더욱 반복 가능하게 될 수 있으며, 시스템 신뢰도는 처리 순서 동안 각각의 로봇이 부담하는 로드의 균형을 맞추는 작용을 통해 향상될 수 있기 때문이다. Referring to FIG. 1B, the first robotic assembly 11A is adapted to access and move the substrate between the processing chambers of the first processing rack 60 from at least one side, such as side 60B. In one aspect, the third robotic assembly 11C is adapted to access and move the substrate between the processing chambers of the second processing rack 800 from at least one side, such as the side 80A. In one aspect, the second robotic assembly 11B accesses the substrate between the processing chambers of the first processing rack 60 from the side 60B and the processing chambers of the second processing rack 80 from the side 80A. And move it. FIG. 1E shows a top view of the embodiment of the cluster tool 10 shown in FIG. 1B, in which case the robot blade 87 from the second robotic assembly 11B passes through the side 60B with a first treatment rack ( In 60) to the processing chambers. The ability to extend the robot blade 87 to the processing chamber and to contract the robot blade 87 from the processing chamber is a configuration included in the horizontal motion assembly 90, the vertical motion assembly 95, and the robot hardware assembly 85. This is largely accomplished by cooperative movement of the elements and by using commands sent from the system controller 101. The ability of two or more robots to "overlap" with each other, such as the first robot assembly 11A and the second robot assembly 11B or the second robot assembly 11B and the third robot assembly 11C, is useful because it moves the substrate. This allows for redundancy, which can improve cluster reliability, uptime and increase substrate throughput. Robot “overlap” is generally the ability of two or more robots to independently move and / or access a substrate between the same processing chambers of a processing rack. The ability of two or more robots to access processing chambers redundantly can be an important aspect in preventing system robot movement bottlenecks, which makes it possible to help robots limit system throughput in the presence of robots used. Because. Thus, the substrate throughput can be increased, the wafer history of the substrate can be made more repeatable, and system reliability can be improved through the balancing of the loads each robot bears during the processing sequence.

본 발명의 일 태양에서, 다양한 겹침 로봇 어셈블리(예를 들어 도 1-6에서 요소(11A, 11B, 11C, 11D, 11E 등)는, 서로 수평 방향으로 인접하거나(x-방향) 또는 수직 방향으로 인접한(z-방향) 처리 챔버들에 동시에 접근할 수 있다. 예를 들면, 도 1B 및 1C에서 도시된 클러스터 툴 구성을 이용할 때, 제 1 로봇 어셈블리(11A)는 제 1 처리 래크(60)의 처리 챔버(CD6)에 접근할 수 있고, 제 2 로봇 어셈블리(11B)는 서로 충동하거나 또는 방해함이 없이 동시에 처리 챔버(CD5)에 접근할 수 있다. 다른 예에서, 도 1B 및 1D에서 도시된 클러스터 툴 구성을 이용할 때, 제 3 로봇 어셈블리(11C)는 제 2 처리 래크(80)의 처리 챔버(C6)에 접근할 수 있고 제 2 로봇 어셈블리(11B)는 서로 충돌하거나 또는 방해함이 없이 동시에 처리 챔버(P6)에 접근할 수 있다. In one aspect of the invention, the various overlapping robotic assemblies (eg, elements 11A, 11B, 11C, 11D, 11E, etc. in FIGS. 1-6) are adjacent to each other in the horizontal direction (x-direction) or in the vertical direction. Adjacent (z-direction) processing chambers can be accessed simultaneously, for example, when using the cluster tool configuration shown in FIGS. 1B and 1C, the first robotic assembly 11A can be mounted to the first processing rack 60. The processing chamber CD6 can be accessed, and the second robotic assembly 11B can access the processing chamber CD5 at the same time without impulsively or disturbing each other, in another example, shown in FIGS. 1B and 1D. When using a cluster tool configuration, the third robotic assembly 11C can access the processing chamber C6 of the second processing rack 80 and the second robotic assembly 11B can simultaneously and without colliding or disturbing each other. The processing chamber P6 can be accessed.

일 태양에서, 시스템 제어기(101)는 계산된 최적화된 처리량에 기초하여 클러스터 툴을 통해 기판 이동 순서를 조정하거나 또는 작동 불가능하게 된 처리 챔버들 주위에서 작업하도록 이루어진다. 처리량을 최적화하도록 하는 시스템 제어기(101)의 특징은 논리 스케줄러(logical scheduler)로 알려져 있다. 논리 스케줄러는 클러스터 툴을 통해 분배된 다양한 센서 및 이용자로부터의 입력에 기초하여 기판 이동 및 작업의 우선을 정한다. 논리 스케줄러는 시스템 제어기(101)의 메모리에 보유된, 다양한 로봇(예를 들어 전단부 로봇(15), 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B), 제 3 로봇 어셈블리(11C) 등)의 각각의 요구되는 미래 작업들의 리스트를 검토하고 다양한 로봇의 각각에 부담된 로드의 균형을 맞추는 것을 돕도록 이루어질 수 있다. 클러스터 툴의 이용을 최대화하는 제어기(101) 시스템은 클러스터 툴의 CoO를 향상시키고 더욱 반복 가능한 웨이퍼 히스토리를 만들며 클러스터 툴의 신뢰도를 향상시킬 수 있을 것이다. In one aspect, the system controller 101 is adapted to adjust the substrate movement order through the cluster tool based on the calculated optimized throughput or to work around process chambers that have become inoperable. A feature of the system controller 101 to optimize throughput is known as a logical scheduler. The logical scheduler prioritizes substrate movement and tasks based on inputs from various sensors and users distributed through the cluster tool. The logical scheduler is held in the memory of the system controller 101, such as various robots (e.g., front end robot 15, first robot assembly 11A, second robot assembly 11B, third robot assembly 11C). Etc.) to review the list of each required future tasks and to help balance the load placed on each of the various robots. A controller 101 system that maximizes the use of the cluster tool may improve the CoO of the cluster tool, create a more repeatable wafer history, and improve the reliability of the cluster tool.

일 태양에서, 시스템 제어기(101)는 다양한 겹침 로봇들 사이에서 충돌을 피하고 기판 처리량을 최적화하도록 이루어진다. 일 태양에서, 시스템 제어기(101)는 클러스터 툴의 모든 로봇 중 수평 운동 어셈블리(90), 수직 운동 어셈블리(95) 및 로봇 하드웨어 어셈블리(85)의 운동을 제어하고 모니터하도록 프로그램되고, 이에 의해 로봇들 사이의 충돌을 막고 모든 로봇을 동시에 움직이도록 함에 의해 시 스템 처리량을 향상시킨다. 이러한 소위 "충돌 방지 시스템"은 다양한 방법으로 수행될 수 있으나 대체로 시스템 제어기(101)는 충돌을 피하기 위해 이동 처리 동안 클러스터 툴에서 또는 로봇 상에 위치한 다양한 센서를 이용함에 의해 각각의 로봇의 위치를 모니터한다. 일 태양에서, 시스템 제어기는 이동 처리 동안 각각의 로봇의 궤적 및/또는 운동을 활성적으로 변경하도록 이루어지고 이에 의해 이동 경로 길이를 최소화하고 충돌을 방지한다. In one aspect, the system controller 101 is configured to avoid collisions between the various overlapping robots and to optimize substrate throughput. In one aspect, the system controller 101 is programmed to control and monitor the motion of the horizontal motion assembly 90, the vertical motion assembly 95, and the robot hardware assembly 85 of all the robots of the cluster tool, whereby the robots Improve system throughput by preventing collisions and allowing all robots to move simultaneously. This so-called “collision avoidance system” can be performed in a variety of ways, but in general the system controller 101 monitors the position of each robot by using various sensors located on the robot or in the cluster tool during the movement process to avoid collisions. do. In one aspect, the system controller is adapted to actively change the trajectory and / or motion of each robot during the movement process, thereby minimizing the movement path length and preventing collisions.

B. 이동 순서 일례B. Example of Move Sequence

도 1F는 클러스터 툴(10)을 통한 기판 처리 순서(500)의 일례를 도시하고, 이 경우 각각의 이동 단계(A1-A10)가 완료된 이후 다수의 처리 단계(예를 들어 요소(501-520))가 수행될 수 있다. 하나 이상의 처리 단계(501-520)는, 기판 상에서 진공 및/또는 유체 처리 단계를 수행하는 단계를 포함할 수 있고, 이는 기판 표면 상에 물질을 증착하는 단계, 기판 표면을 세정하는 단계, 기판 표면을 에칭하는 단계, 또는 기판 상의 하나 이상의 영역에 물리적 또는 화학적 변화를 일으키도록 일정한 형태의 복사에 기판을 노출시키는 단계이다. 수행될 수 있는 일반적인 처리의 예는 포토리소그래피 처리 단계, 기판 세정 처리 단계, CVD 증착 단계, ALD 증착 단계, 전기도금 처리 단계 또는 무전해(electroless) 도금 처리 단계이다. 도 1G는 이동 단계의 예를 도시하고, 이 경우 기판은 도 1F에서 도시된 처리 순서(500)를 따라서 도 1B에서 도시된 클러스터 툴로서 구성된 클러스터 툴을 통해 이동되도록 따를 수 있다. 이 실시예에서, 기판은 전단부 로봇 어셈블리(15)에 의 해 포드 어셈블리(105)(아이템 #105)로부터 제거되고 이동 경로(A1)를 따라 소통 위치(9C)에 위치한 챔버로 전달되고, 이에 의해 소통 단계(502)가 기판 상에서 완료될 수 있다. 일 실시예에서, 소통 단계(502)는 기판을 위치시키거나 또는 보유하는 단계를 수반하고 이에 의해 다른 로봇이 소통 위치(9C)로부터 기판을 픽업(pickup)할 수 있다. 소통 단계(502)가 완료되면, 이후 기판은 이동 경로(A2)를 따라 제 3 로봇 어셈블리(11C)에 의해 제 1 처리 챔버(531)로 이동된다. 처리 단계(504)를 완료한 이후, 기판은 이후 이동 경로(A3)를 따라 제 3 로봇 어셈블리(11C)에 의해 제 2 처리 챔버(532)로 이동된다. 처리 단계(506)를 수행한 이후, 기판은 이후 이동 경로(A4)를 따라 제 2 로봇 어셈블리(11B)에 의해 교환 챔버(533)로 이동된다(도 7A). 처리 단계(508)를 수행한 이후, 기판은 이동 경로(A5)를 따라 후방 로봇 어셈블리(40)에 의해 외부 처리 시스템(536)으로 이동되고 여기서 처리 단계(510)가 수행된다. 처리 단계(510)를 수행한 이후, 이후 기판은 이동 경로(A6)를 따라 후방 로봇 어셈블리(40)에 의해 교환 챔버(533)로 이동되고 여기서 처리 단계(512)가 수행된다. 일 실시예에서, 처리 단계(508, 512)는 기판을 위치시키거나 보유하는 단계를 수반하고, 이에 의해 다른 로봇은 교환 챔버(533)로부터 기판을 픽업할 수 있다. 처리 단계(512)를 완료한 이후, 기판은 이후 이동 경로(A7)를 따라 제 2 로봇 어셈블리(11B)에 의해 처리 챔버(534)로 이동되고 여기서 처리 단계(514)가 수행된다. 이후 기판은 제 1 로봇 어셈블리(11A)를 이용하여 이동 경로(A8)를 따라 처리 챔버(535)로 이동된다. 처리 단계(516)가 완료된 이후, 이동 경로(A9)를 따라 제 1 로봇 어셈블리(11A)는 소통 위치(9A)에 위 치한 소통 챔버로 기판을 이동시킨다. 일 실시예에서, 소통 단계(518)는 기판을 위치시키고 보유하는 단계를 수반하고, 이에 의해 다른 로봇이 소통 위치(9A)로부터 기판을 픽업할 수 있다. 소통 단계(518)를 수행한 이후, 기판은 이후 이동 경로(A10)를 따라 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105D)로 이동된다. 1F shows an example of a substrate processing sequence 500 through the cluster tool 10, in which case multiple processing steps (eg, elements 501-520) after each moving step A1-A10 is completed. ) May be performed. One or more processing steps 501-520 may include performing a vacuum and / or fluid processing step on a substrate, which deposits material on the substrate surface, cleans the substrate surface, substrate surface Etching, or exposing the substrate to some form of radiation to cause a physical or chemical change in one or more regions on the substrate. Examples of general processing that can be performed are photolithography processing step, substrate cleaning processing step, CVD deposition step, ALD deposition step, electroplating processing step or electroless plating processing step. FIG. 1G shows an example of a move step, in which case the substrate may be followed to move through the cluster tool configured as the cluster tool shown in FIG. 1B following the processing sequence 500 shown in FIG. 1F. In this embodiment, the substrate is removed from the pod assembly 105 (Item # 105) by the front end robot assembly 15 and transferred to the chamber located at the communication position 9C along the movement path A1. The communication step 502 can thereby be completed on the substrate. In one embodiment, the communicating step 502 involves positioning or holding the substrate, whereby another robot can pick up the substrate from the communicating position 9C. After the communication step 502 is completed, the substrate is then moved along the movement path A2 to the first processing chamber 531 by the third robotic assembly 11C. After completing the processing step 504, the substrate is then moved to the second processing chamber 532 by the third robotic assembly 11C along the movement path A3. After performing the processing step 506, the substrate is then moved to the exchange chamber 533 by the second robotic assembly 11B along the movement path A4 (FIG. 7A). After performing the processing step 508, the substrate is moved to the external processing system 536 by the rear robot assembly 40 along the movement path A5, where the processing step 510 is performed. After performing the processing step 510, the substrate is then moved along the movement path A6 to the exchange chamber 533 by the rear robot assembly 40 where the processing step 512 is performed. In one embodiment, processing steps 508 and 512 involve positioning or holding a substrate, whereby another robot can pick up the substrate from the exchange chamber 533. After completing the processing step 512, the substrate is then moved along the movement path A7 to the processing chamber 534 by the second robotic assembly 11B where the processing step 514 is performed. Subsequently, the substrate is moved to the processing chamber 535 along the movement path A8 using the first robot assembly 11A. After the processing step 516 is completed, the first robotic assembly 11A moves the substrate along the movement path A9 to the communication chamber located at the communication position 9A. In one embodiment, the communicating step 518 involves positioning and holding the substrate, whereby another robot can pick up the substrate from the communicating position 9A. After performing communication step 518, the substrate is then moved to pod assembly 105D by front end robot assembly 15 along travel path A10.

일 실시예에서, 처리 단계(504, 506, 510, 514, 516)는 각각 포토레지스트 코팅 단계, 베이크/냉각 단계, 스텝퍼/스캐너 모듈에서 수행되는 노광 단계, 포스트 노광 베이크/냉각 단계, 및 현성 단계이고, 이는 여기서 참조로서 인용된 2005년 4월 22일에 출원된 미국 특허출원 제 11/112,281호에서 설명된 것이다. 베이크/냉각 단계 및 포스트 노광 베이크/냉각 단계는 단일 처리 챔버에서 수행될 수 있거나 또는 내부 로봇(미도시)을 이용하여 통합된 베이크/냉각 챔버의 베이크 구역 및 냉각 구역 사이에서 이동될 수도 있다. 도 1F-G는 클러스터 툴(10)에서 기판을 처리하는데 이용될 수 있는 처리 순서의 일례를 도시하고, 다소 복잡한 이동 순서 및/또는 처리 순서는 본 발명의 기본 범위를 벗어나지 아니한 채 수행될 수 있다. In one embodiment, the processing steps 504, 506, 510, 514, 516 are a photoresist coating step, a bake / cool step, an exposure step performed on a stepper / scanner module, a post exposure bake / cool step, and a manifestation step, respectively. Which is described in US patent application Ser. No. 11 / 112,281, filed April 22, 2005, which is incorporated herein by reference. The bake / cool step and the post exposure bake / cool step may be performed in a single processing chamber or may be moved between the bake and cooling zones of the integrated bake / cooling chamber using an internal robot (not shown). 1F-G illustrate an example of a processing sequence that may be used to process substrates in the cluster tool 10, and rather complex movement sequences and / or processing sequences may be performed without departing from the basic scope of the present invention. .

또한, 일 실시예에서, 클러스터 툴은 외부 처리 시스템(536)과 연결 또는 소통되지 아니하고, 따라서 후방 로봇 어셈블리(40)는 클러스터 툴 구성의 일부가 아니고 이동 단계(A5-A6) 및 처리 단계(510)는 기판 상에서 수행되지 않는다. 이러한 구성에서, 모든 처리 단계 및 이동 단계는 클러스터 툴(10) 내에서 위치들 또는 처리 챔버들 사이에서 수행된다. In addition, in one embodiment, the cluster tool is not connected or in communication with the external processing system 536, so that the rear robot assembly 40 is not part of the cluster tool configuration and moves steps A5-A6 and processing steps 510. ) Is not performed on the substrate. In this configuration, all processing steps and moving steps are performed between locations or processing chambers within the cluster tool 10.

제 2 클러스터 툴 구성Second cluster tool configuration

A. 시스템 구성A. System Configuration

도 2A는 클러스터 툴(10)의 일 실시예의 평면도이고, 이는 전단부 로봇 어셈블리(15), 후방 로봇 어셈블리(40), 시스템 제어기(101) 및 2개의 처리 래크(요소(60, 80)) 사이에 위치한 4개의 로봇 어셈블리(11)(도 9-11; 요소(도 2A에서 11A, 11B, 11C 및 11D))를 갖고, 이는 모두 처리 래크에서 공지된 다양한 처리 챔버들을 이용하여 원하는 기판 처리 순서의 적어도 일 태양을 수행하도록 이루어진다. 도 2a에서 도시된 실시예는 소통 위치(9D) 및 4개의 로봇 어셈블리(11D)의 첨가를 제외하고는 도 1A-F에서 도시된 구성과 유사하고, 따라서 유사한 요소 번호가 적절하게 이용되었다. 도 2A에서 도시된 클러스터 툴 구성은 기판 처리량이 로봇 제한되는 경우에 유리할 수 있는데, 왜냐하면 4개의 로봇 어셈블리(11D)의 추가는 다른 로봇 상의 부담을 제거하는 것을 도울 것이고 중복적으로 설립하여 하나 이상의 중앙 로봇이 작동 불가일 때 시스템이 기판을 처리하는 것을 가능하게 하기 때문이다. 일 태양에서, 제 1 처리 래크의 측부(60B) 및 제 2 처리 래크(80)의 측부(80A)는 모두 다양한 로봇 어셈블리(예를 들어 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B) 등)의 각각의 수평 운동 어셈블리(90)(도 9A 및 도 12A-C)에 평행한 방향을 따라 정렬된다. 2A is a top view of one embodiment of the cluster tool 10, which is between the front end robot assembly 15, the rear robot assembly 40, the system controller 101, and two processing racks (elements 60, 80). It has four robotic assemblies 11 (FIGS. 9-11; elements (11A, 11B, 11C and 11D in FIG. 2A)) located at the location of the desired substrate processing sequence using various processing chambers known from the processing racks. Is made to perform at least one aspect. The embodiment shown in FIG. 2A is similar to the configuration shown in FIGS. 1A-F except for the addition of the communication position 9D and the four robotic assemblies 11D, and therefore similar element numbers have been used as appropriate. The cluster tool configuration shown in FIG. 2A may be advantageous when substrate throughput is robot constrained, since the addition of four robotic assemblies 11D will help to eliminate the burden on other robots and may be redundantly established to establish one or more central This is because the system makes it possible to process the substrate when the robot is inoperable. In one aspect, the side 60B of the first treatment rack and the side 80A of the second treatment rack 80 are both various robot assemblies (eg, first robot assembly 11A, second robot assembly 11B). And the like are aligned along a direction parallel to each horizontal motion assembly 90 (FIGS. 9A and 12A-C).

일 태양에서, 제 1 로봇 어셈블리(11A)는 측부(60B)로부터 제 1 처리 래크(60)의 처리 챔버들 사이에서 기판들에 접근하고 기판들을 이동시키도록 이루어 진다. 일 태양에서, 제 3 로봇 어셈블리(11C)는 측부(80A)로부터 제 2 처리 래크(80)의 처리 챔버들 사이에서 기판에 접근하고 기판을 이동시키도록 이루어진다. 일 태양에서, 제 4 로봇 어셈블리(11D)는 측부(80A)로부터 제 2 처리 래크(80)의 처리 챔버들 사이에서 기판에 접근하고 기판을 이동시키도록 이루어진다. 일 태양에서, 제 2 로봇 어셈블리(11B) 및 제 4 로봇 어셈블리(11D)는 측부(80A)로부터 제 2 처리 래크(80) 및 측부(60B)로부터 제 1 처리 래크(60)의 처리 챔버들에 접근하도록 이루어진다. In one aspect, the first robotic assembly 11A is configured to access and move the substrates between the processing chambers of the first processing rack 60 from the side 60B. In one aspect, the third robotic assembly 11C is adapted to access and move the substrate between the processing chambers of the second processing rack 80 from the side 80A. In one aspect, the fourth robotic assembly 11D is adapted to access and move the substrate between the processing chambers of the second processing rack 80 from the side 80A. In one aspect, the second robotic assembly 11B and the fourth robotic assembly 11D are connected to the processing chambers of the first processing rack 60 from the second processing rack 80 and the side 60B from the side 80A. Access is made.

도 2B는 도 2A에서 도시된 클러스터 툴(10)의 실시예의 평면도를 도시하고, 이 경우 제 2 로봇 어셈블리(11B)로부터의 로봇 블레이드(87)는 측부(60B)를 통해 제 1 처리 래크(60)의 처리 챔버로 연장된다. 처리 챔버로 로봇 블레이드(87)를 연장하고 및/또는 처리 챔버로 로봇 블레이드(87)를 수축시키는 능력은 로봇 어셈블리(11) 구성요소의 협력 이동에 의해 대체로 완성되고, 이는 수평 운동 어셈블리(90), 수직 운동 어셈블리(95), 및 로봇 하드웨어 어셈블리(85)에 포함되며 시스템 제어기(101)로부터 보내진 명령의 이용에 의한다. 상기에서 설명된 것처럼, 시스템 제어기(101)를 따라 제 2 로봇 어셈블리(11B) 및 제 4 로봇 어셈블리(11D)는 클러스터 툴에서 각각의 로봇 사이에서 "겹침"을 가능하게 하도록 이루어질 수 있고, 시스템 제어기의 논리 스케줄러가 클러스터 툴을 통해 분포된 다양한 센서 및 이용자로부터의 입력에 기초하여 기판 이동 및 작업의 우선을 정하도록 할 수 있으며, 로봇이 시스템을 통해 기판을 최적화하여 이동시키도록 충돌 방지 시스템을 이용할 수도 있다. 클러스터 툴의 이용을 최대화하기 위한 시스템 제어기(101)의 이 용은 클러스터 툴의 CoO를 향상시키고, 웨이퍼 히스토리를 더욱 반복 가능하게 만들며 시스템 신뢰도를 향상시킬 수 있다. FIG. 2B shows a top view of the embodiment of the cluster tool 10 shown in FIG. 2A, in which case the robot blade 87 from the second robot assembly 11B has a first treatment rack 60 through the side 60B. ) Into the processing chamber. The ability to extend the robot blade 87 into the processing chamber and / or deflate the robot blade 87 into the processing chamber is largely completed by the cooperative movement of the robot assembly 11 components, which is a horizontal motion assembly 90. , Vertical motion assembly 95, and robot hardware assembly 85, by use of instructions sent from system controller 101. As described above, the second robotic assembly 11B and the fourth robotic assembly 11D along the system controller 101 may be made to enable "overlap" between each robot in the cluster tool, and the system controller 'S logical scheduler can prioritize substrate movement and tasks based on inputs from various sensors and users distributed through the cluster tool, and utilizes a collision avoidance system to optimize and move substrates through the system. It may be. The use of the system controller 101 to maximize the use of the cluster tool can improve the CoO of the cluster tool, make the wafer history more repeatable, and improve system reliability.

B. 이동 순서 예B. Example of Move Order

도 2C는 이동 단계 순서의 일례를 도시하고, 이 단계는 도 2A에서 도시된 클러스터 툴 구성을 통해 도 1F에서 설명된 처리 순서를 완료하도록 이용될 수 있다. 이러한 실시예에서, 기판은 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105)(아이템 #105D)로부터 제거되고 이동 경로(A1)를 따라서 소통 위치(9C)에 위치한 챔버로 전달되며 이에 의해 소통 단계(502)는 기판 상에서 완료될 수 있다. 소통 단계(502)가 일단 완료되면, 기판은 이후 이동 경로(A2)를 따라 제 3 로봇 어셈블리(11C)에 의해 제 1 처리 챔버(531)로 이동되고 여기서 처리 단계(504)가 기판 상에서 완료된다. 처리 단계(504)를 완료한 이후, 기판은 이후 이동 경로(A3)를 따라 제 4 로봇 어셈블리(11D)에 의해 제 2 처리 챔버(532)로 이동된다. 처리 단계(506)를 수행한 이후, 기판은 이동 경로(A4)를 따라 제 4 로봇 어셈블리(11D)에 의해 교환 챔버(533)로 이동된다. 처리 단계(508)를 수행한 이후, 기판은 이동 경로(A5)를 따라 후방 로봇 어셈블리(40)에 의해 외부 처리 시스템(536)으로 이동되고 여기서 처리 단계(510)가 수행된다. 처리 단계(510)를 수행한 이후, 기판은 이동 경로(A6)를 따라 후방 로봇 어셈블리(40)에 의해 교환 챔버(533)(도 7A)로 이동되고 여기서 처리 단계(512)가 수행된다. 처리 단계(512)를 수행한 이후 기판은 이동 경로(A7)를 따라 제 4 로봇 어셈블리(11D)에 의해 처리 챔버(534)로 이동되고 여기서 처리 단계(514)가 수행된다. 이후 기판은 제 2 로봇 어셈블리(11B)를 이용하여 이동 경로(A8)를 따라 처리 챔버(535)로 이동된다. 처리 단계(516)가 완료된 이후, 제 1 로봇 어셈블리(11A)는 이동 경로(A9)를 따라 소통 위치(9A)에 위치한 소통 챔버로 기판을 이동시킨다. 소통 단계(518)를 수행한 이후, 기판은 이동 경로(A10)를 따라 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105D)로 이동된다. 2C shows an example of a move step sequence, which step may be used to complete the processing sequence described in FIG. 1F through the cluster tool configuration shown in FIG. 2A. In this embodiment, the substrate is removed from the pod assembly 105 (Item # 105D) by the front end robot assembly 15 and transferred to the chamber located at the communication location 9C along the movement path A1 and thereby communicated. Step 502 can be completed on the substrate. Once the communication step 502 is completed, the substrate is then moved along the movement path A2 to the first processing chamber 531 by the third robotic assembly 11C where the processing step 504 is completed on the substrate. . After completing the processing step 504, the substrate is then moved to the second processing chamber 532 by the fourth robotic assembly 11D along the movement path A3. After performing the processing step 506, the substrate is moved to the exchange chamber 533 by the fourth robotic assembly 11D along the movement path A4. After performing the processing step 508, the substrate is moved to the external processing system 536 by the rear robot assembly 40 along the movement path A5, where the processing step 510 is performed. After performing the processing step 510, the substrate is moved to the exchange chamber 533 (FIG. 7A) by the rear robot assembly 40 along the movement path A6, where the processing step 512 is performed. After performing the processing step 512, the substrate is moved to the processing chamber 534 by the fourth robotic assembly 11D along the movement path A7, where the processing step 514 is performed. Subsequently, the substrate is moved to the processing chamber 535 along the movement path A8 using the second robot assembly 11B. After the processing step 516 is completed, the first robotic assembly 11A moves the substrate along the movement path A9 to the communication chamber located at the communication position 9A. After performing the communicating step 518, the substrate is moved to the pod assembly 105D by the front end robot assembly 15 along the movement path A10.

일 태양에서, 이동 경로(A7)는 두 이동 단계로 나눠질 수 있고, 이 이동 단계는 교환 챔버(533)로부터 기판을 픽업하고 이를 제 4 소통 위치(9D)로 이동시킬 제 4 로봇 어셈블리(11D)를 필요로 할 수 있고, 이후 제 2 로봇 어셈블리(11B)에 의해 픽업되어 처리 챔버(534)로 이동된다. 일 태양에서, 소통 챔버의 각각은 중앙 로봇 어셈블리(즉, 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B), 제 3 로봇 어셈블리(11C) 및 제 4 로봇 어셈블리(11D))중 어느 것에 의해 접근될 수 있다. 다른 태양에서, 제 2 로봇 어셈블리(11B)는 교환 챔버(533)로부터 기판을 픽업할 수 있고 처리 챔버(534)로 이동시킬 수 있다. In one aspect, the travel path A7 can be divided into two travel steps, which move the fourth robotic assembly 11D to pick up the substrate from the exchange chamber 533 and move it to the fourth communication position 9D. ) May then be picked up by the second robotic assembly 11B and moved to the processing chamber 534. In one aspect, each of the communication chambers is connected to any of the central robot assembly (ie, the first robot assembly 11A, the second robot assembly 11B, the third robot assembly 11C, and the fourth robot assembly 11D). Can be accessed by In another aspect, the second robotic assembly 11B can pick up the substrate from the exchange chamber 533 and move it to the processing chamber 534.

또한, 일 실시예에서, 클러스터 툴(10)은 외부 처리 시스템(536)과 연결 또는 소통되지 아니하고, 따라서 후방 로봇 어셈블리(40)는 클러스터 툴 구성의 일부가 아니며, 이동 단계(A5-A6) 및 처리 단계(510)는 기판 상에서 수행되지 않는다. 이러한 구성에서 모든 처리 단계 및 이동 단계는 클러스터 툴(10) 내에서 수행된다. In addition, in one embodiment, the cluster tool 10 is not connected or in communication with the external processing system 536, so that the rear robot assembly 40 is not part of the cluster tool configuration, and moves steps A5-A6 and Processing step 510 is not performed on the substrate. In this configuration all processing steps and moving steps are performed in the cluster tool 10.

제 3 클러스터 툴 구성Third Cluster Tool Configuration

A. 시스템 구성A. System Configuration

도 3A는 클러스터 툴(10)의 일 실시예의 평면도이고, 이 클러스터 툴은 전단부 로봇 어셈블리(15), 후방 로봇 어셈블리(40), 시스템 제어기(101) 및 두 처리 래크(요소 60, 80) 주위에 위치한 3개의 로봇 어셈블리(11)(도 9-11; 도 3A의 요소(11A, 11B, 11C))를 가지고, 이는 모두 처리 래크에 있는 다양한 처리 챔버들을 이용하여 원하는 기판 처리 순서의 적어도 일 태양을 수행하도록 이루어져 있다. 도 3A에서 도시된 실시예는 제 1 처리 래크(60)의 측부(60A) 상의 소통 위치(9A) 및 제 1 로봇 어셈블리(11A)의 위치와 제 2 처리 래크(80)의 측부(80B) 상의 소통 위치(9C) 및 제 3 로봇 어셈블리(11C)의 위치를 제외하고는 도 1A-F에서 도시된 구성과 유사하고, 따라서 유사한 요소 번호가 적절하게 이용되었다. 이러한 클러스터 툴 구성의 일 장점은, 중앙 모듈(25)의 로봇 중 하나가 작동 불가능이 되어도 시스템은 다른 두 로봇을 이용하여 여전히 기판을 계속 처리할 수 있다는 것이다. 또한, 이러한 구성은, 로봇이 다양한 처리 래크에 장착된 처리 챔버들 사이에서 기판을 이동시킬 때 충돌 방지 형태 제어 특징에 대한 필요를 제거하거나 또는 최소화할 수 있는데, 왜냐하면 서로 옆에 위치한 로봇의 물리적 겹침이 제거되기 때문이다. 이러한 구성의 다른 장점은, 융통성이 있다는 것이고 모듈 아키텍쳐는 이용자가 이용자의 처리량 요구를 맞추는데 필요한 처리 챔버, 처리 래크 및 처리 로봇의 수를 구성할 수 있게 한다. 3A is a top view of one embodiment of the cluster tool 10, which cluster tool includes a front end robot assembly 15, a rear robot assembly 40, a system controller 101, and two processing racks (elements 60 and 80). Have three robotic assemblies 11 (FIGS. 9-11; elements 11A, 11B, 11C of FIG. 3A) located at least in one aspect of the desired substrate processing sequence using various processing chambers in the processing rack. It is made to perform. The embodiment shown in FIG. 3A shows the position of the communication position 9A on the side 60A of the first treatment rack 60 and the position of the first robotic assembly 11A and on the side 80B of the second treatment rack 80. Except for the position of the communication position 9C and the position of the third robot assembly 11C, it is similar to the configuration shown in Figs. 1A-F, and therefore similar element numbers have been used as appropriate. One advantage of this cluster tool configuration is that even if one of the robots in the central module 25 becomes inoperative, the system can still process the substrate using the other two robots. In addition, this configuration can eliminate or minimize the need for an anti-collision shape control feature when the robot moves the substrate between processing chambers mounted on various processing racks, because the physical overlap of the robots located next to each other. Because it is removed. Another advantage of this configuration is that it is flexible and the module architecture allows the user to configure the number of processing chambers, processing racks and processing robots required to meet the throughput requirements of the user.

이러한 구성에서, 제 1 로봇 어셈블리(11A)는 측부(60A)로부터 제 1 처리 래 크(60)의 처리 챔버에 접근하도록 이루어지고, 제 3 로봇 어셈블리(11C)는 측부(80B)로부터 제 2 처리 래크(80)의 처리 챔버들에 접근하도록 이루어지며, 제 2 로봇 어셈블리(11B)는 측부(80A)로부터 제 2 처리 래크(80) 및 측부(60B)로부터 제 1 처리 래크(60)의 처리 챔버에 접근하도록 이루어진다. 일 태양에서, 제 1 처리 래크(60)의 측부(60B) 및 제 2 처리 래크(80)의 측부(80A)는 모두 다양한 로봇 어셈블리(즉, 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B), 제 3 로봇 어셈블리(11C))의 각각의 수평 운동 어셈블리(90)(이하에서 설명됨)에 평행한 방향을 따라 정렬된다. In this configuration, the first robotic assembly 11A is made to access the processing chamber of the first processing rack 60 from the side 60A, and the third robotic assembly 11C is the second processing from the side 80B. Access to the processing chambers of the rack 80, the second robotic assembly 11B is the processing chamber of the first processing rack 60 from the side 80A and the second processing rack 80 from the side 60B. Is made to access. In one aspect, the side 60B of the first treatment rack 60 and the side 80A of the second treatment rack 80 are both various robot assemblies (ie, a first robot assembly 11A, a second robot assembly ( 11B), aligned along a direction parallel to each horizontal motion assembly 90 (described below) of the third robotic assembly 11C.

시스템 제어기(101)를 따라 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B), 제 3 로봇 어셈블리(11C)는 다양한 로봇 사이에서 "겹침"을 허용하고 시스템 제어기의 논리 스케줄러가 클러스터 툴을 통해 분포된 다양한 센서 및 이용자로부터의 입력에 기초하여 기판 이동 및 작업의 우선을 정하도록 이루어질 수 있다. CoO를 향상하도록 클러스터 툴의 이용을 최대화하기 위해 함께 작용하는 시스템 제어기(101) 및 클러스터 툴 아키텍쳐의 이용은 웨이퍼 히스토리를 더욱 반복 가능하게 하고 시스템 신뢰도를 향상시킨다. Along the system controller 101, the first robotic assembly 11A, the second robotic assembly 11B, and the third robotic assembly 11C allow for "overlap" between the various robots and the logical scheduler of the system controller is responsible for the cluster tool. It can be made to prioritize substrate movement and operations based on inputs from various sensors and users distributed throughout. The use of the system controller 101 and the cluster tool architecture working together to maximize the use of cluster tools to improve CoO makes the wafer history more repeatable and improves system reliability.

B. 이동 순서 예B. Example of Move Order

도 3B는 이동 단계의 순서의 일례를 도시하고, 이 단계는 도 3A에서 도시된 클러스터 툴을 통해 도 1F에서 설명된 처리 순서를 완료하는데 이용된다. 이 실시예에서, 기판은 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105)(아이템 # 105D)로부터 제거되고 이동 경로(A1)를 따라 소통 위치(9C)에 위치한 챔버로 이동되며, 이에 의해 소통 단계(502)는 기판 상에서 완료될 수 있다. 소통 단계(502)가 완료된다면, 기판은 이후 이동 경로(A2)를 따라 제 3 로봇 어셈블리(11C)에 의해 제 1 처리 챔버(531)로 이동되고, 이 경우 처리 단계(504)가 기판 상에서 완료된다. 처리 단계(504)를 완료한 이후, 기판은 이동 경로(A3)를 따라 제 3 로봇 어셈블리(11C)에 의해 제 2 처리 챔버(532)로 이동된다. 처리 단계(506)를 완료한 이후, 기판은 이동 경로(A4)를 따라 제 2 로봇 어셈블리(11B)에 의해 교환 챔버(533)(도 7A)로 이동된다. 처리 단계(505)를 수행한 이후, 기판은 이동 경로(A5)를 따라 후방 로봇 어셈블리(40)에 의해 외부 처리 시스템(536)으로 이동되고 여기서 처리 단계(510)가 수행된다. 처리 단계(510)를 수행한 이후, 기판은 이동 경로(A6)를 따라 후방 로봇 어셈블리(40)에 의해 교환 챔버(533)(도 7A)로 이동되고 여기서 처리 단계(512)가 수행된다. 처리 단계(512)를 수행한 이후, 기판은 이동 경로(A7)를 따라 제 2 로봇 어셈블리(11C)에 의해 처리 챔버(534)로 이동되고 여기서 처리 단계(514)가 수행된다. 이후 기판은 제 2 로봇 어셈블리(11B)를 이용하여 이동 경로(A8)를 따라 처리 챔버(535)로 이동된다. 처리 단계(516)가 완료된 이후, 제 1 로봇 어셈블리(11A)는 이동 경로(A9)를 따라 소통 위치(9A)에 위치한 소통 챔버로 기판을 이동시킨다. 소통 단계(518)를 수행한 이후, 기판은 이동 경로(A10)를 따라 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105D)로 이동된다. 3B shows an example of the sequence of moving steps, which step is used to complete the processing sequence described in FIG. 1F via the cluster tool shown in FIG. 3A. In this embodiment, the substrate is removed from the pod assembly 105 (Item # 105D) by the front end robot assembly 15 and moved to the chamber located at the communication position 9C along the movement path A1, thereby. The communication step 502 can be completed on the substrate. If the communication step 502 is completed, the substrate is then moved along the movement path A2 to the first processing chamber 531 by the third robotic assembly 11C, in which case the processing step 504 is completed on the substrate. do. After completing the processing step 504, the substrate is moved to the second processing chamber 532 by the third robotic assembly 11C along the movement path A3. After completing the processing step 506, the substrate is moved along the movement path A4 to the exchange chamber 533 (FIG. 7A) by the second robotic assembly 11B. After performing the processing step 505, the substrate is moved to the external processing system 536 by the rear robot assembly 40 along the movement path A5, where the processing step 510 is performed. After performing the processing step 510, the substrate is moved to the exchange chamber 533 (FIG. 7A) by the rear robot assembly 40 along the movement path A6, where the processing step 512 is performed. After performing the processing step 512, the substrate is moved to the processing chamber 534 by the second robotic assembly 11C along the movement path A7, where the processing step 514 is performed. Subsequently, the substrate is moved to the processing chamber 535 along the movement path A8 using the second robot assembly 11B. After the processing step 516 is completed, the first robotic assembly 11A moves the substrate along the movement path A9 to the communication chamber located at the communication position 9A. After performing the communicating step 518, the substrate is moved to the pod assembly 105D by the front end robot assembly 15 along the movement path A10.

또한, 일 실시예에서, 클러스터 툴(10)은 외부 처리 시스템(536)과 연결 또 는 소통되 지 아니하고 따라서 후방 로봇 어셈블리(40)가 클러스터 툴 구성의 일부가 아니며 이동 단계(A5-A6) 및 처리 단계(510)는 기판 상에서 수행되지 않는다. 이러한 구성에서, 모든 처리 단계 및 이동 단계는 클러스터 툴(10) 내에서 수행된다.Further, in one embodiment, the cluster tool 10 is not connected or in communication with the external processing system 536 so that the rear robot assembly 40 is not part of the cluster tool configuration and moves steps A5-A6 and Processing step 510 is not performed on the substrate. In this configuration, all processing steps and moving steps are performed in the cluster tool 10.

제 4 클러스터 툴 구성Fourth Cluster Tool Configuration

A. 시스템 구성A. System Configuration

도 4A는 두 처리 래크(요소 60, 80) 주위에 위치한 2개의 로봇 어셈블리(11)(도 9-11; 도 4A에서 요소(11B, 11C)), 시스템 제어기(101), 후방 로봇 어셈블리(40), 전단부 로봇 어셈블리(15)를 가지고, 이는 모두 처리 래크에 있는 다양한 처리 챔버들을 이용하여 원하는 기판 처리 순서의 적어도 일 태양을 수행하도록 이루어진다. 도 4A에서 도시된 실시예는 도 3A에서 도시된 구성과 유사하나 제 1 처리 래크(60)의 측부(60A) 상의 소통 위치(9A) 및 제 1 로봇 어셈블리(11A)의 제거가 다르고, 따라서 유사한 요소 번호가 적절하게 이용되었다. 이러한 시스템 구성의 일 장점은, 제 1 처리 래크(60)에 장착된 챔버들에 쉽게 접근을 가능하게 한다는 것이고 따라서 클러스터 툴이 계속 기판을 처리하는 동안 제 1 처리 래크(60)에 장착된 하나 이상의 처리 챔버들이 차지되고(taken down) 작업 중이라는 것이다. 다른 장점은, 기판이 제 2 로봇 어셈블리(11B)를 이용하여 처리되는 동안, 제 3 로봇 어셈블리(11C) 및/또는 제 2 처리 래크(80)가 작업 중일 수 있다는 것이다. 또한, 이러한 구성은 짧은 챔버 처리 시간을 갖는 공정 순서에서 자주 이용되는 처 리 챔버가 제 1 처리 래크(80)에 위치하도록 하게 할 수 있고 이에 의해 챔버는 2개의 중앙 로봇(즉, 요소(11B, 11C))에 의해 서비스될 수 있으며 이에 의해 로봇 이동 한정 병목을 줄이고 시스템 처리량을 향상시킨다. 또한, 이러한 구성은, 로봇이 처리 래크에 장착된 처리 챔버들 사이에서 기판을 이동시킬 때 충돌 방지 형태 제어 특징에 대한 필요를 제거하거나 또는 최소화하고, 다른 공간으로의 각각의 로봇의 물리적 침입이 제거된다. 이러한 구성의 다른 장점은 융통성이 있다는 것이고 모듈 아키텍쳐는 이용자가 이용자의 처리량 필요를 맞추는데 필요한 처리 챔버, 처리 래크 및 처리 로봇의 수를 구성하도록 한다. 4A shows two robotic assemblies 11 (FIGS. 9-11; elements 11B and 11C in FIG. 4A) located around two treatment racks (elements 60 and 80), system controller 101, and rear robot assembly 40. ), Having a front end robot assembly 15, all configured to perform at least one aspect of the desired substrate processing sequence using various processing chambers in the processing rack. The embodiment shown in FIG. 4A is similar to the configuration shown in FIG. 3A but the removal of the communication position 9A and the first robotic assembly 11A on the side 60A of the first treatment rack 60 is different and thus similar. Element numbers were used properly. One advantage of this system configuration is that it allows easy access to the chambers mounted to the first processing rack 60 and thus one or more mounted to the first processing rack 60 while the cluster tool continues to process the substrate. The processing chambers are taken down and are in operation. Another advantage is that the third robotic assembly 11C and / or the second processing rack 80 may be in operation while the substrate is being processed using the second robotic assembly 11B. This configuration can also allow the treatment chamber, which is frequently used in process sequences with short chamber treatment time, to be located in the first treatment rack 80 whereby the chamber is provided with two central robots (ie, element 11B, 11C)), thereby reducing robot movement confinement bottlenecks and improving system throughput. This configuration also eliminates or minimizes the need for an anti-collision shape control feature when the robot moves the substrate between the processing chambers mounted on the processing rack, and eliminates physical intrusion of each robot into another space. do. Another advantage of this configuration is that it is flexible and the module architecture allows the user to configure the number of processing chambers, processing racks and processing robots required to meet the user's throughput needs.

이러한 구성에서, 제 3 로봇 어셈블리(11C)는 측부(80B)로부터 제 2 처리 래크(80)의 처리 챔버들 사이에서 기판에 접근하고 기판을 이동시키도록 이루어지고, 제 2 로봇 어셈블리(11B)는 측부(80A)로부터 제 2 처리 래크(80) 및 측부(60B)로부터 제 1 처리 래크(60)의 처리 챔버들 사이에서 기판에 접근하고 기판을 이동시키도록 이루어진다. 일 태양에서, 제 1 처리 래크(60)의 측부(60B) 및 제 2 처리 래크(80)의 측부(80A)는 모두 다양한 로봇 어셈블리(즉, 제 1 로봇 어셈블리(11A), 제 2 로봇 어셈블리(11B), 제 3 로봇 어셈블리(11C))의 각각의 수평 운동 어셈블리(90)(이하에서 설명됨)에 평행한 방향을 따라 정렬된다. In this configuration, the third robotic assembly 11C is adapted to access and move the substrate between the processing chambers of the second processing rack 80 from the side 80B, and the second robotic assembly 11B is It is adapted to access and move the substrate between the processing chambers of the first processing rack 60 from the side 80A and the second processing rack 80. In one aspect, the side 60B of the first treatment rack 60 and the side 80A of the second treatment rack 80 are both various robot assemblies (ie, a first robot assembly 11A, a second robot assembly ( 11B), aligned along a direction parallel to each horizontal motion assembly 90 (described below) of the third robotic assembly 11C.

상기에서 설명된 것처럼, 시스템 제어기(101)를 따라 제 2 로봇 어셈블리(11B) 및 제 4 로봇 어셈블리(11C)는 시스템 제어기 논리 스케줄러가 클러스터 툴을 통해 분포된 다양한 센서 및 이용자로부터의 입력에 기초하여 작업 및 기판 이동의 우선을 정하도록 이루어질 수 있다. CoO를 향상시키도록 클러스터 툴의 이 용을 최대화하기 위해 함께 작동하는 클러스터 툴 아키텍쳐 및 시스템 제어기(101)의 이용은 웨이퍼 히스토리가 더욱 반복 가능하게 하고 시스템 신뢰도를 향상시킨다. As described above, along the system controller 101, the second robotic assembly 11B and the fourth robotic assembly 11C are based on inputs from various sensors and users whose system controller logic scheduler is distributed through the cluster tool. It may be made to prioritize work and substrate movement. The use of the cluster tool architecture and the system controller 101 working together to maximize the use of the cluster tool to improve CoO makes wafer history more repeatable and improves system reliability.

B. 이동 순서 예B. Example of Move Order

도 4B는 도 4A에서 도시된 클러스터 툴을 통해 도 1F에서 설명된 처리 순서를 완료하는데 이용될 수 있는 이동 단계의 순서의 일례를 도시한다. 이러한 실시예에서, 기판은 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105)(항목 #105D)로부터 제거되고 이동 경로(A1)를 따라 소통 위치(9C)에 위치한 챔버로 전달되고, 이에 의해 소통 단계(502)가 기판 상에서 완료될 수 있다. 소통 단계(502)가 완료되면, 기판은 이동 경로(A2)를 따라 제 3 로봇 어셈블리(11C)에 의해 제 1 처리 챔버(531)로 이동되고, 여기서 처리 단계(504)가 기판 상에서 완료된다. 처리 단계(504)가 완료된 이후, 기판은 이동 경로(A3)를 따라 제 3 로봇 어셈블리(11C)에 의해 제 2 처리 챔버(532)로 이동된다. 처리 단계(506)를 수행한 이후, 기판은 이동 경로(A4)를 따라 제 3 로봇 어셈블리(11C)에 의해 교환 챔버(533)(도 7A)로 이동된다. 처리 단계(508)를 수행한 이후, 기판은 이동 경로(A5)를 따라 후방 로봇 어셈블리(40)에 의해 외부 처리 시스템(536)으로 이동되고 여기서 처리 단계(510)가 수행된다. 처리 단계(510)를 수행한 이후, 기판은 이동 경로(A6)를 따라 후방 로봇 어셈블리(40)에 의해 교환 챔버(533)(도 7A)로 이동되고, 여기서 처리 단계(512)가 수행된다. 처리 단계(512)를 수행한 이후, 기판은 이동 경로(A7)를 따 라 제 2 로봇 어셈블리(11C)에 의해 처리 챔버(534)로 이동되고 여기서 처리 단계(514)가 수행된다. 이후 기판은 제 2 로봇 어셈블리(11B)를 이용하여 이동 경로(A8)를 따라 처리 챔버(535)로 이동된다. 처리 단계(516)가 완료된 이후, 제 2 로봇 어셈블리(11B)는 이동 경로(A9)를 따라 기판을 소통 위치(9B)에 위치한 소통 챔버로 이동시킨다. 소통 단계(518)를 수행한 이후, 기판은 이동 경로(A10)를 따라 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105D)로 이동된다. 4B shows an example of a sequence of movement steps that may be used to complete the processing sequence described in FIG. 1F through the cluster tool shown in FIG. 4A. In this embodiment, the substrate is removed from the pod assembly 105 (item # 105D) by the front end robot assembly 15 and transferred to the chamber located at the communication position 9C along the movement path A1, thereby. The communication step 502 can be completed on the substrate. When the communication step 502 is completed, the substrate is moved to the first processing chamber 531 by the third robotic assembly 11C along the movement path A2, where the processing step 504 is completed on the substrate. After the processing step 504 is completed, the substrate is moved to the second processing chamber 532 by the third robotic assembly 11C along the movement path A3. After performing the processing step 506, the substrate is moved to the exchange chamber 533 (FIG. 7A) by the third robotic assembly 11C along the movement path A4. After performing the processing step 508, the substrate is moved to the external processing system 536 by the rear robot assembly 40 along the movement path A5, where the processing step 510 is performed. After performing the processing step 510, the substrate is moved along the movement path A6 to the exchange chamber 533 (FIG. 7A) by the rear robot assembly 40, where the processing step 512 is performed. After performing the processing step 512, the substrate is moved to the processing chamber 534 by the second robotic assembly 11C along the movement path A7, where the processing step 514 is performed. Subsequently, the substrate is moved to the processing chamber 535 along the movement path A8 using the second robot assembly 11B. After the processing step 516 is completed, the second robotic assembly 11B moves the substrate along the movement path A9 to the communication chamber located at the communication position 9B. After performing the communicating step 518, the substrate is moved to the pod assembly 105D by the front end robot assembly 15 along the movement path A10.

또한, 일 실시예에서, 클러스터 툴(10)은 외부 처리 시스템(536)과 연결되거나 또는 소통되지 아니하고, 따라서 후방 로봇 어셈블리(40)는 클러스터 툴 구성의 일부가 아니며, 이동 단계(A5-A6) 및 처리 단계(510)는 기판 상에서 수행되지 아니한다. 이 구성에서 모든 처리 단계 및 이동 단계는 클러스터 툴(10) 내에서 수행된다. Further, in one embodiment, the cluster tool 10 is not connected or in communication with the external processing system 536, so that the rear robot assembly 40 is not part of the cluster tool configuration and moves steps A5-A6. And processing step 510 is not performed on the substrate. In this configuration all processing steps and moving steps are performed in the cluster tool 10.

제 5 클러스터 툴 구성5th cluster tool configuration

A. 시스템 구성A. System Configuration

도 5A는 클러스터 툴(10)의 일 실시예의 평면도이고, 이 클러스터 툴은 전단부 로봇 어셈블리(15), 후방 로봇 어셈블리(40), 시스템 제어기(101) 및 단일의 처리 래크(요소 60) 주위로 위치한 4개의 로봇 어셈블리(11)(도 9-11; 도 5A에서 요소(11A, 11B, 11C, 11D))를 가지고, 이는 모두 처리 래크(60)에 있는 다양한 처리 챔버들을 이용하여 원하는 기판 처리 순서의 적어도 일 태양을 수행하도록 이루어진다. 도 5A에서 도시된 실시예는 상기에서 설명된 구성과 유사하고, 유사한 요소 번호가 적절하게 이용되었다. 이 구성은, 제 1 처리 래크(60)에 장착된 처리 챔버에 중복적으로 접근할 수 있는 4개의 로봇의 이용에 의해 3개 이하의 로봇을 갖는 시스템이 경험하는 기판 이동 병목을 감소시킨다. 이 구성은, 처리 순서에서 처리 단계의 수가 많고 챔버 처리 시간이 짧을 때 종종 나타나는 로봇 제한 형태 병목을 제거하는데 특히 유용할 수 있다. 5A is a top view of one embodiment of the cluster tool 10, which cluster tool includes a front end robot assembly 15, a rear robot assembly 40, a system controller 101, and a single processing rack (element 60). With four robotic assemblies 11 (FIGS. 9-11; elements 11A, 11B, 11C, 11D in FIG. 5A) positioned, all of which utilize a variety of processing chambers in the processing rack 60 to process desired substrate processing. Is done to carry at least one sun. The embodiment shown in Fig. 5A is similar to the configuration described above, and similar element numbers have been used as appropriate. This configuration reduces the substrate movement bottleneck experienced by a system with three or fewer robots by the use of four robots with redundant access to the processing chamber mounted to the first processing rack 60. This configuration can be particularly useful for eliminating robot-limited bottlenecks that often appear when there are a large number of processing steps in a processing sequence and short chamber processing time.

이 구성에서, 제 1 로봇 어셈블리(11A) 및 제 2 로봇 어셈블리(11B)는 측부(60A)로부터 제 1 처리 래크(60)의 처리 챔버들 사이에서 기판에 접근하고 기판을 이동시키도록 이루어지고, 제 3 로봇 어셈블리(11C) 및 제 4 로봇 어셈블리(11D)는 측부(60B)로부터 제 1 처리 래크(60)의 처리 챔버들 사이에서 기판에 접근하고 기판을 이동시키도록 이루어진다. In this configuration, the first robotic assembly 11A and the second robotic assembly 11B are adapted to access and move the substrate between the processing chambers of the first processing rack 60 from the side 60A, The third robotic assembly 11C and the fourth robotic assembly 11D are configured to access and move the substrate between the processing chambers of the first processing rack 60 from the side 60B.

시스템 제어기(101)를 따라, 제 1 로봇 어셈블리(11A) 및 제 2 로봇 어셈블리(11B), 그리고 제 3 로봇 어셈블리(11C) 및 제 4 로봇 어셈블리(11D)가 다양한 로봇들 사이에서 "겹침"을 가능하게 하도록 이루어질 수 있고, 시스템 제어기의 논리 스케줄러가 클러스터 툴을 통해 분포된 다양한 센서 및 이용자로부터의 입력에 기초하여 기판 운동 및 작업의 우선을 정하도록 할 수 있으며, 충돌 방지 시스템을 이용하여 로봇이 시스템을 통해 기판을 최적화하여 이동시키도록 할 수 있다. CoO를 향상시키도록 클러스터 툴의 이용을 최대화하기 위해 클러스터 툴 아키텍쳐 및 시스템 제어기(101)가 함께 작동하도록 이용하는 것은 웨이퍼 히스토리를 더욱 반복 가능하게 하고 시스템 신뢰도를 향상시킨다. Along the system controller 101, the first robotic assembly 11A and the second robotic assembly 11B, and the third robotic assembly 11C and the fourth robotic assembly 11D are "overlapped" between various robots. And a logical scheduler of the system controller to prioritize substrate motions and tasks based on inputs from various sensors and users distributed through the cluster tool, and using a collision avoidance system to The system allows the substrate to be optimized and moved. Using the cluster tool architecture and the system controller 101 to work together to maximize the use of the cluster tool to improve CoO makes the wafer history more repeatable and improves system reliability.

B. 이동 순서 예B. Example of Move Order

도 5B는 도 5A에서 도시된 클러스터 툴을 통해 도 1F에서 설명된 처리 순서를 완료하는데 이용될 수 있는 이동 순서의 예를 도시한다. 이 실시예에서, 기판은 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105)(아이템 #105D)로부터 제거되고 이동 경로(A1)를 따라 소통 위치(9C)에 위치한 챔버로 전달되며, 이에 의해 소통 단계(502)가 기판 상에서 종료될 수 있다. 소통 단계(502)가 완료되면, 이후 기판은 이동 경로(A2)를 따라 제 3 로봇 어셈블리(11C)에 의해 제 1 처리 챔버(531)로 이동되고, 여기서 처리 단계(504)가 기판 상에서 완료된다. 처리 단계(504)를 완료한 이후, 기판은 이동 경로(A3)를 따라 제 4 로봇 어셈블리(11D)에 의해 제 2 처리 챔버(532)로 이동된다. 처리 단계(506)를 수행한 이후, 기판은 이동 경로(A4)를 따라 제 4 로봇 어셈블리(11D)에 의해 교환 챔버(533)(도 7A)로 이동된다. 처리 단계(508)를 수행한 이후, 기판은 이동 경로(A5)를 따라 후방 로봇 어셈블리(40)에 의해 외부 처리 시스템(536)으로 이동되고 여기서 처리 단계(510)가 수행된다. 처리 단계(510)를 수행한 이후, 기판은 이동 경로(A6)를 따라 후방 로봇 어셈블리(40)에 의해 교환 챔버(533)(도 7A)로 이동되고 여기서 처리 단계(512)가 수행된다. 처리 단계(512)를 수행한 이후, 기판은 이동 경로(A7)를 따라 제 1 로봇 어셈블리(11A)에 의해 처리 챔버(534)로 이동되고, 여기서 처리 단계(514)가 수행된다. 이후 기판은 제 1 로봇 어셈블리(11A)를 이용하여 이동 경로(A8)를 따라 처리 챔버(535)로 이동된다. 처리 단계(516)를 완료한 이후, 제 2 로봇 어셈블리(11B)는 이동 경로(A9)를 따라 소통 위치(9B)에 위치한 소통 챔버로 기판을 이동시킨다. 소통 단계(518)를 수행한 이후, 기판은 이동 경로(A10)를 따라 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105D)로 이동된다. 5B shows an example of a movement sequence that may be used to complete the processing sequence described in FIG. 1F through the cluster tool shown in FIG. 5A. In this embodiment, the substrate is removed from the pod assembly 105 (Item # 105D) by the front end robot assembly 15 and transferred to the chamber located at the communication position 9C along the movement path A1, thereby. The communication step 502 may end on the substrate. Once the communication step 502 is completed, the substrate is then moved along the movement path A2 to the first processing chamber 531 by the third robotic assembly 11C, where the processing step 504 is completed on the substrate. . After completing the processing step 504, the substrate is moved to the second processing chamber 532 by the fourth robotic assembly 11D along the movement path A3. After performing the processing step 506, the substrate is moved to the exchange chamber 533 (FIG. 7A) by the fourth robotic assembly 11D along the movement path A4. After performing the processing step 508, the substrate is moved to the external processing system 536 by the rear robot assembly 40 along the movement path A5, where the processing step 510 is performed. After performing the processing step 510, the substrate is moved to the exchange chamber 533 (FIG. 7A) by the rear robot assembly 40 along the movement path A6, where the processing step 512 is performed. After performing the processing step 512, the substrate is moved to the processing chamber 534 by the first robotic assembly 11A along the movement path A7, where the processing step 514 is performed. Subsequently, the substrate is moved to the processing chamber 535 along the movement path A8 using the first robot assembly 11A. After completing the processing step 516, the second robotic assembly 11B moves the substrate along the movement path A9 to the communication chamber located at the communication position 9B. After performing the communicating step 518, the substrate is moved to the pod assembly 105D by the front end robot assembly 15 along the movement path A10.

또한, 일 실시예에서 클러스터 툴(10)은 외부 처리 시스템(536)과 연결 또는 소통되지 아니하고, 따라서 후방 로봇 어셈블리(40)는 클러스터 툴 구성의 일부가 아니며, 이동 단계(A5-A6) 및 처리 단계(510)가 기판 상에서 수행되지 아니한다. 이러한 구성에서, 모든 처리 단계 및 이동 단계는 클러스터 툴(10) 내에서 수행된다. Further, in one embodiment the cluster tool 10 is not connected or in communication with the external processing system 536, so that the rear robot assembly 40 is not part of the cluster tool configuration, and moves steps A5-A6 and processing. Step 510 is not performed on the substrate. In this configuration, all processing steps and moving steps are performed in the cluster tool 10.

제 6 클러스터 툴 구성Sixth Cluster Tool Configuration

A. 시스템 구성A. System Configuration

도 6A는 클러스터 툴(10)의 일 실시예의 평면도이고, 이 클러스터 툴은 전단부 로봇 어셈블리(15), 후방 로봇 어셈블리(40), 시스템 제어기(101) 및 두 처리 래크(요소(60, 80)) 주위로 위치한 8개의 로봇 어셈블리(11)(도 9-11; 도 6A에서 요소(11A, 11B, 11C, 및 11D-11H)를 가지고, 이는 모두 처리 래크에서 공지되어 있는 다양한 처리 챔버들을 이용하여 원하는 기판 처리 순서의 적어도 일 태양을 수행하도록 이루어진다. 도 6A에서 도시된 실시예는 상기에서 설명된 구성과 유사하고, 따라서 유사한 요소 번호가 적절하게 이용되었다. 이 구성은, 처리 래크(60, 80)에 장착된 처리 챔버들에 중복적으로 접근할 수 있는 8개의 로봇을 이용함에 의해, 더 적은 로봇을 가진 시스템이 경험하는 기판 처리 병목을 감소시킬 것이다. 이 구성은, 처리 순서에서 처리 단계의 수가 많을 때 그리고 챔버 처리 시간이 짧 을 때 종종 나타나는 로봇 제한 형태 병목을 제거하는데 특히 유용할 수 있다. FIG. 6A is a plan view of one embodiment of the cluster tool 10, which is a front end robot assembly 15, a rear robot assembly 40, a system controller 101, and two processing racks (elements 60, 80). With eight robotic assemblies 11 (FIGS. 9-11; elements 11A, 11B, 11C, and 11D-11H in FIG. 6A) located all around, using the various processing chambers known in the processing racks. The embodiment shown in Fig. 6A is similar to the configuration described above, and therefore similar element numbers have been used as appropriate. The use of eight robots with redundant access to the processing chambers mounted on the backplane will reduce substrate processing bottlenecks experienced by systems with fewer robots. Many It can be particularly useful in eliminating robot-limited bottlenecks that often appear when and when chamber processing times are short.

이러한 구성에서, 제 1 로봇 어셈블리(11A) 및 제 2 로봇 어셈블리(11B)는 측부(60A)로부터 제 1 처리 래크(60)의 처리 챔버들에 접근하도록 이루어지고, 제 7 로봇 어셈블리(11G) 및 제 8 로봇 어셈블리(11H)는 측부(80B)로부터 제 2 처리 래크(80)의 처리 챔버들에 접근하도록 이루어진다. 일 태양에서, 제 3 로봇 어셈블리(11C) 및 제 4 로봇 어셈블리(11D)는 측부(60B)로부터 제 1 처리 래크(60)의 처리 챔버들에 접근하도록 이루어진다. 일 태양에서, 제 5 로봇 어셈블리(11E) 및 제 6 로봇 어셈블리(11F)는 측부(80A)로부터 제 2 처리 래크(80)의 처리 챔버들에 접근하도록 이루어진다. 일 태양에서, 제 4 로봇 어셈블리(11D)는 측부(80A)로부터 제 2 처리 래크(80)의 처리 챔버들에 접근하도록 이루어지고 제 5 로봇 어셈블리(11E)는 측부(60B)로부터 제 1 처리 래크(60)의 처리 챔버들에 접근하도록 이루어진다. In this configuration, the first robotic assembly 11A and the second robotic assembly 11B are made to access the processing chambers of the first processing rack 60 from the side 60A, and the seventh robot assembly 11G and The eighth robotic assembly 11H is adapted to access the processing chambers of the second processing rack 80 from the side 80B. In one aspect, the third robotic assembly 11C and the fourth robotic assembly 11D are configured to access the processing chambers of the first processing rack 60 from the side 60B. In one aspect, the fifth robotic assembly 11E and the sixth robotic assembly 11F are adapted to access the processing chambers of the second processing rack 80 from the side 80A. In one aspect, the fourth robotic assembly 11D is adapted to access the processing chambers of the second processing rack 80 from the side 80A and the fifth robotic assembly 11E is configured to access the first processing rack from the side 60B. Access to the processing chambers of 60.

시스템 제어기(101)를 따라 로봇 어셈블리(11A-H)는 다양한 로봇 사이에서 "겹침"을 허용하도록 이루어질 수 있고, 클러스터 툴을 통해 분포된 다양한 센서 및 이용자로부터의 입력에 기초하여 시스템 제어기의 논리 스케줄러가 기판 운동 및 작업의 우선을 정하는 것을 가능하게 할 수 있으며, 또한 충돌 방지 시스템을 이용하여 로봇이 시스템을 통해 기판을 최적화하여 이동시키는 것을 가능하게 할 수 있다. CoO를 향상시키도록 클러스터 툴의 이용을 최대화하기 위해 클러스터 툴 아키텍쳐 및 시스템 제어기(101)를 함께 이용하는 것은, 웨이퍼 히스토리를 더욱 반복 가능하게 하고 시스템 신뢰도를 향상시킨다. The robotic assembly 11A-H along the system controller 101 can be configured to allow "overlapping" between the various robots, and the logical scheduler of the system controller based on inputs from various sensors and users distributed through the cluster tool. It may be possible to prioritize substrate motions and tasks, and also use an anti-collision system to enable the robot to optimize and move the substrate through the system. Using the cluster tool architecture and the system controller 101 together to maximize the use of the cluster tool to improve CoO makes the wafer history more repeatable and improves system reliability.

B. 이동 순서 예B. Example of Move Order

도 6B는 도 6A에서 도시된 클러스터 툴을 통해 도 1F에서 설명된 처리 순서를 완료하는데 이용될 수 있는 이동 단계의 제 1 처리 순서의 예를 도시한다. 이 실시예에서, 기판은 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105)(아이템 #105D)로부터 제거되고 이동 경로(A1)를 따라 소통 챔버(9F)로 전달되며, 이에 의해 소통 단계(502)가 기판 상에서 완료될 수 있다. 소통 단계(502)가 완료되면, 기판은 이동 경로(A2)를 따라서 제 6 로봇 어셈블리(11F)에 의해 제 1 처리 챔버(531)로 이동되고 여기서 처리 단계(504)가 기판 상에서 완료된다. 처리 단계(504)를 완료한 후, 기판은 이동 경로(A3)를 따라 제 6 로봇 어셈블리(11F)에 의해 제 2 처리 챔버(532)로 이동된다. 처리 단계(506)를 수행한 이후, 기판은 이동 경로(A4)를 따라 제 6 로봇 어셈블리(11F)에 의해 교환 챔버(533)(도 7A)로 이동된다. 처리 단계(508)를 수행한 이후, 기판은 이동 경로(A5)를 후방 로봇 어셈블리(40)에 의해 외부 처리 시스템(536)으로 이동되고 여기서 처리 단계(510)가 수행된다. 처리 단계(510) 수행 이후, 기판은 이동 경로(A6)를 따라 후방 로봇 어셈블리(40)에 의해 교환 챔버(533)(도 7A)로 이동되고, 여기서 처리 단계(512)가 수행된다. 처리 단계(512) 수행 이후, 기판은 이동 경로(A7)를 따라 제 5 로봇 어셈블리(11E)에 의해 처리 챔버(534)로 이동되고 여기서 처리 단계(514)가 수행된다. 기판은 제 5 로봇 어셈블리(11E)를 이용하여 이동 경로(A8)를 따라 처리 챔버(535)로 이동된다. 처리 단계(516)를 완료한 이후, 제 5 로봇 어셈블리(11E)는 이동 경 로(A9)를 따라 소통 위치(9E)에 위치한 소통 챔버로 기판을 이동시킨다. 소통 단계(518)를 수행한 이후, 기판은 이동 경로(A10)를 따라 전단부 로봇 어셈블리(15)를 포드 어셈블리(105D)로 이동시킨다. FIG. 6B shows an example of a first processing sequence of movement steps that may be used to complete the processing sequence described in FIG. 1F through the cluster tool shown in FIG. 6A. In this embodiment, the substrate is removed from the pod assembly 105 (item # 105D) by the front end robot assembly 15 and transferred to the communication chamber 9F along the movement path A1, whereby the communication step ( 502 may be completed on the substrate. When the communication step 502 is completed, the substrate is moved to the first processing chamber 531 by the sixth robotic assembly 11F along the movement path A2, where the processing step 504 is completed on the substrate. After completing the processing step 504, the substrate is moved to the second processing chamber 532 by the sixth robotic assembly 11F along the movement path A3. After performing the processing step 506, the substrate is moved along the movement path A4 to the exchange chamber 533 (FIG. 7A) by the sixth robotic assembly 11F. After performing the processing step 508, the substrate is moved to the external processing system 536 by the rear robot assembly 40, where the processing step 510 is performed. After performing the processing step 510, the substrate is moved to the exchange chamber 533 (FIG. 7A) by the rear robot assembly 40 along the movement path A6, where the processing step 512 is performed. After performing the processing step 512, the substrate is moved to the processing chamber 534 by the fifth robotic assembly 11E along the movement path A7, where the processing step 514 is performed. The substrate is moved to the processing chamber 535 along the movement path A8 using the fifth robot assembly 11E. After completing the processing step 516, the fifth robotic assembly 11E moves the substrate along the movement path A9 to the communication chamber located at the communication position 9E. After performing the communication step 518, the substrate moves the front end robot assembly 15 to the pod assembly 105D along the movement path A10.

도 6B는 제 2 처리 래크(80)에서 발견되는 서로 다른 처리 챔버들을 이용하여 제 1 순서와 동시에 완료되는 이동 단계를 갖는 제 2 처리 순서의 예를 도시한다. 도 1C-D에서 도시된 것처럼, 제 1 처리 래크 및 제 2 처리 래크는 대체로 다수의 처리 챔버들을 함유하고, 이 챔버들은 원하는 처리 순서를 수행하는데 이용되는 동일한 처리 단계(예를 들어 도 1C에서 CD1-8, 도 1D에서 BC1-6)를 수행하도록 이루어진다. 따라서, 이러한 구성에서 각각의 처리 순서는 처리 래크에 장착된 처리 챔버를 이용하여 수행될 수 있다. 일례에서, 제 1 처리 순서는 제 1 처리 순서(상기에서 설명됨)와 동일한 처리 순서이고, 이는 상기에서 설명된 것처럼, 각각 제 5 및 제 6 중앙 로봇 어셈블리(즉, 요소 11E-11F) 대신 제 7 및 제 8 중앙 로봇(즉, 요소 11G-11H)을 이용하여 동일한 이동 단계(A1-A10) -여기서 A1'-A10'로 도시됨-를 포함한다. 6B shows an example of a second processing sequence having a moving step completed simultaneously with the first order using different processing chambers found in the second processing rack 80. As shown in FIGS. 1C-D, the first and second processing racks generally contain a number of processing chambers, which chambers use the same processing steps (eg CD1 in FIG. 1C) to perform the desired processing sequence. -8, BC1-6 in Figure 1D). Thus, in this configuration, each processing sequence can be performed using a processing chamber mounted to the processing rack. In one example, the first processing sequence is the same processing sequence as the first processing sequence (described above), which is instead of the fifth and sixth central robot assembly (ie, elements 11E-11F), respectively, as described above. And using the seventh and eighth central robots (ie elements 11G-11H), the same movement steps A1-A10, where A1'-shown as A10 '.

또한, 일 실시예에서, 클러스터 툴(10)은 외부 처리 시스템(536)과 연결되거나 소통되지 아니하고, 따라서 후방 로봇 어셈블리(40)는 클러스터 툴 구성의 일부가 아니며, 이동 단계(A5-A6) 및 처리 단계(510)는 기판 상에서 수행되지 않는다. 이러한 구성에서, 모든 처리 단계 및 이동 단계는 클러스터 툴(10) 내에서 수행된다. Further, in one embodiment, the cluster tool 10 is not connected or in communication with the external processing system 536, so that the rear robot assembly 40 is not part of the cluster tool configuration, and moves steps A5-A6 and Processing step 510 is not performed on the substrate. In this configuration, all processing steps and moving steps are performed in the cluster tool 10.

제 7 클러스터 툴 구성7th cluster tool configuration

A. 시스템 구성A. System Configuration

도 6C는, 높은 시스템 처리량을 제공하면서 로봇 어셈블리(즉 로봇 어셈블리 11D) 중 하나가 시스템 폭을 줄이도록 제거된다는 점을 제외하고는, 도 6A에서 도시된 구성과 유사한 클러스터 툴(10)의 일 실시예의 평면도이다. 따라서, 이 구성에서, 클러스터 툴(10)은 전단부 로봇 어셈블리(15), 후방 로봇 어셈블리(40), 시스템 제어기(101) 및 두 처리 래크(요소 60 및 80) 주위에 위치한 7개의 로봇 어셈블리(11)(도 9-11; 도 6C에서 요소(11A-11C), 및 (11E-11H))를 가지고, 이는 모두 처리 래크에서 발견되는 다양한 처리 챔버들을 이용하여 원하는 기판 처리 순서의 적어도 일 태양을 수행하도록 이루어진다. 도 6C에서 도시된 실시예는 상기에서 도시된 구성과 유사하고, 따라서 유사한 요소 번호가 적절하게 이용되었다. 이 구성은, 처리 래크(60, 80)에 장착된 처리 챔버들에 중복적으로 접근할 수 있는 7개의 로봇을 이용함에 의해, 더 적은 로봇을 가진 시스템이 경험하는 기판 이동 병목을 줄일 것이다. 이 구성은, 처리 순서의 처리 단계의 수가 많고 챔버 처리 시간이 짧을 때 종종 발견되는 로봇 제한 형태 병목을 제거하는데 특히 유용할 수 있다. 6C illustrates one implementation of a cluster tool 10 similar to the configuration shown in FIG. 6A, except that one of the robotic assemblies (ie, robotic assembly 11D) is removed to reduce the system width while providing high system throughput. A plan view of an example. Thus, in this configuration, the cluster tool 10 includes a front end robot assembly 15, a rear robot assembly 40, a system controller 101 and seven robot assemblies located around two processing racks (elements 60 and 80). 11) (FIGS. 9-11; elements 11A-11C, and 11E-11H in FIG. 6C), all of which utilize at least one aspect of the desired substrate processing sequence using the various processing chambers found in the processing rack. Is done. The embodiment shown in FIG. 6C is similar to the configuration shown above, and therefore similar element numbers have been used as appropriate. This configuration will reduce the substrate movement bottleneck experienced by systems with fewer robots by using seven robots that have redundant access to the processing chambers mounted on the processing racks 60 and 80. This configuration can be particularly useful for eliminating robotic restricted form bottlenecks that are often found when the number of processing steps in a processing sequence is high and the chamber processing time is short.

이 구성에서, 제 1 로봇 어셈블리(11A) 및 제 2 로봇 어셈블리(11B)는 측부(60A)로부터 제 1 처리 래크(60)의 처리 챔버들에 접근하도록 이루어지고, 제 7 로봇 어셈블리(11G) 및 제 8 로봇 어셈블리(11H)는 측부(80B)로부터 제 2 처리 래크(80)의 처리 챔버들에 접근하도록 이루어진다. 일 태양에서, 제 3 로봇 어셈블 리(11C) 및 제 5 로봇 어셈블리(11E)는 측부(60B)로부터 제 1 처리 래크(60)의 처리 챔버들에 접근하도록 이루어지고, 제 5 로봇 어셈블리(11E) 및 제 6 로봇 어셈블리(11F)는 측부(80A)로부터 제 2 처리 래크(80)의 처리 챔버들에 접근하도록 이루어진다. In this configuration, the first robot assembly 11A and the second robot assembly 11B are made to access the processing chambers of the first processing rack 60 from the side 60A, and the seventh robot assembly 11G and The eighth robotic assembly 11H is adapted to access the processing chambers of the second processing rack 80 from the side 80B. In one aspect, the third robot assembly 11C and the fifth robotic assembly 11E are made to access the processing chambers of the first processing rack 60 from the side 60B, and the fifth robot assembly 11E. And the sixth robotic assembly 11F is adapted to access the processing chambers of the second processing rack 80 from the side 80A.

시스템 제어기(101)를 따라 로봇 어셈블리(11A-11C 및 11E-11H)는 다양한 로봇 사이에서 "겹침"을 허용하도록 이루어질 수 있고, 클러스터 툴을 통해 분포된 다양한 센서 및 이용자로부터의 입력에 기초하여 시스템 제어기의 논리 스케줄러가 기판 운동 및 작업의 우선을 정하도록 할 수 있으며, 충돌 방지 시스템을 이용하여 로봇이 시스템을 통해 최적화하여 기판을 이동시키도록 할 수 있다. CoO를 향상시키도록 클러스터 툴의 이용을 최대화하기 위해 클러스터 툴 아키텍쳐 및 시스템 제어기(101)가 함께 작동하도록 이용하는 것은 웨이퍼 히스토리를 더욱 반복 가능하게 하고 시스템 신뢰도를 향상시킨다. The robotic assemblies 11A-11C and 11E-11H along the system controller 101 can be configured to allow for "overlapping" between the various robots and based on inputs from various sensors and users distributed through the cluster tool. The controller's logic scheduler can prioritize board motion and tasks, and use an anti-collision system to allow the robot to optimize the substrate to move the substrate. Using the cluster tool architecture and the system controller 101 to work together to maximize the use of the cluster tool to improve CoO makes the wafer history more repeatable and improves system reliability.

B. 이동 순서 예B. Example of Move Order

도 6D는 도 6C에서 도시된 클러스터 툴을 통해 도 1F에서 설명된 처리 순서를 완료하는데 이용될 수 있는 이동 단계의 제 1 처리 순서의 예를 도시한다. 이 실시예에서, 기판은 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105)(아이템 #105D)로부터 제거되고 이동 경로(A1)를 따라 소통 챔버(9F)로 전달되며, 이에 의해 소통 단계(502)가 기판 상에서 완료될 수 있다. 소통 단계(502)가 일단 완료되면, 기판은 이동 경로(A2)를 따라 제 6 로봇 어셈블리(11F)에 의해 제 1 처리 챔 버(531)로 이동되고 여기서 처리 단계(504)가 기판 상에서 완료된다. 처리 단계(504)를 완료한 이후, 기판은 이동 경로(A3)를 따라 제 6 로봇 어셈블리(11F)에 의해 제 2 처리 챔버(532)로 이동된다. 처리 단계(506)를 수행한 이후, 기판은 이동 경로(A4)를 따라 제 6 로봇 어셈블리(11F)에 의해 교환 챔버(533)(도 7A)로 이동된다. 처리 단계(508)를 수행한 이후, 기판은 이동 경로(A5)를 따라 후방 로봇 어셈블리(40)에 의해 외부 처리 시스템(536)으로 이동되고, 여기서 처리 단계(510)가 수행된다. 처리 단계(510)를 수행한 이후, 기판은 이동 경로(A6)를 따라 후방 로봇 어셈블리(40)에 의해 교환 챔버(533)(도 7A)로 이동되고 여기서 처리 단계(512)가 수행된다. 처리 단계(512)를 수행한 이후, 기판은 이동 경로(A7)를 따라 제 5 로봇 어셈블리(11E)에 의해 처리 챔버(534)로 이동되고 여기서 처리 단계(514)가 수행된다. 이후 기판은 제 5 로봇 어셈블리(11E)를 이용하여 이동 경로(A8)를 따라 처리 챔버(535)로 이동된다. 처리 단계(516)가 완료된 이후, 제 5 로봇 어셈블리(11E)는 이동 경로(A9)를 따라 소통 위치(9E)에 위치한 소통 챔버로 기판을 이동시킨다. 소통 단계(518)를 수행한 이후, 기판은 이동 경로(A10)를 따라 전단부 로봇 어셈블리(15)에 의해 포드 어셈블리(105D)로 이동된다. FIG. 6D shows an example of a first processing sequence of movement steps that may be used to complete the processing sequence described in FIG. 1F through the cluster tool shown in FIG. 6C. In this embodiment, the substrate is removed from the pod assembly 105 (item # 105D) by the front end robot assembly 15 and transferred to the communication chamber 9F along the movement path A1, whereby the communication step ( 502 may be completed on the substrate. Once the communication step 502 is completed, the substrate is moved to the first processing chamber 531 by the sixth robotic assembly 11F along the movement path A2 where the processing step 504 is completed on the substrate. . After completing the processing step 504, the substrate is moved to the second processing chamber 532 by the sixth robotic assembly 11F along the movement path A3. After performing the processing step 506, the substrate is moved along the movement path A4 to the exchange chamber 533 (FIG. 7A) by the sixth robotic assembly 11F. After performing the processing step 508, the substrate is moved to the external processing system 536 by the rear robot assembly 40 along the movement path A5, where the processing step 510 is performed. After performing the processing step 510, the substrate is moved to the exchange chamber 533 (FIG. 7A) by the rear robot assembly 40 along the movement path A6, where the processing step 512 is performed. After performing the processing step 512, the substrate is moved to the processing chamber 534 by the fifth robotic assembly 11E along the movement path A7, where the processing step 514 is performed. Subsequently, the substrate is moved to the processing chamber 535 along the movement path A8 using the fifth robot assembly 11E. After the processing step 516 is completed, the fifth robotic assembly 11E moves the substrate along the movement path A9 to the communication chamber located at the communication position 9E. After performing the communicating step 518, the substrate is moved to the pod assembly 105D by the front end robot assembly 15 along the movement path A10.

도 6D는 제 2 처리 래크(80)에서 알려진 서로 다른 처리 챔버들을 이용하여 제 1 순서와 동시에 완료되는 이동 단계들을 갖는 제 2 처리 순서의 예를 도시한다. 도 1C-D에서 도시된 것처럼, 제 1 처리 래크 및 제 2 처리 래크는 대체로 다수의 처리 챔버를 함유하고, 이 챔버들은 원하는 처리 순서를 수행하는데 이용되는 동일한 처리 단계(들)(예를 들어 도 1C에서 CD1-8, 도 1D에서 BC1-6)를 수행하도록 이루어진다. 따라서, 이러한 구성에서, 각각의 처리 순서는 처리 래크에 장착된 처리 챔버 중 어느 것이든 이용하여 수행될 수 있다. 일례에서, 제 2 처리 순서는 제 1 처리 순서(상기에서 설명된)와 동일한 처리 순서이고, 이는 상기에서 설명된 것처럼, 각각, 제 5 및 제 6 중앙 로봇 어셈블리(즉, 요소 11E-11F) 대신 제 7 및 제 8 중앙 로봇(즉, 요소 11G-11H)를 이용하여, 여기서는 A1'-A10'로 표시된, 동일한 이동 단계 A1-A10를 포함한다. FIG. 6D shows an example of a second processing sequence with movement steps that are completed concurrently with the first order using different processing chambers known in the second processing rack 80. As shown in FIGS. 1C-D, the first and second processing racks generally contain multiple processing chambers, which chambers (eg, the same processing step (s) used to perform the desired processing sequence). CD1-8 in 1C, BC1-6 in FIG. 1D). Thus, in this configuration, each processing sequence can be performed using any of the processing chambers mounted to the processing racks. In one example, the second processing sequence is the same processing sequence as the first processing sequence (described above), which, as described above, replaces the fifth and sixth central robot assembly (ie, elements 11E-11F), respectively. Using the seventh and eighth central robots (ie elements 11G-11H), the same movement steps A1-A10, here indicated as A1'-A10 ', are included.

또한, 일 실시예에서, 클러스터 툴(10)은 외부 처리 시스템(536)에 연결되거나 소통되지 아니하고, 따라서 후방 로봇 어셈블리(40)는 클러스터 툴 구성의 일부가 아니며, 이동 단계(A5-A6) 및 처리 단계(510)는 기판 상에서 수행되지 않는다. 이러한 구성에서, 모든 처리 단계 및 이동 단계는 클러스터 툴(10) 내에서 수행된다. In addition, in one embodiment, the cluster tool 10 is not connected or communicated with the external processing system 536, so that the rear robot assembly 40 is not part of the cluster tool configuration, and moves steps A5-A6 and Processing step 510 is not performed on the substrate. In this configuration, all processing steps and moving steps are performed in the cluster tool 10.

후방 로봇 어셈블리Rear robot assembly

일 실시예에서, 도 1-6에서 도시된 것처럼, 중앙 모듈(25)은 교환 챔버(533)와 같은 제 2 처리 래크(80)에 보유된 처리 챔버들 및 외부 모듈(5) 사이에서 기판을 이동시키도록 이루어진 후방 로봇 어셈블리(40)를 함유한다. 도 1E를 참고하면, 일 태양에서, 후방 로봇 어셈블리(40)는 단일의 아암/블레이드(40E)를 갖는 종래의 선택적으로 따르는 관절식 로봇 아암(selectively compliant articulated robot arm, SCARA) 로봇을 대체로 포함한다. 다른 실시예에서, 후방 로봇 어셈블리(40)는 로봇의 SCARA 형태일 수 있고, 이는 독립적으로 제어 가능한 아암/블레이 드(미도시)를 가지며 이에 의해 두 그룹으로 기판을 교환하고 및/또는 이동시킨다. 두 개의 독립적으로 제어 가능한 아암/블레이드 형태 로봇은, 예를 들어 로봇이 동일한 위치에 다음 기판을 위치시키기 이전에 원하는 위치로부터 기판을 제거해야 하는 경우에 유리할 수 있다. 예시적인 두 개의 독립적으로 제어 가능한 아암/블레이드 형태 로봇은 미국 캘리포니아 프레몬트의 아시스트 테크놀로지(Asyst Technologies)로부터 구입 가능하다. 도 1-6이 후방 로봇 어셈블리(40)를 포함한 구성을 도시하지만, 클러스터 툴(10)의 일 실시예는 후방 로봇 어셈블리(40)를 포함하지 아니한다. In one embodiment, as shown in FIGS. 1-6, the central module 25 holds the substrate between the outer chamber 5 and the processing chambers held in the second processing rack 80, such as the exchange chamber 533. It contains a rear robot assembly 40 adapted to move. With reference to FIG. 1E, in one aspect, the rear robot assembly 40 generally includes a conventional selectively compliant articulated robot arm (SCARA) robot with a single arm / blade 40E. . In another embodiment, the rear robot assembly 40 may be in the form of a SCARA of a robot, which has independently controllable arms / blades (not shown), thereby exchanging and / or moving substrates in two groups. Two independently controllable arm / blade type robots may be advantageous, for example, if the robot needs to remove the substrate from the desired position before placing the next substrate in the same position. Two exemplary independently controllable arm / blade type robots are available from Asyst Technologies of Fremont, California, USA. 1-6 illustrate a configuration including a rear robot assembly 40, one embodiment of the cluster tool 10 does not include a rear robot assembly 40.

도 7A는 교환 챔버(533)의 일 실시예를 도시하고, 이 챔버는 처리 래크(예를 들어 요소 60, 80)의 지지 챔버(165)(도 1D)에 위치할 수 있다. 일 실시예에서, 교환 챔버(533)는 기판을 수용하고 보유하도록 이루어지고, 이에 의해 클러스터 툴(10)에서 적어도 두 개의 로봇이 기판을 놓거나 또는 픽업할 수 있다. 일 태양에서, 후방 로봇 어셈블리(40) 및 중앙 모듈(25)의 적어도 하나의 로봇은 교환 챔버(533)로부터 기판을 놓거나 및/또는 수용하도록 이루어진다. 교환 챔버(533)는 대체로 기판 지지 어셈블리(601), 인클로저(602), 및 인클로저(602)의 벽에 형성된 적어도 하나의 접근 포트(603)를 포함한다. 기판 지지 어셈블리(601)는 대체로 다수의 지지 핑거(support finger, 610)(도 7A에서는 6개가 도시됨)를 가지고, 이는 그 위에 위치한 기판을 지지하고 보유하도록 기판 수용면(611)을 가진다. 인클로저(602)는 대체로 하나 이상의 벽을 갖는 구조이고, 이 벽은 기판 지지 어셈블리(601)를 에워싸서 기판이 교환 챔버(533)에 보유되는 동안 기판 주위의 환경을 제어한다. 접근 포트(603)는 대체로 인클로저(602) 벽의 개구이고, 이는 외부 로봇이 접근하여 기판을 픽업하고 지지 핑거(610)로 기판을 내려놓는 것을 가능하게 한다. 일 태양에서, 기판 지지 어셈블리(601)는 둘 이상의 로봇에 의해 기판이 기판 수용면(611) 위에 위치되고 이로부터 제거되는 것을 가능하게 하도록 이루어지고, 이 로봇은 적어도 90도 떨어진 각도로 인클로저(602)에 접근하도록 이루어진다. 7A illustrates one embodiment of the exchange chamber 533, which may be located in the support chamber 165 (FIG. 1D) of the processing rack (eg, elements 60, 80). In one embodiment, the exchange chamber 533 is configured to receive and hold the substrate, whereby at least two robots in the cluster tool 10 may place or pick up the substrate. In one aspect, the rear robot assembly 40 and at least one robot of the central module 25 are configured to place and / or receive a substrate from the exchange chamber 533. The exchange chamber 533 generally includes a substrate support assembly 601, an enclosure 602, and at least one access port 603 formed in a wall of the enclosure 602. Substrate support assembly 601 generally has a plurality of support fingers 610 (six are shown in FIG. 7A), which have a substrate receiving surface 611 to support and hold a substrate positioned thereon. The enclosure 602 is generally a structure having one or more walls, which surround the substrate support assembly 601 to control the environment around the substrate while the substrate is held in the exchange chamber 533. The access port 603 is generally an opening in the enclosure 602 wall, which allows an external robot to approach and pick up the substrate and lower the substrate with the support finger 610. In one aspect, the substrate support assembly 601 is configured to enable the substrate to be positioned on and removed from the substrate receiving surface 611 by two or more robots, the robot 602 at an angle at least 90 degrees apart. )

도 7B에서 도시된 클러스터 툴(10)의 일 실시예에서, 후방 로봇 어셈블리(40)의 베이스(40A)는 지지 브래킷(40C) 상에 장착되고, 지지 브래킷은 슬라이드 어셈블리(40B)와 연결되며, 이에 의해 베이스(40A)는 슬라이드 어셈블리(40B)의 길이를 따라 어떠한 위치에도 위치할 수 있다. 이 구성에서, 후방 로봇 어셈블리(40)는 제 1 처리 래크(60), 제 2 처리 래크(80) 및/또는 외부 모듈(5)의 처리 챔버들로부터 기판을 이동시키도록 이루어진다. 슬라이드 어셈블리(40B)는 선형 볼 베어링 슬라이드(미도시) 및 선형 액츄에이터(미도시)를 대체로 포함할 수 있고, 이는 이 기술 분야에서 공지되어 있으며, 이에 의해 그 위에 보유된 후방 로봇 어셈블리(40) 및 지지 브래킷(40C)을 위치시킨다. 선형 액츄에이터는 드라이브 선형 브러쉬없는 보조모터(drive linear brushless servomotor)일 수 있고, 이는 미국 일리노이주 우드 데일의 다나허 모우션(Danaher Motion)으로부터 구입 가능하다. 도 7B에서 도시된 것처럼, 슬라이드 어셈블리(40B)는 y-방향으로 배향될 수 있다. 이 구성에서, 로봇 어셈블리(11A, 11B, 또는 11C)와의 충돌을 피하기 위해, 슬라이드 어셈블리(40B)가 다른 중앙 로봇 어셈블리(예를 들어 요소 11A, 11B, 등) 과 충돌하지 않은 채 이동할 수 있을 때, 제어기가 후방 로봇 어셈블리(40)를 이동시키도록 이루어질 것이다. 일 실시예에서, 후방 로봇 어셈블리(40)는 슬라이드 어셈블리(40B) 상에 장착되고, 이 어셈블리는 다른 중앙 로봇 어셈블리와 충돌하지 않도록 위치한다. In one embodiment of the cluster tool 10 shown in FIG. 7B, the base 40A of the rear robot assembly 40 is mounted on a support bracket 40C, and the support bracket is connected with the slide assembly 40B, This allows the base 40A to be located at any position along the length of the slide assembly 40B. In this configuration, the rear robot assembly 40 is adapted to move the substrate from the processing chambers of the first processing rack 60, the second processing rack 80, and / or the external module 5. The slide assembly 40B may generally include a linear ball bearing slide (not shown) and a linear actuator (not shown), which are known in the art, whereby the rear robot assembly 40 held thereon and Place the support bracket 40C. The linear actuator may be a drive linear brushless servomotor, available from Danaher Motion, Wooddale, Illinois, USA. As shown in FIG. 7B, the slide assembly 40B may be oriented in the y-direction. In this configuration, when the slide assembly 40B can move without colliding with another central robot assembly (e.g., elements 11A, 11B, etc.), to avoid collision with the robot assembly 11A, 11B, or 11C. The controller will be adapted to move the rear robot assembly 40. In one embodiment, the rear robot assembly 40 is mounted on the slide assembly 40B, which is positioned so that it does not collide with another central robot assembly.

주위환경 제어Ambient environment control

도 8A는 부착된 주위환경 제어 어셈블리(110)를 갖는 클러스터 툴(10)의 일 실시예를 도시하고, 이 어셈블리는 클러스터 툴(10)을 에워싸서 제어된 처리 주위환경을 제공하고, 여기서 원하는 처리 순서에서 발견되는 다양한 기판 처리 단계를 수행한다. 도 8A는 처리 챔버들에 걸쳐 위치한 주위환경 인클로저를 구비한 도 1A에서 도시된 클러스터 툴(10) 구성을 도시한다. 주위환경 제어 어셈블리(110)는 대체로 하나 이상의 필터 유닛(112), 하나 이상의 팬(미도시), 광학 클러스터 툴 베이스(10A)를 포함한다. 일 태양에서, 하나 이상이 벽(113)이 클러스터 툴(10)에 부가되어 클러스터 툴(10)을 에워싸고 기판 처리 단계를 수행하도록 제어된 주위환경을 제공한다. 대체로 주위환경 제어 어셈블리(110)는, 클러스터 툴(10)에서 미립자 오염 수준, 유동 양식(flow regime)(예를 들어 라미나르(laminar) 또는 터뷸런트(turbulent) 유동) 및 에어 유동 속도를 제어하도록 이루어진다. 일 태양에서, 주위환경 제어 어셈블리(110)는 또한 에어에서의 정적 부담의 양, 상대 습도, 에어 온도 및 다른 일반적인 처리 파라미터를 제어할 수 있고, 이는 종래의 클린 룸 호환 가능한(clean room compatible) 히팅 통풍 및 에어 컨디셔닝(heating ventilation and air conditioning, HVAC) 시스템을 이용하여 제어될 수 있다. 작동시, 주위환경 제어 어셈블리(110)는, 팬(미도시)을 이용하여 클러스터 툴(10)의 외부로 소스(미도시) 또는 여역으로부터 에어를 끌어 당기고, 이후 필터(111)를 통해 그리고 클러스터 툴(10)을 통해 에어를 보내며 이후 클러스터 툴 베이스(10A)를 통해 클러스터 툴(10) 밖으로 보낸다. 일 태양에서, 필터(111)는 고효율 미립자 에어(HEPA) 필터이다. 클러스터 툴 베이스(10A)는 대체로 클러스터 툴의 플로어(floor) 또는 바닥 영역이고, 이는 다수의 슬롯(10B)(도 12A) 또는 다른 구멍을 가지고, 이는 팬에 의해 클러스터 툴(10)을 통해 밀어 넣어진 에어가 클러스터 툴(10)을 빠져나가는 것을 가능하게 한다. 8A shows one embodiment of a cluster tool 10 having an attached ambient control assembly 110, which encloses the cluster tool 10 to provide a controlled processing environment, where desired processing is achieved. Perform the various substrate processing steps found in the sequence. 8A shows the cluster tool 10 configuration shown in FIG. 1A with an ambient enclosure located across the processing chambers. The ambient control assembly 110 generally includes one or more filter units 112, one or more fans (not shown), and an optical cluster tool base 10A. In one aspect, one or more walls 113 are added to the cluster tool 10 to provide a controlled environment for enclosing the cluster tool 10 and performing substrate processing steps. In general, the ambient control assembly 110 is configured to control the particulate contamination level, flow regime (eg laminar or turbulent flow) and air flow rate in the cluster tool 10. Is done. In one aspect, the ambient control assembly 110 can also control the amount of static charge in the air, relative humidity, air temperature and other general processing parameters, which is conventional clean room compatible heating. It can be controlled using a heating ventilation and air conditioning (HVAC) system. In operation, the ambient control assembly 110 draws air from a source (not shown) or area out of the cluster tool 10 using a fan (not shown), and then through the filter 111 and the cluster. Air is sent through the tool 10 and then out of the cluster tool 10 through the cluster tool base 10A. In one aspect, the filter 111 is a high efficiency particulate air (HEPA) filter. The cluster tool base 10A is generally the floor or floor area of the cluster tool, which has a number of slots 10B (FIG. 12A) or other holes, which are pushed through the cluster tool 10 by a fan. It is possible for the jin air to exit the cluster tool 10.

도 8A는 주위환경 제어 어셈블리(110)의 일 실시예를 도시하고, 이는 다수의 분리된 주위환경 제어 어셈블리(110A-C)를 가지며, 이는 원하는 처리 순서에서 발견되는 다양한 기판 처리 단계를 수행하도록 제어된 처리 환경을 제공한다. 분리된 주위환경 제어 어셈블리(110A-C)는 중앙 모듈(25)의 로봇 어셈블리(예를 들어 도 1-6의 요소 11A, 11B, 등)의 각각에 걸쳐 위치하고, 이에 의해 각각의 로봇 어셈블리(11)에 걸쳐 에어 유동을 개별적으로 제어한다. 이러한 구성은 도 3A 및 4A에서 도시된 구성에서 특히 유리할 수 있는데, 왜냐하면 로봇 어셈블리가 처리 래크에 의해 서로 물리적으로 분리되어 있기 때문이다. 개별적인 주위환경 제어 어셈블리(110A-C)의 각각은 대체로 필터 유닛(112), 팬(미도시) 및 선택적인 클러스터 툴 베이스(10A)를 포함하고 이에 의해 제어된 에어를 배출한다. 8A illustrates one embodiment of the ambient control assembly 110, which has a number of separate ambient control assemblies 110A-C, which control to perform the various substrate processing steps found in the desired processing sequence. To provide a customized processing environment. The separated ambient control assemblies 110A-C are located across each of the robotic assemblies (eg elements 11A, 11B, etc. of FIGS. 1-6) of the central module 25, whereby each robotic assembly 11 Individually control the air flow. This configuration can be particularly advantageous in the configuration shown in FIGS. 3A and 4A because the robotic assemblies are physically separated from each other by the processing racks. Each of the individual ambient control assemblies 110A-C generally includes a filter unit 112, a fan (not shown) and an optional cluster tool base 10A and thereby discharge the controlled air.

도 8B는 주위환경 제어 어셈블리(110)의 단면도를 도시하고, 이는 단일 필터 유닛(112)을 가지며, 이는 클러스터 툴(10)에 장착되고 y 및 z방향에 평행하게 배향된 단면을 이용하여 도시된다. 이 구성에서, 주위환경 제어 어셈블리(110)는 단일의 필터 유닛(112), 하나 이상의 팬(미도시), 및 클러스터 툴 베이스(10A)를 갖는다. 이 구성에서, 주위환경 제어 어셈블리(110)로부터 클러스터 툴(10)로 수직으로 전달된 에어(요소 "A")는 처리 래크(60, 80) 및 로봇 어셈블리(11A-C) 주위로 그리고 클러스터 툴 베이스(10A) 밖으로 이동한다. 일 태양에서, 벽(113)은 처리 클러스터 툴(10)의 내부의 처리 영역을 형성하고 에워싸도록 이루어지고 이에 의해 처리 래크(60, 80)에 보유된 처리 챔버 주위의 처리 주위환경은 주위환경 제어 어셈블리(110)에 의해 전달되는 에어에 의해 제어될 수 있다. 8B shows a cross-sectional view of the ambient control assembly 110, which has a single filter unit 112, which is shown using a cross section mounted to the cluster tool 10 and oriented parallel to the y and z directions. . In this configuration, the ambient control assembly 110 has a single filter unit 112, one or more fans (not shown), and a cluster tool base 10A. In this configuration, the air (element “A”) transferred vertically from the environment control assembly 110 to the cluster tool 10 is transferred around the processing racks 60 and 80 and the robot assembly 11A-C and the cluster tool. Move out of base 10A. In one aspect, the wall 113 is configured to form and enclose a processing region inside the processing cluster tool 10, whereby the processing ambient surrounding the processing chamber held in the processing racks 60, 80 is an ambient environment. It may be controlled by air delivered by the control assembly 110.

도 8C는 주위환경 제어 어셈블리(110)의 단면도를 도시하고, 이는 클러스터 툴(10)에 장착된 다수의 개별적인 주위환경 제어 어셈블리(110A-C)를 가지고, 이는 y 및 z 방향에 평행하게 배형된 단면을 이용하여 도시된다(도 1A를 보라). 이 구성에서, 주위환경 제어 어셈블리(110)는 클러스터 툴 베이스(10A), 3개의 주위환경 제어 어셈블리(110A-C), 주위환경 제어 어셈블리(110A-C)의 하부면(114)으로 또는 위로 연장하는 제 1 처리 래크(60), 및 주위환경 제어 어셈블리(110A-C)의 하부면(114)으로 또는 그 위로 연장하는 제 2 처리 래크(80)를 포함한다. 대체로 3개의 주위환경 제어 어셈블리(110A-C)는 하나 이상의 팬(미도시) 및 하나의 필터(111)를 각각 포함할 것이다. 이 구성에서, 주위환경 제어 어셈블리(110A-C)의 각각으로부터 클러스터 툴(10)로 수직으로(요소 "A") 전달된 에어는, 처리 래크(60, 80) 및 로봇 어셈블리(11A-C) 사이로 그리고 클러스터 툴 베이스(10A) 밖으 로 이동한다. 일 태양에서, 벽(113)은 ㅋ(10) 내부의 처리 영역을 에워싸고 형성하도록 이루어지고, 이에 의해 처리 래크(60, 80)에 보유된 처리 챔버 주위의 처리 주위환경은 주위환경 제어 어셈블리(110)에 의해 전달되는 에어에 의해 제어될 수 있다. 8C shows a cross-sectional view of the ambient control assembly 110, which has a number of individual ambient control assemblies 110A-C mounted to the cluster tool 10, which are paralleled in the y and z directions. It is shown using a cross section (see Figure 1A). In this configuration, the ambient control assembly 110 extends to or up the bottom surface 114 of the cluster tool base 10A, the three ambient control assemblies 110A-C, and the ambient control assembly 110A-C. A first treatment rack 60, and a second treatment rack 80 extending to or above the bottom surface 114 of the ambient control assembly 110A-C. In general, the three ambient control assemblies 110A-C will each include one or more fans (not shown) and one filter 111. In this configuration, the air transferred vertically (element "A") from each of the environment control assemblies 110A-C to the cluster tool 10 is treated with the processing racks 60, 80 and the robot assembly 11A-C. Move in and out of the cluster tool base 10A. In one aspect, the wall 113 is adapted to enclose and form the treatment area inside the bla (10), whereby the treatment ambient around the treatment chamber held in the treatment racks (60, 80) is controlled by an ambient control assembly ( Controllable by air delivered by 110.

다른 실시예에서, 클러스터 툴(10)은 클린 룸 주위환경에 놓일 수 있고, 이는 클러스터 툴(10)을 통해 원하는 속도로 낮은 미립자를 함유한 에어를 전달하고 이후 클러스터 툴 베이스(10A) 밖으로 이동하도록 이루어진다. 이 구성에서, 주위환경 제어 어셈블리(110)는 대체로 필요하지 아니하고 따라서 이용되지 아니한다. 클러스터 툴(10)에 보유된 처리 챔버 주위의 환경 및 에어 성질을 제어하는 능력은 입자의 축적의 최소화 및/또는 제어에 있어서 중요한 인자이고, 이는 미립자 오염에 의해 야기되는 기구 수득률 문제를 일으킬 수 있다. In another embodiment, the cluster tool 10 can be placed in a clean room environment, which allows it to deliver air containing low particulates at a desired rate through the cluster tool 10 and then move out of the cluster tool base 10A. Is done. In this configuration, the ambient control assembly 110 is generally not needed and therefore not used. The ability to control the environment and air properties around the processing chamber held in the cluster tool 10 is an important factor in minimizing and / or controlling the accumulation of particles, which can cause instrument yield problems caused by particulate contamination. .

로봇 어셈블리Robot assembly

대체로 여기서 설명된 클러스터 툴(10)의 다양한 실시예는, 기판의 이동 공정 동안 다른 클러스터 툴 구성요소(예를 들어 로봇(들), 처리 챔버)에 의해 점유된 공간으로 로봇의 물리적 침입을 최소화하는 로봇 설계 및 로봇 어셈블리(예를 들어 도 9A의 요소(11))의 감소된 크기에 의해 생성된 감소된 클러스터 툴 풋 프린트(foot print)에 의해, 종래 기술 구성을 넘는 특별한 장점을 가진다. 감소된 물리적 침입은 다른 외부 구성요소와 로봇의 충돌을 방지한다. 클러스터 툴의 풋프린트를 감소하면서, 여기서 설명된 로봇의 실시예는 이동 운동을 수행하도록 제어 되는데 필요한 축의 감소된 숫자에 의해 특별한 장점을 가진다. 이 태양은 이것이 로봇 어셈블리 및 클러스터 툴의 신뢰도를 향상시킬 것이기 때문에 중요하다. 이 태양은 중요성은, 시스템의 신뢰도가 시스템의 각각의 구성요소의 신뢰도의 결과에 비례한다는 것을 주목함에 의해 더 잘 이해될 수 있다. 따라서, 99% 업타임(up-time)을 갖는 3개의 액츄에이터를 갖는 로봇은 99% 업타임을 갖는 4개의 액츄에어트를 갖는 로봇보다 뛰어난데, 왜냐하면 각각 99% 업타임을 갖는 3개의 액츄에이터에 대한 시스템 업타임은 97.03%이고 각각 99% 업타임을 갖는 4개의 액츄에이터에 대한 시스템 업타임은 96.06%이기 때문이다. In general, the various embodiments of the cluster tool 10 described herein minimize the physical penetration of the robot into the space occupied by other cluster tool components (eg, robot (s), processing chambers) during the substrate movement process. The reduced cluster tool foot print produced by the robot design and the reduced size of the robot assembly (eg, element 11 of FIG. 9A) has particular advantages over prior art configurations. Reduced physical intrusion prevents robots from colliding with other external components. While reducing the footprint of the cluster tool, the embodiment of the robot described herein has particular advantages by the reduced number of axes required to be controlled to perform the movement movement. This aspect is important because this will improve the reliability of the robotic assembly and cluster tool. This aspect can be better understood by noting that the importance of the system is proportional to the result of the reliability of each component of the system. Thus, a robot with three actuators with 99% up-time is superior to a robot with four actuators with 99% uptime because the system uptime for three actuators with 99% uptime each. Is 97.03% and the system uptime for the four actuators with 99% uptime is 96.06%.

또한, 여기서 설명된 클러스터 툴(10)의 실시예는, 클러스터 툴을 통해 기판을 이동시키는데 필요한 소통 챔버(예를 들어 도 1B의 요소 9A-C)의 감소된 수에 의해 종래 기술 구성을 넘는 특별한 장점을 가진다. 종래 기술 클러스터 툴 구성은 처리 순서에서 중간 기판 보유 스테이션 또는 대체로 둘 이상의 소통 챔버를 대체로 설치하고 이에 의해 처리 순서 동안 하나 이상의 다른 처리 챔버들 사이에 중앙적으로 위치한 다른 로봇으로 하나 이상의 처리 챔버들 사이에 중앙적으로 위치한 하나의 로봇 사이에서 기판을 이동시킬 수 있다. 이후의 처리 단계를 수행하지 않을 다수의 소통 챔버에 기판을 연속적으로 위치시키는 공정은, 시간을 소비하고, 로봇의 활용도를 감소시키며, 클러스터 툴에서의 공간을 소비하고, 로봇의 마멸을 증가시킨다. 또한, 후방부 입자 오염의 양을 장가시킬 기판 핸드오프(handoff)의 수의 증가에 의해 소통 단계의 추가는 기구 수득률에 악영향을 미칠 것이다. 따라서, 다수의 소통 단계를 포함하는 기판 처리 순서는, 소통 챔버에서 소비된 시간이 모든 기판에 대해 제어되지 않는다면, 서로 다른 기판 웨이퍼 히스토리를 본질적으로 가질 것이다. 소통 챔버에서의 시간을 제어하는 것은, 첨가된 가변성 처리에 의해 시스템 복잡성을 증가시킬 것이고 이는 최대 얻을 수 있는 기판 처리량에 악영향을 미칠 것이다. 여기서 설명된 본 발명의 태양은, 종래 기술 구성의 이러한 위험을 피하는데, 왜냐하면 어떠한 처리가 기판 상에서 일어나기 이전에 그리고 모든 처리 단계가 기판 상에서 완료된 이후에 클러스터 툴 구성이 대체로 소통 단계(예를 들어 도 1F에서 단계(502, 518))를 가지기 때문이고, 따라서 기판 웨이퍼 히스토리에 영향을 거의 미치지 않을 것이고 처리 순서에 기판 처리 시간을 상당하게 부가하지 않을 것이고, 이는 처리 단계들 사이의 소통 단계의 제거에 의한다. In addition, embodiments of the cluster tool 10 described herein are particularly well over the prior art configurations due to the reduced number of communication chambers (eg, elements 9A-C in FIG. 1B) required to move the substrate through the cluster tool. Has an advantage. The prior art cluster tool configuration generally installs an intermediate substrate holding station or generally two or more communication chambers in a processing sequence and thereby between one or more processing chambers with another robot centrally located between one or more other processing chambers during the processing sequence. The substrate can be moved between one centrally located robot. The process of continually placing the substrate in multiple communication chambers that will not perform subsequent processing steps consumes time, reduces the utilization of the robot, consumes space in the cluster tool, and increases the wear of the robot. In addition, the addition of a communication step will adversely affect instrument yield by increasing the number of substrate handoffs that will increase the amount of backside particle contamination. Thus, a substrate processing sequence that includes multiple communication steps will essentially have different substrate wafer history unless the time spent in the communication chamber is controlled for all substrates. Controlling the time in the communication chamber will increase system complexity by the added variability processing, which will adversely affect the maximum achievable substrate throughput. Aspects of the invention described herein avoid this risk of prior art configurations, since the cluster tool configuration is generally in a communication phase (eg, before any processing takes place on the substrate and after all processing steps have been completed on the substrate). (Steps 502 and 518) at 1F, and therefore will have little effect on the substrate wafer history and will not add a significant amount of substrate processing time to the processing sequence, which will result in the elimination of the communication step between the processing steps. By.

시스템 처리량이 로봇 제어되는 경우에, 클러스터 툴의 최대 기판 처리량은 처리 순서를 완료하는 로봇 운동의 총수 및 로봇을 움직이게 하는데 걸리는 시간에 의해 지배된다. 로봇이 원하는 운동을 하는데 걸리는 시간은, 로봇 하드웨어, 처리 챔버들 사이의 거리, 기판 정화 관계(substrate cleanliness concerns), 및 시스템 제어 한계에 의해 대체로 제한된다. 대체로 로봇 운동 시간은 일 형태의 로봇으로부터 다른 형태의 로봇 사이에 크게 변하지 않을 것이고 널리 쓰이는 산업용과 거의 일치한다. 따라서, 처리 순서를 완료하는데 더 적은 로봇 운동을 본질적으로 갖는 클러스터 툴은, 다수의 소통 단계를 포함하는 클러스터 툴과 같은 처리 순서를 완료하는데 많은 운동을 필요로 하는 클러스터 툴보다 높은 시스템 처리량을 가질 것이다. In the case where the system throughput is robot controlled, the maximum substrate throughput of the cluster tool is governed by the total number of robot motions to complete the processing sequence and the time taken to move the robot. The time it takes for the robot to do the desired movement is largely limited by the robot hardware, the distance between the processing chambers, substrate cleanliness concerns, and system control limits. In general, robot motion time will not vary significantly between one type of robot and another, and is almost consistent with the widely used industry. Thus, a cluster tool that essentially has less robot motion to complete the processing sequence will have higher system throughput than a cluster tool that requires more movement to complete the processing sequence, such as a cluster tool that includes multiple communication steps. .

직교 좌표형 로봇 구성Cartesian Robot Configuration

도 9A는 로봇 어셈블리(11)의 일 실시예를 도시하고, 이는 하나 이상의 로봇 어셈블리(11)(예를 들어 상기 도 1-6에서 도시된 요소 11A-H)로서 이용될 수 있다. 로봇 어셈블리(11)는 대체로 로봇 하드웨어 어셈블리(85), 하나 이상의 수직 로봇 어셈블리(95) 및 하나 이상의 수평 로봇 어셈블리(90)를 포함한다. 따라서, 기판은, 시스템 제어기(101)에 보내진 명령으로부터, 로봇 하드웨어 어셈블리(95) 수직 로봇 어셈블리(95) 및 수평 로봇 어셈블리(90)의 협력 운동에 의해 클러스터 툴(10)에서 어떠한 원하는 x, y 및 z 위치에 위치할 수 있다. 9A shows one embodiment of a robotic assembly 11, which may be used as one or more robotic assemblies 11 (eg, elements 11A-H shown in FIGS. 1-6 above). The robot assembly 11 generally includes a robot hardware assembly 85, one or more vertical robot assemblies 95, and one or more horizontal robot assemblies 90. Thus, the substrate is subjected to any desired x, y in the cluster tool 10 by the cooperative movement of the robot hardware assembly 95 vertical robot assembly 95 and the horizontal robot assembly 90 from commands sent to the system controller 101. And z position.

로봇 하드웨어 어셈블리(85)는 대체로 시스템 제어기(101)로부터 보내진 명령을 이용하여 하나 이상의 기판을 보유하고, 이동시키고 및 위치시키도록 이루어진 하나 이상의 이동 로봇 어셈블리(86)를 포함한다. 일 실시예에서, 도 9-11에서 도시된 이동 로봇 어셈블리(86)는, 다양한 이동 로봇 어셈블리(86) 구성요소의 움직임에 의해, 도 11A에서 도시된 X 및 Y 방향을 포함하는 평면과 같은 수평면에서 기판을 이동시키도록 이루어진다. 일 태양에서, 이동 로봇 어셈블리(86)는 로봇 블레이드(87)의 기판 지지면(87C)(도 10C)에 대체로 평행한 평면에 기판을 이동시키도록 이루어진다. 도 10A는 기판을 이동시키도록 이루어질 수 있는 단일 이동 로봇 어셈블리(86)를 포함하는 로봇 하드웨어 어셈블리(85)의 일 실시예를 도시한다. 도 10B는 서로 대향 방향으로 위치한 두 개의 이동 로봇 어셈블리(86)를 포함하는 로봇 하드웨어 어셈블리(85)의 일 실시예를 도시하고, 이에 의해 블레이드(87A-B)(및 제 1 링키지(310A-310B))가 약간 이격되어 위치할 수 있다. 도 10B 에서 도시된 구성, 또는 "오버/언더" 형태 블레이드 구성은, 로봇 하드웨어 어셈블리(85)가 다른 챔버로 "제거된" 기판을 이동시키도록(즉, 기판을 "교체") 그 기본 위치를 떠나지 않은 채, 동일한 처리 챔버에서 처리될 다음 기판을 위치시키기 이전에 처리 챔버로부터 기판을 제거하는 것이 바람직할 때, 특히 장점을 가진다. 다른 태양에서, 이 구성은, 로봇이 모든 블레이드를 채우는 것을 가능하게 할 수 있고 이후 기판을 둘 이상의 기판 그룹으로 툴에서 원하는 위치로 이동시킬 수 있다. 둘 이상의 그룹으로 기판을 그룹화하는 처리는 기판을 이동시키는데 필요한 로봇 이동의 수를 감소시킴에 의해 클러스터 툴에서 기판 처리량을 향상시키는데 도움을 줄 수 있다. 도 10A-B에서 도시된 이동 로봇 어셈블리(86)가 로봇의 두 개의 바아 링키지 로봇(bar linkage robot, 305) 형태이고, 다만 이 구성은 여기서 설명된 실시예와 관련하여 이용될 수 있는 로봇 어셈블리의 형태 및 배향에 관해 제한할 의도는 아니다. 대체로, 도 10B에서 도시된 두 개의 이동 로봇 어셈블리(86)를 갖는 로봇 하드웨어 어셈블리(85)의 실시예는, 동일한 기본 구성요소를 포함하는 두 개의 이동 로봇 어셈블리(86)를 가질 것이고, 따라서 이후 단일 로봇 어셈블리(86)의 논의는 두 개의 로봇 어셈블리 태양(들)에서 나타나는 구성요소를 설명하려는 것이다. Robot hardware assembly 85 generally includes one or more mobile robotic assemblies 86 configured to hold, move, and position one or more substrates using instructions sent from system controller 101. In one embodiment, the mobile robot assembly 86 shown in FIGS. 9-11 is a horizontal plane, such as a plane that includes the X and Y directions shown in FIG. 11A by the movement of various mobile robot assembly 86 components. Is made to move the substrate. In one aspect, the mobile robot assembly 86 is configured to move the substrate in a plane generally parallel to the substrate support surface 87C (FIG. 10C) of the robot blade 87. 10A illustrates one embodiment of a robot hardware assembly 85 that includes a single mobile robotic assembly 86 that can be made to move a substrate. FIG. 10B illustrates one embodiment of a robot hardware assembly 85 that includes two mobile robotic assemblies 86 positioned in opposite directions from one another, whereby blades 87A-B (and first linkages 310A-310B). )) May be slightly spaced apart. The configuration shown in FIG. 10B, or an “over / under” shaped blade configuration, may be used to move the robot hardware assembly 85 in its default position to move the substrate “removed” (ie, “replace the substrate”) to another chamber. It is particularly advantageous when it is desired to remove the substrate from the processing chamber before leaving the next substrate to be processed in the same processing chamber without leaving. In another aspect, this configuration may enable the robot to fill all the blades and then move the substrate to the desired position in the tool with two or more substrate groups. The process of grouping the substrates into two or more groups can help to improve substrate throughput in the cluster tool by reducing the number of robotic movements required to move the substrate. The mobile robot assembly 86 shown in FIGS. 10A-B is in the form of two bar linkage robots 305 of the robot, provided that this configuration is of a robot assembly that can be used in connection with the embodiments described herein. It is not intended to be limiting in terms of form and orientation. In general, an embodiment of a robot hardware assembly 85 having two mobile robot assemblies 86 shown in FIG. 10B will have two mobile robot assemblies 86 containing the same basic components, and thus a single The discussion of the robotic assembly 86 is intended to describe the components present in the two robotic assembly sun (s).

도 9-11에서 도시된 클러스터 툴 및 로봇 구성의 장점은, 로봇 어셈블리(11)에 대해 외부에 있는 다른 클러스터 툴 구성요소와 충돌 없이 로봇 구성요소 및 기판이 자유롭게 움직이는, 이동 로봇 어셈블리(86)를 둘러싸는 영역의 크기가 최소화된다는 점이다. 로봇 및 기판이 자유롭게 움직이는 이 구역은 "이동 영역"으로 알려져 있다(도 11C에서 요소 91). 이동 영역(91)은 부피(x, y, 및 z 방향)로서 대체로 형성될 수 있고, 여기서 로봇은 다른 클러스터 툴 구성요소와 충돌 없이 로봇 블레이드 상에 기판을 보유한 채 자유롭게 움직인다. 이동 영역이 부피로서 설명될 수 있지만, 때로는 이동 영역의 가장 중요한 태양은 이동 영역이 차지하는 수평 구역(x 및 y 방향)이고, 이는 클러스터 툴의 풋프린트(footprint) 및 CoO에 직접 영향을 미친다. 이동 영역의 수평 구성요소가 작을수록 다양한 로봇 어셈블리(예를 들어 도 1-6에서 요소 11A, 11B, 11C 등)가 함께 더 가까이 놓일 수 있고 또는 로봇이 처리 래크로 더 가까이 놓일 수 있기 때문에, 이동 영역의 수평 구역은 클러스터 툴의 풋프린트를 형성하는데 중요한 인자이다. 이동 영역의 성형 크기에서의 한 인자는, 이동 영역이 다른 클러스터 툴 구성요소에 의해 차지된 공간으로 로봇의 물리적 칩임을 줄이거나 또는 막는데 충분히 크다는 것을 보장할 필요이다. 여기서 설명된 실시예는 종래 기술을 넘는 특별한 장점을 가지는데, 왜냐하면 이러한 방법으로 실시예는 수평 운동 어셈블리(90)의 이동 방향(x-방향)을 따라 배향된 이동 영역으로 로봇 어셈블리(86) 구성요소를 수축시키기 때문이다. An advantage of the cluster tool and robot configuration shown in FIGS. 9-11 is that the robot component and substrate move freely without colliding with other cluster tool components external to the robot assembly 11. The size of the surrounding area is minimized. This area in which the robot and substrate are free to move is known as the "movement area" (element 91 in Figure 11C). The moving region 91 can be generally formed as a volume (x, y, and z directions), where the robot moves freely with the substrate on the robot blade without colliding with other cluster tool components. Although the moving area can be described as volume, sometimes the most important aspect of the moving area is the horizontal area (x and y directions) occupied by the moving area, which directly affects the footprint and CoO of the cluster tool. The smaller the horizontal component of the region of movement, the closer the various robotic assemblies (e.g. elements 11A, 11B, 11C, etc. in Figures 1-6) can be placed together or the robot can be placed closer to the treatment rack. The horizontal area of the area is an important factor in forming the footprint of the cluster tool. One factor in the forming size of the moving area is to ensure that the moving area is large enough to reduce or prevent the robot's physical chip from the space occupied by other cluster tool components. The embodiment described herein has particular advantages over the prior art, because in this way the embodiment configures the robot assembly 86 with a moving region oriented along the direction of movement (x-direction) of the horizontal motion assembly 90. This is because the element shrinks.

도 11J를 참고하면, 수평 구역은 두 구성요소, 폭 "W1"(y-방향) 및 길이 "L"(x-방향)로 대체로 나눠질 수 있다. 여기서 설명된 실시예는 로봇을 둘러싸는 여유 공간의 감소된 폭 "W1"에 의해 장점을 가지는데, 이에 의해 로봇이 처리 챔버로 기판을 신뢰성 있게 위치시킬 수 있는 것을 보장한다. 감소된 폭 "W1"의 이익, 종래의 다중-바아 링키지 선택적 순응 어셈블리 로봇 아암(selective compliance assembly robot arm, SCARA)을 넘는 향상은 종래의 SCARA 로봇(예를 들어 도 11K에 서 아이템 CR)이 대체로 아암(예를 들어 요소 A1)을 가진다는 점에 의해 이해될 수 있고, 수축될 때 로봇(예를 들어 아이템 C)의 중앙으로부터 거리를 연장하고, 이는 서로에 대한 로봇의 상대적 공간(즉, 폭 "W2")을 증가시키는데, 왜냐하면 로봇 주위의 구역이 아암 구성요소가 다른 클러스터 툴 구성요소(예를 들어 다른 로봇, 처리 래크 구성요소)와 충돌 없이 회전식으로 배향될 수 있도록 비어있어야 하기 때문이다. 종래의 SCARA 형태 로봇 구성은 여기서 설명된 실시예보다 더욱 복잡한데, 왜냐하면 처리 챔버에 기판을 위치시키고 배향시키도록 제어하는 더 많은 축을 가지기 때문이다. 도 11J를 참고하면, 일 태양에서 이동 영역(91)의 폭(W1)은 기판(즉, 도 11J에서 기판"S")의크기보다 약 5 내지 약 50퍼센트 크다. 일례에서, 기판이 300mm 반도체 웨이퍼인 경우, 이동 영역의 폭(W1)은 약 315mm 내지 약 450mm일 것이고, 바람직하게는 약 320mm 내지 약 360mm일 것이다. 도 1B를 참고하면, 일례에서, 제 2 처리 래크(80)의 측부(80A) 및 제 1 처리 래크(60)의 측부(60B) 사이의 간격은 300mm 기판 처리 툴에 대해 약 945mm(예를 들어 315%)일 수 있다. 다른 예에서, 제 2 처리 래크(80)의 측부(80A) 및 제 1 처리 래크(60)의 측부(60B) 사이의 간격은 300mm 기판 처리 툴에 대해 약 1350mm(예를 들어 450%)일 수 있다. 이동 영역은 로봇 주위의 로봇이 움직일 수 있는 영역을 대체로 설명하려는 것이고, 이는 원하는 위치에서 기판을 픽업한 이후 로봇 블레이드는 수축되어 처리 순서에서 다음 처리 챔버 외부의 시작 위치(SP)로 움직일 때까지의 영역이다. With reference to FIG. 11J, the horizontal zone can be roughly divided into two components, width "W1" (y-direction) and length "L" (x-direction). The embodiment described herein has the advantage of the reduced width "W1" of the free space surrounding the robot, thereby ensuring that the robot can reliably position the substrate into the processing chamber. The benefit of reduced width "W1", an improvement over the conventional multi-bar linkage selective compliance assembly robot arm (SCARA) is largely achieved by conventional SCARA robots (e.g., item CR in Figure 11K). It can be understood by the fact that it has an arm (eg element A1) and extends the distance from the center of the robot (eg item C) when it is retracted, which is relative space (ie width) of the robot relative to each other. "W2"), since the area around the robot must be empty so that the arm component can be oriented rotationally without colliding with other cluster tool components (eg other robots, processing rack components). Conventional SCARA-type robot configurations are more complex than the embodiments described herein because they have more axes that control to position and orient the substrate in the processing chamber. Referring to FIG. 11J, in one aspect the width W1 of the moving region 91 is about 5 to about 50 percent greater than the size of the substrate (ie, substrate “S” in FIG. 11J). In one example, when the substrate is a 300 mm semiconductor wafer, the width W1 of the moving region will be about 315 mm to about 450 mm, preferably about 320 mm to about 360 mm. Referring to FIG. 1B, in one example, the spacing between the side 80A of the second processing rack 80 and the side 60B of the first processing rack 60 is about 945 mm (eg, for a 300 mm substrate processing tool). 315%). In another example, the spacing between the side 80A of the second processing rack 80 and the side 60B of the first processing rack 60 may be about 1350 mm (eg 450%) for a 300 mm substrate processing tool. have. The moving area is generally intended to describe the area in which the robot can move around the robot, which is after picking up the substrate at the desired position until the robot blade contracts and moves to the starting position SP outside the next processing chamber in the processing sequence. Area.

두 개의 바아 링키지 로봇 어셈블리Two Bar Linkage Robot Assembly

도 10A 및 10C는 이동 로봇 어셈블리(86)의 두 개의 바아 링키지 로봇(305) 형태의 일 실시예를 도시하고, 이 이동 로봇 어셈블리는 대체로 지지 플레이트(321), 제 1 링키지(310), 로봇 블레이드(87), 전송 시스템(312)(도 10C), 인클로저(313) 및 모터(320)를 포함한다. 이 구성에서 이동 로봇 어셈블리(86)는 수직 액츄에이터 어셈블리(560)(도 13A)에 부착된 지지 플레이트(321)를 통해 수직 운동 어셈블리(95)에 부착된다. 도 10C는 이동 로봇 어셈블리(86)의 두 개의 바아 링키지 로봇(305) 형태의 일 실시예의 측단면도를 도시한다. 두 개의 바아 링키지 로봇(305)의 전송 시스템(312)은, 모터(320)의 회전에 의한 것과 같이 전력 전송 요소의 움직임에 의해 로봇 블레이드(87)의 이동을 일으키도록 이루어진 하나 이상의 전력 전송 요소를 대체로 포함한다. 대체로, 전송 시스템(312)은 종래의 기어, 풀리 등을 포함할 수 있고, 이는 일 요소로부터 다른 요소로 회전 또는 평행이동(translation) 움직임을 이동시키도록 이루어진다. 여기서 사용된 "기어"란 용어는 제 2 구성요소로 벨트, 티스(teeth) 또는 다른 일반적인 수단을 통해 회전식으로 결합된 구성요소를 대체로 설명하려는 것이고, 일 요소로부터 다른 요소로 운동을 전달하도록 이루어진다. 대체로 여기서 사용된 기어는 종래의 기어 형태 기구 또는 풀리 형태 기구일 수 있고, 이는 스퍼(spur) 기어, 사각 기어(bevel gear), 래크 및/또는 피스톤, 웜(worm) 기어, 타이밍 풀리, 및 v-벨트 풀리와 같은 구성요소를 포함하나 이에 제한되지 아니한다. 일 태양에서, 도 10C에서 도시된 전송 시스템(312)은 제 1 풀리 시스템(355) 및 제 2 풀리 시스템(361)을 포함한다. 제 1 풀리 시스템(355)은 모터(320)에 부착된 제 1 풀리(358), 제 1 링키지(310)에 부착된 제 2 풀리(356), 및 제 2 풀리(356)에 제 1 풀리(358)를 연결시키는 벨트(359)를 가지고, 이에 의해 모터(320)는 제 1 링키지(310)를 구동시킬 수 있다. 일 태양에서, 다수의 베어링(356A)이 제 3 풀리(354)의 축(V1) 주위로 제 2 풀리(356)가 회전하는 것을 가능하게 하도록 이루어져 있다. 10A and 10C illustrate one embodiment in the form of two bar linkage robots 305 of the mobile robot assembly 86, which generally includes a support plate 321, a first linkage 310, and a robot blade. 87, transmission system 312 (FIG. 10C), enclosure 313, and motor 320. In this configuration, the mobile robot assembly 86 is attached to the vertical motion assembly 95 via a support plate 321 attached to the vertical actuator assembly 560 (FIG. 13A). 10C shows a side cross-sectional view of one embodiment in the form of two bar linkage robots 305 of a mobile robot assembly 86. The transmission system 312 of the two bar linkage robotic 305 is configured to generate one or more power transmission elements configured to cause the movement of the robot blade 87 by the movement of the power transmission element, such as by the rotation of the motor 320. Mostly included. In general, the transmission system 312 may include conventional gears, pulleys, and the like, which are adapted to move rotational or translational movements from one element to another. The term "gear" as used herein is intended to generally describe a component that is rotationally coupled through a belt, teeth or other common means as a second component, and is made to transfer motion from one element to another. In general, the gears used herein may be conventional gear shaped or pulley shaped instruments, which may include spur gears, bevel gears, rack and / or pistons, worm gears, timing pulleys, and v. -Includes but is not limited to components such as belt pulleys. In one aspect, the transmission system 312 shown in FIG. 10C includes a first pulley system 355 and a second pulley system 361. The first pulley system 355 may include a first pulley 358 attached to the motor 320, a second pulley 356 attached to the first linkage 310, and a first pulley 270 attached to the second pulley 356. 358 has a belt 359 connecting it, whereby the motor 320 can drive the first linkage 310. In one aspect, a number of bearings 356A are configured to allow the second pulley 356 to rotate about the axis V1 of the third pulley 354.

제 2 풀리 시스템(361)은 지지 플레이트(321)에 부착된 제 3 풀리(354), 블레이드(87)에 부착된 제 4 풀리(352) 및 제 3 풀리(354)를 제 4 풀리(352)에 연결시키는 벨트(362)를 가지고, 이에 의해 제 1 링키지(310)의 회전이 블레이드(87)가 제 1 링키지(310)에 결합된 베어링 축(353)(도 11A에서 피봇 V2) 주위로 회전하게 한다. 기판을 이동시킬 때, 모터는 제 1 풀리(358)를 구동시키고, 이는 제 2 풀리(356) 및 제 1 링키지(310)를 회전시키며, 이는 고정적인 제 3 풀리(354) 주위로 벨트(362) 및 제 1 링키지(310)의 각회전에 의해 제 4 풀리(352)를 회전시킨다. 일 실시예에서, 모터(320) 및 시스템 제어기(101)가 폐루프 제어 시스템을 형성하도록 이루어지고, 이는 여기에 부착된 모든 구성요소 및 모터(320)의 각 위치가 제어되도록 한다. 일 태양에서, 모터(320)는 스텝 모터 또는 DC 보조모터이다. The second pulley system 361 includes a third pulley 354 attached to the support plate 321, a fourth pulley 352 and a third pulley 354 attached to the blade 87, and a fourth pulley 352. With a belt 362 connecting it to the shaft, whereby rotation of the first linkage 310 rotates about a bearing axis 353 (pivot V2 in FIG. 11A) in which the blade 87 is coupled to the first linkage 310. Let's do it. When moving the substrate, the motor drives the first pulley 358, which rotates the second pulley 356 and the first linkage 310, which belt 362 around the fixed third pulley 354. ) And the fourth pulley 352 by the angular rotation of the first linkage 310. In one embodiment, the motor 320 and the system controller 101 are configured to form a closed loop control system, which allows all components attached thereto and the respective positions of the motor 320 to be controlled. In one aspect, the motor 320 is a stepper motor or a DC auxiliary motor.

일 태양에서, 제 1 풀리 시스템(355) 및 제 2 풀리 시스템(361)의 전송비(예를 들어, 기어 티스의 수의 비, 지름 비)는, 기판이 이동 로봇 어셈블리(86)에 의해 위치함에 따라 기판이 움직이는 경로(예를 들어 도 11C 또는 11D에서 요소(P1))의 원하는 형태 및 해상도(resolution)를 이루도록 설계될 수 있다. 전송비는 이후로는 구동된 요소 크기에 대한 구동시키는 요소의 크기로서 정의될 것이고, 이 경우에 예를 들면 제 4 풀리(352)의 티스 수에 대한 제 3 풀리(354)의 티스 수의 비이다. 따라서, 예를 들면, 제 1 링키지(310)가 270도 회전하여 블레이드(87)가 180도 회전하게 한 경우에, 0.667 전송비 또는 대안적으로 3:2 기어비이다. 기어비란 용어는 제 1 기어의 회전수 D1가 제 2 기어의 회전수 D2를 일으키는, 또는 D1:D2 비를 나타내는 것이다. 따라서, 3:2 비는 제 1 기어의 3회전이 제 2 기어의 2회전을 일으킬 것이고, 따라서 제 1 기어는 제 2 기어의 크기의 약 2/3이어야 한다는 것을 의미한다. 일 태양에서, 제 4 풀리(352)에 대한 제 3 풀리(354)의 기어비는 약 3:1 내지 약 4:3이고, 바람직하게는 약 2:1 내지 약 3:2이다. In one aspect, the transmission ratios (eg, the ratio of the number of gear teeth, the diameter ratio) of the first pulley system 355 and the second pulley system 361 are such that the substrate is positioned by the mobile robot assembly 86. As such, the substrate may be designed to achieve the desired shape and resolution of the path through which the substrate moves (eg, element P1 in FIG. 11C or 11D). The transmission ratio will then be defined as the size of the driven element relative to the size of the driven element, in this case the ratio of the number of teeth of the third pulley 354 to the number of teeth of the fourth pulley 352, for example. to be. Thus, for example, when the first linkage 310 rotates 270 degrees, causing the blade 87 to rotate 180 degrees, the 0.667 transmission ratio or alternatively 3: 2 gear ratio. The term gear ratio refers to the speed D1 of the first gear causing the speed D2 of the second gear, or to the ratio D1: D2. Thus, the 3: 2 ratio means that three revolutions of the first gear will result in two revolutions of the second gear, so that the first gear should be about two thirds the size of the second gear. In one aspect, the gear ratio of the third pulley 354 to the fourth pulley 352 is about 3: 1 to about 4: 3, preferably about 2: 1 to about 3: 2.

도 10E는 이동 로봇 어셈블리(86)의 두 개의 바아 링키지 로봇(305) 형태의 다른 실시예를 도시하고, 이는 대체로 지지 플레이트(321), 제 1 링키지(310), 로봇 블레이드(87), 전송 시스템(312)(도 10E), 인클로저(313), 모터(320) 및 제 2 모터(371)를 포함한다. 도 10E에서 도시된 실시예는 도 10C에서 도시된 실시예와 유사하나, 이 구성에서 제 3 풀리(354)의 회전 위치는 제어기(101)로부터의 명령 및 제 2 모터(371)의 이용에 의해 조정될 수 있다. 도 10C 및 10E는 명확성을 위해 유사한 경우에 동일한 도면 부호가 이용되었다. 이 구성에서, 이동 로봇 어셈블리(86)는 수직 액츄에이터 어셈블리(560)(도 13A)에 부착된 지지 플레이트(321)를 통해 수직 운동 어셈블리(95)에 부착된다. 도 10E는 이동 로봇 어셈블리(86)의 두 개의 바아 링키지 로봇(305) 형태의 일 실시예의 측단면도를 도시한다. 두 개의 바아 링키지 로봇(305)의 전송 시스템(312)은 대체로 두 개의 전력 전송 요소를 포함하고, 이는 모터(320) 및/또는 제 2 모터(371)의 움직임에 의해 로봇 블레이 드(87)의 움직임을 일으키도록 이루어진다. 대체로, 전송 시스템(312)은 기어, 풀리 등을 포함할 수 있고, 이는 일 요소로부터 다른 요소로의 회전 또는 평행이동 움직임을 전하도록 이루어진다. 일 태양에서, 전송 시스템(312)은 제 1 풀리 시스템(355) 및 제 2 풀리 시스템(361)을 포함한다. 제 1 풀리 시스템(355)은 모터(320)에 부착된 제 1 풀리(358), 제 1 링키지(310)에 부착된 제 2 풀리(356) 및 제 2 풀리(356)에 제 1 풀리(358)를 연결시키는 벨트(359)를 가지고, 이에 의해 모터(320)는 제 1 링키지(310)를 구동시킬 수 있다. 일 태양에서, 다수의 베어링(356A)이 제 2 풀리(356)를 제 3 풀리(354)의 축(V1) 주위로 회전시키도록 이루어진다. 일 태양에서, 도 10E에서 도시되지는 않았지만, 베어링(356A)는 도 10E에서 도시된 것처럼 제 3 풀리(354)보다 지지 플레이트(3231) 상에 형성된 피쳐(feature) 상에 장착된다. FIG. 10E illustrates another embodiment in the form of two bar linkage robots 305 of the mobile robot assembly 86, which is generally a support plate 321, a first linkage 310, a robot blade 87, a transmission system. 312 (FIG. 10E), enclosure 313, motor 320, and second motor 371. The embodiment shown in FIG. 10E is similar to the embodiment shown in FIG. 10C, but the rotational position of the third pulley 354 in this configuration is controlled by the command from the controller 101 and the use of the second motor 371. Can be adjusted. 10C and 10E have the same reference numerals used in similar cases for clarity. In this configuration, the mobile robot assembly 86 is attached to the vertical motion assembly 95 via a support plate 321 attached to the vertical actuator assembly 560 (FIG. 13A). FIG. 10E shows a side cross-sectional view of one embodiment in the form of two bar linkage robots 305 of a mobile robot assembly 86. The transmission system 312 of the two bar linkage robot 305 generally comprises two power transmission elements, which are moved by the movement of the motor 320 and / or the second motor 371 of the robot blade 87. Is made to cause movement. In general, the transmission system 312 may include gears, pulleys, and the like, which are adapted to convey rotational or translational movements from one element to another. In one aspect, the transmission system 312 includes a first pulley system 355 and a second pulley system 361. The first pulley system 355 includes a first pulley 358 attached to the motor 320, a second pulley 356 attached to the first linkage 310, and a first pulley 358 on the second pulley 356. ) Has a belt (359), thereby allowing the motor 320 to drive the first linkage 310. In one aspect, a number of bearings 356A are configured to rotate the second pulley 356 about the axis V1 of the third pulley 354. In one aspect, although not shown in FIG. 10E, bearing 356A is mounted on a feature formed on support plate 3231 rather than third pulley 354 as shown in FIG. 10E.

제 2 풀리 시스템(361)은 제 2 모터(371)에 부착된 제 3 풀리(354), 블레이드(87)에 부착된 제 4 풀리(352) 및 제 3 풀리(354)를 제 4 풀리(352)에 연결시키는 벨트(362)를 가지고, 이에 의해 제 1 링키지(310)의 회전이 블레이드(87)가 제 1 링키지(310)에 결합된 베어링 축(353)(도 11A에서 피봇(V2)) 주위로 회전하도록 한다. 제 2 모터(371)는 지지 플레이트(321) 상에 장착된다. 기판을 이동시킬 때, 모터(320)는 제 1 풀리(358)를 구동시키고, 이는 제 2 풀리(356) 및 제 1 링키지(310)를 회전시키도록 하며, 이는 제 3 풀리(354) 주위로 벨트(362) 및 제 1 링키지(310)의 각 회전에 의해 제 4 풀리(352)가 회전하게 한다. 이 구성에서, 도 10C에서 도시된 구성과 대비하여, 모터(320)가 제 1 링키지(310)를 회전시키고 이 에 의해 제 3 풀리(354) 및 제 4 풀리(352) 사이의 기어비가 제 3 풀리(354) 및 제 4 풀리(352) 사이의 상대적 운동을 조정함에 의해 변하게 하면서, 제 3 풀리는 회전할 수 있다. 기어비는 제 1 링키지(310)에 대한 로봇 블레이드(87)의 움직임에 영향을 미칠 것이다. 이러한 구성에서, 기어비는 기어의 크기에 의해 고정되지 아니하고 원하는 로봇 블레이드 이동 경로(도 11D를 보라)를 이루도록 로봇 블레이드 이동 운직임의 다른 부분에서 변경될 수 있다. 일 실시예에서, 모터(320), 제 2 모터(371) 및 시스템 제어기(101)는 폐루프 제어 시스템을 형성하도록 이루어지고, 이는 모터(320)의 각위치, 제 2 모터(371)의 각위치 및 이 요소에 부착된 모든 구성요소가 제어되도록 한다. 일 태양에서, 모터(320) 및 제 2 모터(371)는 스텝퍼 모터 또는 DC 보조모터이다. The second pulley system 361 includes a third pulley 354 attached to the second motor 371, a fourth pulley 352 and a third pulley 354 attached to the blade 87, and a fourth pulley 352. Bearing 353 (pivot V2 in FIG. 11A), having a belt 362 that connects to it, whereby rotation of the first linkage 310 causes the blade 87 to be coupled to the first linkage 310. Rotate around. The second motor 371 is mounted on the support plate 321. When moving the substrate, the motor 320 drives the first pulley 358, which causes the second pulley 356 and the first linkage 310 to rotate, which is about the third pulley 354. Each rotation of the belt 362 and the first linkage 310 causes the fourth pulley 352 to rotate. In this configuration, in contrast to the configuration shown in FIG. 10C, the motor 320 rotates the first linkage 310, whereby the gear ratio between the third pulley 354 and the fourth pulley 352 is increased by a third. The third pulley can rotate while varying by adjusting the relative motion between the pulley 354 and the fourth pulley 352. The gear ratio will affect the movement of the robot blade 87 relative to the first linkage 310. In such a configuration, the gear ratio is not fixed by the size of the gear and can be changed in other parts of the robot blade movement operation to achieve the desired robot blade movement path (see FIG. 11D). In one embodiment, the motor 320, the second motor 371 and the system controller 101 are configured to form a closed loop control system, which is an angular position of the motor 320, an angle of the second motor 371. The position and all components attached to this element are controlled. In one aspect, the motor 320 and the second motor 371 are a stepper motor or a DC auxiliary motor.

도 11A-D는 로봇 어셈블리(11)의 일 실시예의 평면도를 도시하고, 이는 클러스터 툴(10)에 보유된 제 2 처리 챔버(532)의 원하는 위치에 기판을 위치시키고 이동시키도록 두 개의 바아 링키지 로봇(305) 구성을 이용한다. 두 개의 바아 링키지 로봇(305)은 대체로 모터(320)(도 10A-C), 제 1 링키지(310) 및 로터 블레이드(87)를 포함하고, 이들은 연결되어 모터(320)의 회전 운동이 제 1 링키지(310)를 회전하게 하고, 이는 이후 로터 블레이드(87)가 원하는 경로를 따라 회전하고 및/또는 평행이동 하게 한다. 이 구성의 장점은, 다른 로봇 또는 시스템 구성요소에 의해 현재 점유되거나 또는 점유될 공간으로 로봇 구성요소가 연장함이 없이 클러스터 툴의 원하는 위치에 기판을 이동시키는 로봇의 능력이다. 11A-D show a top view of one embodiment of the robotic assembly 11, which shows two bar linkages to position and move the substrate in a desired position of the second processing chamber 532 held in the cluster tool 10. The robot 305 configuration is used. The two bar linkage robots 305 generally comprise a motor 320 (FIGS. 10A-C), a first linkage 310 and a rotor blade 87, which are connected such that the rotational motion of the motor 320 is first The linkage 310 is rotated, which then causes the rotor blades 87 to rotate and / or translate along a desired path. An advantage of this configuration is the robot's ability to move the substrate to the desired location of the cluster tool without extending the robot component into the space that will be occupied or occupied by other robots or system components.

도 11A-C는, 기판이 처리 챔버(532)로 이동함에 따라, 다양한 이동 로봇 어 셈블리(86) 구성요소의 위치의 시간(예를 들어 각각 도 11A-C에 대응하는 T0-T2)에서 다수의 연속적인 스냅 샷(snapshot)을 도시함에 의해 로봇 하드웨어 어셈블리(85)에 포함된 이동 로봇 어셈블리(86)의 운동을 도시한다. 도 11A를 참고하면, 시간 T0에서 이동 로봇 어셈블리(86)는 수직 운동 어셈블리(95) 구성요소의 이용에 의해 원하는 수직 방향(z-방향)에 위치하고 수평 운동 어셈블리(90) 구성요소의 이용에 의해 원하는 수평 위치(x-방향)에 위치한다. 도 11A에서 도시된 T0에서 로봇의 위치는 시작 위치(아이템 SP)로서 여기서 지칭될 것이다. 도 11B를 참고하면, 시간 T1에서 두 개의 바아 링키지 로봇(305)의 제 1 링키지(310)가 피봇 지점(V1)을 주위로 피봇되고 따라서 결합된 로봇 블레이드(87)를 평행이동시키고 피봇 지점(V2) 주위로 회전시키며, x-방향으로의 이동 로봇 어셈블리(86)의 위치는 시스템 제어기(101) 및 수평 운동 어셈블리(90) 구성요소의 이용에 의해 조정된다. 도 11C를 참고하면, 시간 T2에서 로봇 블레이드(87)는 이동 영역(91)의 중앙라인(C1)으로부터 y-방향으로 원하는 거리(요소 Y1)를 연장했고 원하는 x-방향 위치(요소 X1)에 위치하여 기판을 원하는 최종 위치(아이템 FP)에 놓거나 또는 처리 챔버(532)의 위치에 내려놓는다. 로봇이 최종 위치에 기판을 위치시키면, 기판은 이후 리프트 핀 또는 다른 기판 지지 구성요소(예를 들어 도 11A에서 요소(532A))와 같은 처리 챔버 기판 수용 구성요소로 이동될 수 있다. 기판을 처리 챔버 수용 구성요소로 이동시킨 후, 로봇 블레이드는 이후 상기에서 설명된 단계를 따라 역으로 수축될 수 있다. 11A-C show the time at the location of various mobile robot assembly 86 components (eg, T0-T2 respectively corresponding to FIGS. 11A-C) as the substrate moves into the processing chamber 532. The movement of the mobile robot assembly 86 included in the robot hardware assembly 85 is shown by showing a number of successive snapshots. Referring to FIG. 11A, at time T0 the mobile robot assembly 86 is located in the desired vertical direction (z-direction) by the use of the vertical motion assembly 95 component and by the use of the horizontal motion assembly 90 component. Located in the desired horizontal position (x-direction). The position of the robot at T0 shown in FIG. 11A will be referred to herein as the starting position (Item SP). Referring to FIG. 11B, at time T1, the first linkage 310 of the two bar linkage robot 305 pivots around the pivot point V1 and thus translates the combined robot blade 87 and pivot point ( V2) rotates and the position of the mobile robot assembly 86 in the x-direction is adjusted by the use of the system controller 101 and horizontal motion assembly 90 components. Referring to FIG. 11C, at time T2 the robot blade 87 extends the desired distance (element Y1) in the y-direction from the centerline C1 of the moving region 91 and at the desired x-direction position (element X1). Position and place the substrate in the desired final position (item FP) or in the position of the processing chamber 532. Once the robot has placed the substrate in its final position, the substrate can then be moved to a processing chamber substrate receiving component, such as a lift pin or other substrate support component (eg, element 532A in FIG. 11A). After moving the substrate to the processing chamber receiving component, the robot blade can then be retracted backwards by following the steps described above.

도 11C는, 상기 도 11A-C에서 도시된 것처럼, 기판이 시작 위치로부터 최종 위치로 움직일 때 기판의 중심의 일 가능한 경로(아이템 P1)의 예를 추가로 도시한다. 본 발명의 일 태양에서, 경로의 형태는, 수평 운동 어셈블리(90)의 이용에 의해 x-방향을 따라 이동 로봇 어셈블리(86)의 위치에 대한 제 1 링키지(310)의 회전 위치의 조정에 의해 변경될 수 있다. 이 피쳐는 장점을 가지는데, 왜냐하면 커브의 형태가, 다른 로봇의 이동 영역(91)에 칩입하거나 또는 다양한 처리 챔버 기판 수용 구성요소(예를 들어 요소 532A)와 충돌함이 없이, 로봇 블레이드(87)가 처리 챔버에 접근하도록 특히 이루어질 수 있다. 이 장점은, 처리 챔버가 다수의 서로 다른 방향 또는 배향으로부터 접근되는 구성일 때 특히 잘 나타나고, 이는 기판 수용 구성요소 및 로봇 블레이드(87) 사이의 충돌을 방지하고 기판을 신뢰성 있게 지지하는데 이용될 수 있는 기판 수용 구성요소의 위치 및 배향을 제한한다. FIG. 11C further shows an example of one possible path (item P1) of the center of the substrate as the substrate moves from the starting position to the final position, as shown in FIGS. 11A-C above. In one aspect of the invention, the shape of the path is by adjusting the rotational position of the first linkage 310 relative to the position of the mobile robot assembly 86 along the x-direction by the use of a horizontal motion assembly 90. can be changed. This feature has an advantage, because the shape of the curve does not penetrate the moving region 91 of another robot or collide with various processing chamber substrate receiving components (eg, element 532A). May be specifically made to access the processing chamber. This advantage is particularly well seen when the processing chamber is a configuration that is approached from a number of different directions or orientations, which can be used to prevent collisions between the substrate receiving component and the robot blade 87 and to reliably support the substrate. Limit the position and orientation of the substrate receiving component.

도 11D는 처리 챔버(532)에서 원하는 위치로 기판을 이동시키는데 이용될 수 있는 가능한 경로(P1-P3)의 몇몇 예를 도시한다. 도 11D-F에서 도시된 경로(P1-P3)는 로봇 블레이드(11) 어셈블리에 의해 위치할 때 로봇 블레이드(87)의 기판 지지 구역의 중심 또는 기판의 중심의 움직임을 도시한다. 도 11D에서 도시된 기판 이동 경로(P2)는, 이동 로봇 어셈블리(86)의 제 2 풀리 시스템(361)이 2:1의 전송비를 가질 때, 기판의 경로를 도시한다. 2:1 전송비를 이용할 때 기판의 운동은 직선 라인이기 때문에, 이 구성은 Y-방향으로 로봇 블레이드(87)를 연장하면서 X-방향으로 로봇 하드웨어 어셈블리를 이동시킬 필요를 제거할 수 있다. 이 구성에서 운동의 감소된 복잡성의 이익은, 일정한 경우에 신뢰성 있는 기판 수용 구성요소를 설계하는 무능력에 의해 조절될 수 있고, 이는 기판이 처리 챔버의 다양한 다 른 측부로부터 처리 챔버로 기판이 이동될 때 로봇 블레이드(87)와 충돌하지 않을 것이다. 11D shows some examples of possible paths P1-P3 that can be used to move the substrate to a desired location in the processing chamber 532. The paths P1-P3 shown in FIGS. 11D-F show the movement of the center of the substrate or the center of the substrate support region of the robot blade 87 when positioned by the robot blade 11 assembly. The substrate movement path P2 shown in FIG. 11D shows the path of the substrate when the second pulley system 361 of the mobile robot assembly 86 has a transmission ratio of 2: 1. Since the motion of the substrate is a straight line when using a 2: 1 transmission ratio, this configuration can eliminate the need to move the robot hardware assembly in the X-direction while extending the robot blade 87 in the Y-direction. The benefit of reduced complexity of motion in this configuration can be controlled by the inability to design a reliable substrate receiving component in certain cases, which will cause the substrate to be moved from the various other sides of the processing chamber to the processing chamber. Will not collide with the robot blade 87 when.

도 11E-11F는 처리 챔버(532)로의 기판의 다중단계 이동 움직임을 도시한다. 일 실시예에서, 다중단계 이동 움직임은 3개의 이동 경로(경로 P1-P3)로 나눠지고 이는 처리 챔버(532) 안으로 기판을 이동시키거나(도 11E) 또는 처리 챔버 밖으로 기판을 이동시키는데(도 11F) 이용될 수 있다. 이 구성은 이동 과정 동안 로봇 어셈블리(11) 및 기판에 의해 겪는 높은 가속을 감소시키는데 특히 유용할 수 있고 또한 이동 과정 동안 가능한 많은 단일축 제어를 이용함에 의해 로봇 운동의 복잡성을 감소시키는데 유용할 수 있다. 로봇이 겪는 높은 가속은 로봇 어셈블리에서 진동을 생성할 수 있고, 이는 이동 과정 위치 정확도, 로봇 어셈블리의 신뢰도 및 로봇 블레이드 상의 기판의 가능한 이동에 영향을 미칠 수 있다. 조화된 운동(coordinated motion)이 기판을 이동시키는데 이용될 때 로봇 어셈블리(11)이 경험하는 높은 가속의 원인이 일어난다. 여기서 이용되는 "조화된 운동"이란 용어는 동시에 둘 이상의 축(예를 들어 이동 로봇 어셈블리(86), 수평 운동 어셈블리(90), 수직 운동 어셈블리(95))의 이동을 설명하는데 이용되고 이에 의해 한 지점으로부터 다음 지점으로 기판을 이동시킨다. 11E-11F illustrate multi-step movement movements of the substrate into the processing chamber 532. In one embodiment, the multi-step movement movement is divided into three movement paths (paths P1-P3), which move the substrate into the processing chamber 532 (FIG. 11E) or move the substrate out of the processing chamber (FIG. 11F. Can be used). This configuration may be particularly useful for reducing the high acceleration experienced by the robot assembly 11 and the substrate during the movement process and may also be useful for reducing the complexity of the robot motion by using as many single axis controls as possible during the movement process. . The high acceleration experienced by the robot can generate vibrations in the robot assembly, which can affect the movement process position accuracy, the reliability of the robot assembly, and the possible movement of the substrate on the robot blade. The cause of the high acceleration experienced by the robotic assembly 11 occurs when coordinated motion is used to move the substrate. As used herein, the term "harmonized motion" is used to describe the movement of two or more axes (eg, mobile robot assembly 86, horizontal motion assembly 90, vertical motion assembly 95) at the same time and thereby Move the substrate from point to point.

도 11E는 3개의 이동 경로 다중단계 이동 움직임을 도시하고, 이는 기판을 처리 챔버(532)에서 나타나는 기판 수용 구성요소(532A)로 이동시키는데 이용된다. 다중단계 이동 움직임 과정이 수행되기 이전에, 이동 로봇 어셈블리(86)는 시작 위치(도 11E에서 SP)에 대체로 위치하고, 이는 수평 운동 어셈블리(90) 구성요소의 이용에 의해 원하는 수평 위치(x-방향)로 그리고 수직 운동 어셈블리(95) 구성요소의 이용에 의해 원하는 수직 배향(y-방향)으로 기판을 이동되도록 요구할 수 있다. 일 태양에서, 기판이 시작 위치에 있으면, 이동 로봇 어셈블리(86), 수평 운동 어셈블리(90) 및 시스템 제어기(101)를 이용하여 기판은 이후 경로(P1)를 따라 최종 위치(FP)로 이동된다. 다른 태양에서, 기판은 오직 단일 제어축(only one axis of control)과 같은 감소된 수의 제어축을 이용하여 경로(P1)를 따라 위치한다. 예를 들면, 제어의 단일축은, 제어기(101)와 소통하는 이동 로봇 어셈블리(86)의 제어에 의해, 로봇 블레이드 및 기판의 이동을 일으킴에 의해 완료될 수 있다. 이 구성에서 단일축의 이용은 로봇 블레이드 운동 또는 기판의 제어를 크게 단순화할 수 있고 시작 지점으로부터 중간 위치로 이동시키는데 걸리는 시간을 줄인다. 다중단계 이동 움직임 과정에서 다음 단계에서, 기판 수용 구성요소 액츄에이터(미도시)의 이용에 의해 수직으로 기판 수용 구성요소(532A)를 이동시킴에 의해 또는 수직 운동 어셈블리(95) 구성요소의 이용에 의해 z-방향으로 이동시킴에 의해, 기판은 리프트 핀 또는 다른 기판 지지 구성요소(예를 들어 도 11A에서 요소 532A)와 같은 처리 챔버 기판 수용 구성요소로 이동된다. 일 태양에서, 도 11E 및 11F에서 도시된 것처럼, 이동 로봇 어셈블리(86)는 경로(P1 및 P3)에 의해 도시된 것처럼, X 및 Y 방향에 평행한 평면에서 기판(W)을 이동시키도록 이루어진다. 11E illustrates three travel path multi-step travel motions, which are used to move the substrate to the substrate receiving component 532A that appears in the processing chamber 532. Before the multi-step movement movement process is performed, the mobile robot assembly 86 is generally positioned at its starting position (SP in FIG. 11E), which is desired by the use of the horizontal movement assembly 90 component (x-direction). ) And by the use of the vertical motion assembly 95 components may require the substrate to be moved in the desired vertical orientation (y-direction). In one aspect, when the substrate is in the starting position, the substrate is then moved along the path P1 to the final position FP using the mobile robot assembly 86, the horizontal motion assembly 90, and the system controller 101. . In another aspect, the substrate is located along path P1 using a reduced number of control axes, such as only one axis of control. For example, a single axis of control may be completed by causing movement of the robot blade and substrate by control of the mobile robot assembly 86 in communication with the controller 101. The use of a single axis in this configuration can greatly simplify the robot blade movement or control of the substrate and reduce the time it takes to move from the starting point to the intermediate position. In the next step in the multi-step movement movement process, by moving the substrate receiving component 532A vertically by using a substrate receiving component actuator (not shown) or by using the vertical motion assembly 95 component. By moving in the z-direction, the substrate is moved to a processing chamber substrate receiving component, such as a lift pin or other substrate support component (eg, element 532A in FIG. 11A). In one aspect, as shown in FIGS. 11E and 11F, the mobile robot assembly 86 is adapted to move the substrate W in a plane parallel to the X and Y directions, as shown by paths P1 and P3. .

기판을 처리 챔버 수용 구성요소로 이동시킨 이후, 로봇 블레이드는 이동 경로(P2 및 P3)를 따라 수축될 수 있다. 일정한 경우에 경로(P2)는 이동 로봇 어셈블리(86) 및 수평 운동 어셈블리(90) 사이에 조화된 운동을 필요로 할 수 있고, 이 에 의해 로봇 블레이드가 처리 챔버(532)로부터 수축됨에 따라 기판 지지 구성요소(532A)와 부딪히지 않는 것을 보장한다. 일 태양에서, 도 11E에서 도시된 것처럼, 로봇 블레이드(87)의 기판 지지 구역의 중심의 이동을 설명하는 경로(P2)는, 최종 위치(FP)로부터 최종 위치 및 완료 지점(EP)위치 사이의 중간 지점(IP)으로 연장하는 선형 경로이다. 대체로, 중간 지점은, 로봇 블레이드가 매우 충분히 수축하는 지점이고, 이에 의해 로봇 블레이드가 종료 지점 위치로 경로(P3)를 따라 단순화되거나 또는 가속화된 운동으로 움직일 때 챔버 구성요소의 어느 곳과도 접촉하지 않을 것이다. 일 태양에서, 로봇 블레이드가 중간 지점 위치에 있다면, 기판은 이동 로봇 어셈블리(86), 수평 운동 어셈블리(90) 및 시스템 제어기(101)의 이용에 의해 종료 지점으로 경로(P3)를 따라 이동된다. 일 태양에서, 기판은 제어기(101)와 소통하는 이동 로봇 어셈블리(86)의 움직임에 의해서와 같이 제어의 오직 한 축을 이용함에 의해 종료 지점(EP)에 위치한다. 이러한 구성에서, 단일 축의 이용은 움직임의 제어를 크게 단순화할 수 있고 중간 지점(IP)으로부터 종료 지점(EP) 위치로 이동하는데 걸리는 시간을 감소시킬 수 있다. After moving the substrate to the processing chamber receiving component, the robot blade can be retracted along the travel paths P2 and P3. In certain cases the path P2 may require coordinated motion between the mobile robot assembly 86 and the horizontal motion assembly 90, thereby supporting the substrate as the robot blade contracts from the processing chamber 532. Ensure that it does not bump into component 532A. In one aspect, as shown in FIG. 11E, the path P2 describing the movement of the center of the substrate support region of the robot blade 87 is defined between the final position and the completion point EP position from the final position FP. It is a linear path extending to the intermediate point (IP). In general, the midpoint is the point where the robot blade contracts very sufficiently so that it does not come into contact with any of the chamber components when the robot blade moves in a simplified or accelerated motion along the path P3 to the end point position. Will not. In one aspect, if the robot blade is in an intermediate point position, the substrate is moved along the path P3 to the end point by the use of the mobile robot assembly 86, the horizontal motion assembly 90, and the system controller 101. In one aspect, the substrate is located at the end point EP by using only one axis of control, such as by the movement of the mobile robot assembly 86 in communication with the controller 101. In this configuration, the use of a single axis can greatly simplify the control of the movement and reduce the time it takes to move from the intermediate point IP to the end point EP position.

도 11F는 처리 챔버(532)에서 알려진 기판 수용 구성요소(532A)로부터 기판을 제거하는데 이용되는 3개의 이동 경로 다중단계 이동 움직임을 도시한다. 도 11F에서 도시된 다중단계 이동 움직임 과정이 수행되기 이전에 이동 로봇 어셈블리(86)는 대체로 시작 위치(도 11F에서 SP)에 위치하고, 이는 수평 운동 어셈블리(90) 구성요소의 이용에 의해 원하는 수평 위치(x-방향)으로 및 수직 운동 어셈블리(95) 구성요소의 이용에 의해 원하는 수직 배향(z-방향)으로 기판이 이동되도 록 요구할 수 있다. 일 태양에서, 기판이 시작 위치에 있다면, 기판은 이동 로봇 어셈블리(86), 수평 운동 어셈블리(90) 및 시스템 제어기(101)를 이용하여 중간 위치(IP)로 경로(P1)를 따라 이동된다. 대체로, 중간 위치는, 로봇 블레이드가 중간 지점으로 경로(P1)를 따라 단순화되거나 또는 가속화된 움직임으로 움직일 때 챔버 구성요소 중 어느 것과도 접촉하지 않도록 로봇 블레이드가 매우 충분히 삽입된 지점이다. 다른 태양에서, 기판은 제어의 오직 한 축과 같은 감소된 제어 축을 이용하여 경로(P1)를 따라 위치한다. 예를 들면, 단일 제어축은, 제어기(101)와 소통하는 이동 로봇 어셈블리(86)의 제어에 의해 기판 및 로봇 블레이드의 이동을 일으킴에 의해 완료될 수 있다. 이 구성에서, 단일축의 이용은 기판 또는 로봇 블레이드 운동의 제어를 크게 단순화시킬 수 있고 시작 지점으로부터 중간 위치로 이동하는데 걸리는 시간을 감소시킨다. 11F illustrates three travel path multi-step travel motions used to remove a substrate from a known substrate receiving component 532A in the processing chamber 532. Before the multi-step movement movement process shown in FIG. 11F is performed, the mobile robot assembly 86 is generally in its starting position (SP in FIG. 11F), which is the desired horizontal position by use of the horizontal movement assembly 90 component. It may be desired to move the substrate in the (x-direction) and by the use of the vertical motion assembly 95 component in the desired vertical orientation (z-direction). In one aspect, if the substrate is in the starting position, the substrate is moved along the path P1 to the intermediate position IP using the mobile robot assembly 86, the horizontal motion assembly 90, and the system controller 101. In general, the intermediate position is the point at which the robot blade is inserted very sufficiently so that it does not come into contact with any of the chamber components when the robot blade moves in a simplified or accelerated motion along the path P1 to an intermediate point. In another aspect, the substrate is located along path P1 using a reduced control axis, such as only one axis of control. For example, a single control axis can be completed by causing movement of the substrate and robot blade by control of the mobile robot assembly 86 in communication with the controller 101. In this configuration, the use of a single axis can greatly simplify the control of the substrate or robot blade movement and reduce the time taken to move from the starting point to the intermediate position.

기판을 중간 위치로 이동시킨 이후, 로봇 블레이드는 경로(P2)를 다라 챔버 안으로 삽입될 수 있다. 일정한 경우에 경로(P2)는 이동 로봇 어셈블리(86) 및 수평 운동 어셈블리(90) 사이의 조화된 운동을 요구할 수 있고, 이에 의해 로봇 블레이드가 처리 챔버(532) 안으로 확장할 때 로봇 블레이드(87)가 기판 지지 구성요소(532A)와 충돌하지 않는 것을 보장한다. 일 태양에서, 도 11F에서 도시된 것처럼, 로봇 블레이드(87)의 기판 지지 구역의 중심의 운동을 설명하는 경로(P2)는 중간 지점(IP)으로부터 최종 위치(FP)로 연장하는 선형 경로이다. 로봇 블레이드가 최종 위치에 위치한 이후, 기판 수용 구성요소 액츄에이터(미도시)의 이용에 의해 수직으로 기판 수용 구성요소(532A)를 이동시킴에 의해 또는 수직 운동 어셈블 리(95)의 이용에 의해 z-방향으로 이동 로봇 어셈블리(86)를 이동시킴에 의해 처리 챔버 기판 수용 구성요소(532A)로부터 기판이 제거된다. After moving the substrate to an intermediate position, the robot blade can be inserted into the chamber along the path P2. In some cases the path P2 may require coordinated motion between the mobile robot assembly 86 and the horizontal motion assembly 90, thereby extending the robot blade 87 as the robot blade extends into the processing chamber 532. Ensure that it does not collide with the substrate support component 532A. In one aspect, as shown in FIG. 11F, the path P2 describing the motion of the center of the substrate support region of the robot blade 87 is a linear path extending from the intermediate point IP to the final position FP. After the robot blade is in its final position, z- is moved by moving the substrate receiving component 532A vertically by the use of a substrate receiving component actuator (not shown) or by the use of the vertical motion assembly 95. The substrate is removed from the processing chamber substrate receiving component 532A by moving the mobile robot assembly 86 in the direction.

처리 챔버 수용 구성요소로부터 기판을 제거한 이후, 로봇 블레이드는 경로(P3)를 따라 수축될 수 있다. 일정한 경우에, 경로(P3)는 이동 로봇 어셈블리(86) 및 수평 운동 어셈블리(90) 사이에 조화된 운동을 필요로 할 수 있다. 일 태양에서, 기판은 제어기(101)와 소통하는 이동 로봇 어셈블리(86)의 운동에 의해서와 같이 오직 하나의 제어 축을 이용하여 종료 지점(EP)에 위치한다. 이 구성에서, 단일축의 이용은 움직임의 제어를 크게 단순화시키고 최종 위치(FP)로부터 종료 지점(EP) 위치로 이동하는데 걸리는 시간을 감소시킬 수 있다. 일 태양에서, 도 11F에서 도시된 것처럼, 로봇 블레이드(87)의 기판 지지 구역의 중심의 운동을 설명하는 경로(P3)는 최종 위치(FP)로부터 일정한 종료 지점(EP)으로 연장하는 비선형 경로이다. After removing the substrate from the processing chamber receiving component, the robot blade can be retracted along the path P3. In certain cases, path P3 may require coordinated motion between mobile robot assembly 86 and horizontal motion assembly 90. In one aspect, the substrate is located at the end point EP using only one control axis, such as by the motion of the mobile robot assembly 86 in communication with the controller 101. In this configuration, the use of a single axis can greatly simplify the control of movement and reduce the time taken to move from the final position FP to the end point EP position. In one aspect, as shown in FIG. 11F, the path P3 describing the motion of the center of the substrate support region of the robot blade 87 is a non-linear path extending from the final position FP to a constant end point EP. .

단일축 로봇 어셈블리Single axis robot assembly

도 10D 및 11G-I는 로봇 어셈블리(11)의 다른 실시예를 도시하고, 이 경우 이동 로봇 어셈블리(86A)는 단일축 링키지(306)(도 10D) 구성이고 이에 의해 클러스터 툴(10)에 보유된 제 2 처리 챔버(532)의 원하는 위치에 기판을 이동시키고 위치시킨다. 단일축 링키지(306)는 대체로 모터(307)(도 10D) 및 로봇 블레이드(87)를 포함하고, 이는 모터(320)의 회전 운동이 로봇 블레이드(87)를 회전시키도록 연결된다. 이 구성의 장점은 블레이드(87)를 제어하도록 덜 복잡하고 더욱 비용절감 적인 단일축을 이용하여 클러스터 툴에서 원하는 위치로 기판을 이동시키는 로봇의 능력이고, 또한 이동 과정 동안 다른 로봇에 의해 차지될 수 있는 공간으로 로봇 구성요소가 연장하는 기회를 감소시킨다. 10D and 11G-I show another embodiment of the robot assembly 11, in which case the mobile robot assembly 86A is a single axis linkage 306 (FIG. 10D) configuration and thereby retained in the cluster tool 10. The substrate is moved and positioned at a desired position of the second processing chamber 532. Single-axis linkage 306 generally includes a motor 307 (FIG. 10D) and a robot blade 87, which is connected such that the rotational movement of the motor 320 rotates the robot blade 87. The advantage of this configuration is the robot's ability to move the substrate to the desired position in the cluster tool using a less complex and more cost-effective single axis to control the blade 87 and can also be occupied by other robots during the movement process. Reduces the chance of robot components extending into space.

도 10D는 단일축 링키지(306)의 측단면도를 도시하고, 이는 대체로 모터(307), 지지 플레이트(321) 및 로봇 블레이드(87)를 포함하고, 이들은 모터(307)에 연결된다. 일 실시예에서, 도 10D에서 도시된 것처럼, 로봇 블레이드(87)는 제 1 풀리 시스템(355)에 연결된다. 제 1 풀리 시스템(355)는 모터(320)에 부착된 제 1 풀리(358), 로봇 블레이드(87)에 부착된 제 2 풀리(356) 및 제 1 풀리(358)를 제 2 풀리(356)에 연결시키는 벨트(359)를 가진다. 이 구성에서, 제 2 풀리(356)는 베어링(354A) 및 소통하여 지지 플레이트(321)에 부착된 피봇(364) 상에 장착된다. 단일 축 링키지(306)의 일 실시예에서, 로봇 블레이드(87)는 모터(307)에 직접 결합되고 이에 의해 로봇 구성요소의 수를 감소시키며 로봇 어셈블리 비용 및 복잡성을 감소시키고 제 1 풀리 시스템(355)의 구성요소를 유지시킬 필요를 감소시킨다. 단일축 링키지(306)는 단순화된 운동 제어 및 이에 따른 향상된 로봇 및 시스템 신뢰도에 의해 유리할 수 있다. 10D shows a side cross-sectional view of a single axis linkage 306, which generally includes a motor 307, a support plate 321, and a robot blade 87, which are connected to the motor 307. In one embodiment, as shown in FIG. 10D, the robot blade 87 is connected to the first pulley system 355. The first pulley system 355 includes a first pulley 358 attached to the motor 320, a second pulley 356 attached to the robot blade 87, and a first pulley 358 for the second pulley 356. To a belt 359 connected to it. In this configuration, the second pulley 356 is mounted on the bearing 354A and on a pivot 364 attached to the support plate 321. In one embodiment of the single axis linkage 306, the robot blade 87 is directly coupled to the motor 307, thereby reducing the number of robotic components, reducing robot assembly cost and complexity, and the first pulley system 355. Reduce the need to maintain components Single-axis linkage 306 may be advantageous because of simplified motion control and thus improved robotic and system reliability.

도 11G-J는 이동 로봇 어셈블리(86)의 단일축 링키지(306) 형태의 평면도이고, 이는 기판이 처리 챔버(532)로 이동됨에 따라 다양한 이동 로봇 어셈블리(86) 구성요소의 위치의 시간(예를 들어 T0-T2)에 따른 다수의 순차적 스냅샷을 도시함에 의해 단일축 링키지(306)의 운동을 도시한다. 도 11G를 도시하면, 시간 T0에서 이동 로봇 어셈블리(86)는 수평 운동 어셈블리(90) 구성요소의 이용에 의해 원하는 수평 위치(x-방향) 및 수직 운동 어셈블리(95) 구성요소의 이용에 의해 원하는 수직 배향(z-방향)으로 대체로 위치한다. 도 11C에서 도시된 T0에서의 로봇 위치는, 시작 위치(상기에서 설명된 아이템 SP)로서 여기서 지칭될 것이다. 도 11H를 참고하면, T1에서 로봇 블레이드(87)는 피봇 지점(V1) 주위로 피봇되고, 따라서 로봇 블레이드(87)를 회전하게 하며, 이동 로봇 어셈블리(86)의 위치는 시스템 제어기(101)의 이용에 의해 x-방향으로 조정된다. 도 11을 참고하면, 시간(T2)에서 로봇 블레이드(87)가 원하는 각으로 회전되었고 로봇 어셈블리는 원하는 x-방향 위치에 위치하며 이에 의해 기판은 처리 챔버(532)에서 원하는 최종 위치(FP) 또는 핸드오프 위치(handoff position)에 위치한다. 상기에서 설명된 도 11D는, 단일축 링키지(306)의 이용에 의해 처리 챔버(532)의 원하는 위치로 기판을 이동시키는데 이용될 수 있는 가능한 경로(P1-P3)의 몇몇 예를 도시한다. 기판을 처리 챔버 수용 구성요소로 이동시킨 이후, 로봇 블레이드는 상기에서 설명된 단계에 따라 역으로 수축될 수 있다. 11G-J is a top view in the form of a single axis linkage 306 of the mobile robot assembly 86, which illustrates the time (eg, the location of various mobile robot assembly 86 components as the substrate is moved into the processing chamber 532). For example, the motion of the single-axis linkage 306 is shown by showing multiple sequential snapshots according to T0-T2). 11G, at time T0 the mobile robot assembly 86 is desired by the use of the horizontal position (x-direction) and the use of the vertical movement assembly 95 components by the use of the horizontal movement assembly 90 components. Generally located in a vertical orientation (z-direction). The robot position at T0 shown in FIG. 11C will be referred to herein as the starting position (item SP described above). Referring to FIG. 11H, at T1 the robot blade 87 is pivoted around the pivot point V1, thus causing the robot blade 87 to rotate, and the position of the mobile robot assembly 86 is determined by the system controller 101. By use in the x-direction. Referring to FIG. 11, at time T2 the robot blade 87 is rotated to the desired angle and the robot assembly is located at the desired x-direction position whereby the substrate is positioned at the desired final position FP in the processing chamber 532 or It is located in the handoff position. 11D described above shows some examples of possible paths P1-P3 that can be used to move the substrate to a desired location in the processing chamber 532 by the use of a single-axis linkage 306. After moving the substrate to the processing chamber receiving component, the robot blade can be retracted in reverse according to the steps described above.

수평 운동 어셈블리Horizontal movement assembly

도 12A는 y-방향에 평행한 평면을 따라 취해진 수평 운동 어셈블리(90)의 일 실시예의 단면도를 도시한다. 도 12B는 수평 운동 어셈블리(90)의 길이를 중심적으로 자른 로봇 어셈블리(11)의 일 실시예의 측단면도이다. 수평 운동 어셈블리(90)는 대체로 인클로저(460), 액츄에이터 어셈블리(443) 및 슬레드 마운트(sled mount, 451)를 포함한다. 액츄에이터 어셈블리(443)는 대체로 적어도 하나의 수평 선형 슬라이드 어셈블리(468) 및 운동 어셈블리(442)를 포함한다. 수직 운동 어셈블리(95)는 슬레드 마운트(451)를 통해 수평 운동 어셈블리(90)에 부착된다. 슬레드 마운트(451)는 수평 운동 어셈블리(90)에 의해 수직 운동 어셈블리(95)가 위치함에 따라 만들어지는 다양한 하중을 지지하는 구조 부품이다. 수평 운동 어셈블리(90)는, 수직 운동 어셈블리(95) 및 슬레드 마운트(451)의 중량을 지지하는 지지 마운트(452), 베어링 블록(458) 및 선형 레일(455)을 각각 갖는 두 개의 수평 선형 슬라이드 어셈블리(468)를 대체로 포함한다. 이 구성은 수평 운동 어셈블리(90)의 길이에 따라 수직 운동 어셈블리(95)의 매끄럽고 정확한 이동을 가능하게 한다. 선형 레일(455) 및 베어링 블록(458)은 선형 볼 베어링 슬라이드 또는 종래의 선형 안내부일 수 있고, 이는 이 기술분야에서 공지되어 있다. 12A shows a cross-sectional view of one embodiment of a horizontal motion assembly 90 taken along a plane parallel to the y-direction. 12B is a side cross-sectional view of one embodiment of the robotic assembly 11 which is cut centrally to the length of the horizontal motion assembly 90. Horizontal motion assembly 90 generally includes enclosure 460, actuator assembly 443, and sled mount 451. Actuator assembly 443 generally includes at least one horizontal linear slide assembly 468 and a motion assembly 442. Vertical motion assembly 95 is attached to horizontal motion assembly 90 via sled mount 451. The sled mount 451 is a structural component that supports various loads that are created as the vertical movement assembly 95 is positioned by the horizontal movement assembly 90. The horizontal motion assembly 90 has two horizontal linears, each having a support mount 452 for supporting the weight of the vertical motion assembly 95 and the sled mount 451, a bearing block 458, and a linear rail 455. It generally includes a slide assembly 468. This configuration allows for a smooth and accurate movement of the vertical movement assembly 95 along the length of the horizontal movement assembly 90. Linear rail 455 and bearing block 458 can be linear ball bearing slides or conventional linear guides, which are known in the art.

도 12A-B를 참고하면, 운동 어셈블리(442)는, 수평 운동 어셈블리(90)의 길이를 따라 수직 운동 어셈블리(95)의 위치를 제어하도록 이루어진 둘 이상의 드라이브 벨트 풀리(454A), 드라이브 벨트(440), 수평 로봇 액츄에이터(367)(도 10A 및 12A), 및 슬레드 마운트(451)를 대체로 포함한다. 대체로, 드라이브 벨트(440)는 슬레드 마운트(451)에 부착되고(예를 들어 결합되거나, 볼트되거나(bolted) 또는 죄어짐) 이에 의해 수평 운동 어셈블리(90)의 길이를 따라 움직이는 연속 루프를 형성하고, 이는 둘 이상의 드라이브 벨트 풀리(454A)에 의해 수평 운동 어셈블리(90)의 단부에서 지지된다. 도 12B는 4개의 드라이브 벨트 풀리(454A)를 갖는 일 구성을 도시한다. 일 실시예에서, 수평 로봇 액츄에이터(367)는 드라이브 벨트 풀리(454A)의 하나에 부착되고 이에 의해 풀리(454A)의 회전 운동은 수직 운동 어 셈블리(95)에 부착된 슬레드 마운트(451) 및 드라이브 벨트(440)가 수평 선형 슬라이드 어셈블리(468)을 따라 움직이게 할 것이다. 일 실시예에서, 수평 로봇 액츄에이터(367)는 직접적인 드라이브 선형 브러쉬없는 보조모터(direct drive linear brushless servomotor)이고, 이는 수평 선형 슬라이드 어셈블리(468)에 대해 로봇을 이동시키도록 이루어진다. 12A-B, the movement assembly 442 includes two or more drive belt pulleys 454A, drive belts 440 configured to control the position of the vertical movement assembly 95 along the length of the horizontal movement assembly 90. ), Horizontal robot actuators 367 (FIGS. 10A and 12A), and sled mounts 451. In general, the drive belt 440 is attached to (e.g., coupled to, bolted to, or clamped) to the sled mount 451 and thereby forms a continuous loop that moves along the length of the horizontal motion assembly 90. Which is supported at the end of the horizontal motion assembly 90 by two or more drive belt pulleys 454A. 12B shows one configuration with four drive belt pulleys 454A. In one embodiment, the horizontal robot actuator 367 is attached to one of the drive belt pulleys 454A whereby the rotational movement of the pulleys 454A is attached to the sled mount 451 attached to the vertical motion assembly 95. And drive belt 440 will move along horizontal linear slide assembly 468. In one embodiment, the horizontal robot actuator 367 is a direct drive linear brushless servomotor, which is adapted to move the robot relative to the horizontal linear slide assembly 468.

인클로저(460)는 대체로 베이스(464), 하나 이상의 외부벽(463) 및 인클로저 상부 플레이트(462)를 포함한다. 인클로저(460)는, 안전 및 오염 감소 이유를 위해, 수평 운동 어셈블리(90)에서 구성요소를 덮고 지지하도록 이루어진다. 기계적 구성요소에 의해 생성되는 입자는 구르거나 슬라이드 하거나 또는 서로 접촉하게 되기 때문에, 기판이 클러스터 툴(10)을 통해 이동하는 동안 수평 운동 어셈블리(90)가 기판 표면을 오염시키지 않는 것을 보장하는 것이 중요하다. 인클로저(460)는, 인클로저(460) 내부에서 생성된 입자가 기판 표면으로 갈 기회를 최소화하는 에워싸인 영역을 형성한다. 미립자 오염은 기구 수득률 및 클러스터 툴의 CoO에 직접 영향을 미친다. Enclosure 460 generally includes a base 464, one or more outer walls 463, and an enclosure top plate 462. Enclosure 460 is configured to cover and support components in horizontal motion assembly 90 for safety and contamination reduction reasons. Since the particles produced by the mechanical components roll, slide or come into contact with each other, it is important to ensure that the horizontal motion assembly 90 does not contaminate the substrate surface while the substrate moves through the cluster tool 10. Do. Enclosure 460 defines an enclosed area that minimizes the chance of particles generated inside enclosure 460 going to the substrate surface. Particulate contamination directly affects instrument yield and CoO of the cluster tool.

인클로저 상부 플레이트(462)는 다수의 슬롯(471)을 포함하고, 이 슬롯은 수평 선형 슬라이드 어셈블리(468)의 다수의 지지 마운트(452)가 슬레드 마운트(451)에 연결되고 인클로저 상부 플레이트(462)를 통해 연장하도록 한다. 일 태양에서, 슬롯(471)의 폭(y-방향으로의 개구의 크기)은 수평 운동 어셈블리(90)의 외부로 입자가 갈 기회를 최소화하는 크기이다. Enclosure top plate 462 includes a plurality of slots 471, the plurality of support mounts 452 of the horizontal linear slide assembly 468 connected to the sled mount 451, and the enclosure top plate 462. ) To extend. In one aspect, the width of the slot 471 (the size of the opening in the y-direction) is such that it minimizes the chance of particles out of the horizontal motion assembly 90.

인클로저(460)의 베이스(464)는 슬레드 마운트(451) 및 수직 운동 어셈블 리(95)의 중량에 의해 만들어진 로드 및 수직 운동 어셈블리(95)의 이동에 의해 만들어진 로드를 지지하도록 설계된 구조 부재이다. 일 태양에서, 베이스(464)는 다수의 베이스 슬롯(464A)을 추가로 포함하고, 이 슬롯은 수평 운동 어셈블리(90)의 길이를 따라 위치하며 이에 의해 인클로저 상부 플레이트(462)의 슬롯(471)으로 들어오는 에어가 클러스터 툴 베이스(10A)에 형성된 슬롯(10B)의 외부로 그리고 베이스 슬롯(464A)을 통해 인클로저를 빠져나가도록 한다. 클러스터 툴(10)의 일 실시예에서, 클러스터 툴 베이스(10A)는 이용되지 않고 따라서 수평 운동 어셈블리(90) 및 처리 래크는 클러스터 툴(10)이 설치된 영역의 플로어 상에 위치할 수 있다. 일 태양에서, 베이스(464)는 인클로저 지지부(461)의 이용에 의해 클러스터 툴 베이스(10A) 또는 플로어 위에 위치하고 이에 의해 수평 운동 어셈블리(90)를 통해 에어가 유동하도록 제한되지 않고 균일한 유동 경로를 제공한다. 일 태양에서 인클로저 지지부(461)는 종래의 진동 댐퍼(vibration damper)로서 작용하도록 이루어질 수 있다. 한 방향으로 바람직하게 아래로 인클로저(460)를 통해 유동하는 클린룸 주위환경 또는 주위환경 제어 어셈블리(110)에 의해 만들어진 에어 유동은 인클로저(460) 내부에서 생성된 입자가 기판 표면을 향해 갈 가능성을 감소시키는 것을 돕는다. 일 태양에서, 인클로저 상부 플레이트에 형성된 슬롯(471) 및 베이스 슬롯(464A)은 주위환경 제어 어셈블리(110)로부터 유동하는 에어의 부피를 제한하도록 설계되고 이에 의해 적어도 0.1"wg의 압력 강하가 인클로저(460)의 내부 영역에 대한 인클로저 상부 플레이트(462)의 외부 사이에서 얻어진다. 일 태양에서, 인클로저(460)의 중앙 영역(430)은 내부벽(465)의 이용에 의해 수평 운동 어셈블리의 다른 부품으로부터 이 영역을 고립시키도록 형성된다. 내부벽(465)의 추가는 인클로저(460)로 들어가는 에어의 재순환을 최소화시킬 수 있고 에어 유동 흐름 피쳐로서 작용할 수 있다. The base 464 of the enclosure 460 is a structural member designed to support a rod made by the weight of the sled mount 451 and the vertical motion assembly 95 and a rod made by the movement of the vertical motion assembly 95. . In one aspect, the base 464 further includes a plurality of base slots 464A, which slots are located along the length of the horizontal motion assembly 90, thereby slots 471 of the enclosure top plate 462. The incoming air exits the enclosure out of the slot 10B formed in the cluster tool base 10A and through the base slot 464A. In one embodiment of the cluster tool 10, the cluster tool base 10A is not used and thus the horizontal motion assembly 90 and the processing rack may be located on the floor of the area where the cluster tool 10 is installed. In one aspect, the base 464 is positioned above the cluster tool base 10A or the floor by the use of the enclosure support 461, thereby restricting the flow of air through the horizontal motion assembly 90, without restricting a uniform flow path. to provide. In one aspect the enclosure support 461 can be configured to act as a conventional vibration damper. The air flow created by the cleanroom surroundings or ambient control assembly 110, preferably flowing down through the enclosure 460 in one direction, reduces the likelihood that particles generated inside the enclosure 460 will be directed toward the substrate surface. Helps to reduce. In one aspect, the slot 471 and base slot 464A formed in the enclosure top plate are designed to limit the volume of air flowing from the ambient control assembly 110 whereby a pressure drop of at least 0.1 "wg is achieved. Between the exterior of the enclosure top plate 462 relative to the interior region of 460. In one aspect, the central region 430 of the enclosure 460 is separated from other parts of the horizontal motion assembly by use of the interior wall 465. It is formed to isolate this area The addition of the inner wall 465 can minimize the recirculation of air entering the enclosure 460 and can act as an air flow flow feature.

도 12A 및 도 13A를 참고하면, 인클로저(460)의 일 태양에서, 드라이브 벨트는 인클로저 상부 플레이트(462)에 형성된 드라이브 벨트 슬롯(472) 및 드라이브 벨트(440) 사이에 작은 갭을 형성하도록 위치한다. 이 구성은 인클로저(460) 내부에서 생성된 입자가 인클로저(460)의 외부로 가는 것을 막는데 유리할 수 있다. 12A and 13A, in one aspect of the enclosure 460, the drive belt is positioned to form a small gap between the drive belt slot 472 and the drive belt 440 formed in the enclosure top plate 462. . This configuration may be advantageous to prevent particles generated inside the enclosure 460 from going outside of the enclosure 460.

도 12C를 참고하면, 인클로저(460)의 다른 태양에서, 팬 유닛(481)은 베이스(464)에 형성된 베이스 슬롯(464A)을 통해 인클로저(460) 내부로부터 에어를 끌어당기도록 이루어지고 베이스(464)에 부착될 수 있다. 다른 태양에서, 팬 유닛(481)은 필터(482)를 통해 미립자를 함유한 에어를 밀어넣어 입자가 클러스터 툴 베이스(10A) 또는 플로어를 통해 소모되기(아이템 "A"를 보라) 이전에 제거한다. 이 구성에서, 팬 유닛에 포함된 팬(483)은 인클로저(460) 내부에 네거티브 압력을 만들도록 설계되고 이에 의해 인클로저 외부의 에어는 인클로저 안으로 끌어지고 따라서 인클로저(460) 내부에서 생성된 입자가 새어 나갈 가능성을 제한한다. 일 실시예에서, 필터(482)는 HEPA 형태 필터 또는 다른 형태 필터이고, 이는 에어로부터 생성된 미립자를 제거할 수 있다. 일 태양에서, 슬롯(471)의 길이 및 폭, 그리고 팬(483)의 크기는 인클로저(460) 내부 지점 및 인클로저(460) 외부 지점 사이에서 만들어진 압력 강하가 약 0.02인치 오브 워터(inch of water)(~5Pa) 내지 약 1인치 오브 워터(~250Pa)가 되도록 선택된다. Referring to FIG. 12C, in another aspect of the enclosure 460, the fan unit 481 is configured to draw air from the interior of the enclosure 460 through the base slot 464A formed in the base 464 and the base 464. ) May be attached. In another aspect, fan unit 481 pushes air containing particulates through filter 482 to remove the particles before they are exhausted through cluster tool base 10A or floor (see item “A”). . In this configuration, the fan 483 included in the fan unit is designed to create a negative pressure inside the enclosure 460 whereby air outside the enclosure is drawn into the enclosure, thus leaking particles generated inside the enclosure 460. Limit your chances of leaving In one embodiment, filter 482 is a HEPA type filter or other type filter, which may remove particulates generated from air. In one aspect, the length and width of the slot 471, and the size of the fan 483, is about 0.02 inch of water pressure drop created between the point inside the enclosure 460 and the point outside the enclosure 460. (˜5 Pa) to about 1 inch of water (˜250 Pa).

수평 운동 어셈블리의 일 실시예에서, 차폐 벨트(479)는 슬롯(471)을 덮도록 위치하고 이에 의해 수평 운동 어셈블리(90)의 내부에서 생성된 입자가 기판으로 가는 것을 막는다. 이 구성에서, 차폐 벨트(479)는 수평 운동 어셈블리(90)의 길이를 따라가는 연속 루프를 형성하고 이는 슬롯(471)에 위치하여 차폐 벨트(479) 및 인클로저 상부 플레이트(462) 사이에 형성된 개방 구역이 가능한 작게 되도록 한다. 대체로 차폐 벨트(479)는 지지 마운트(452)에 부착되고(예를 들어 결합되거나, 볼트되거나 또는 죄어짐), 이에 의해 수평 운동 어셈블리(90)의 길이를 따라가는 연속 루프를 형성하고 이는 둘 이상의 드라이브 벨트 풀리(미도시)에 의해 수평 운동 어셈블리(90)의 단부에서 지지된다. 도 12C에서 도시된 구성에서, 차폐 벨트(479)는 슬롯(471)의 레벨에서 지지 마운트(452)에 부착될 수 있고(미도시), 연속 루프를 형성하기 위해 베이스(464) 안으로 기계가공된 채널(478)의 수평 운동 어셈블리(90)를 통해 되돌아온다. 따라서, 차폐 벨트(479)는 수평 운동 어셈블리(90)의 내부 영역을 에워싼다. In one embodiment of the horizontal motion assembly, the shield belt 479 is positioned to cover the slot 471 thereby preventing particles generated inside the horizontal motion assembly 90 from going to the substrate. In this configuration, the shield belt 479 forms a continuous loop along the length of the horizontal motion assembly 90, which is located in the slot 471 and is an open area formed between the shield belt 479 and the enclosure top plate 462. Make it as small as possible. In general, the shielding belt 479 is attached (eg coupled, bolted or clamped) to the support mount 452, thereby forming a continuous loop along the length of the horizontal motion assembly 90, which is two or more drives. It is supported at the end of the horizontal motion assembly 90 by a belt pulley (not shown). In the configuration shown in FIG. 12C, the shield belt 479 may be attached to the support mount 452 at the level of the slot 471 (not shown) and machined into the base 464 to form a continuous loop. It returns through the horizontal motion assembly 90 of the channel 478. Thus, the shielding belt 479 surrounds the inner region of the horizontal motion assembly 90.

수직 운동 어셈블리Vertical motion assembly

도 13A-B는 수직 운동 어셈블리(95)의 일 실시예를 도시한다. 도 13A는 설계의 다양한 태양을 도시하는 수직 운동 어셈블리(95)의 평면도이다. 수직 운동 어셈블리(95)는 대체로 수직 지지부(570), 수직 액츄에이터 어셈블리(560), 팬 어셈블리(580), 지지 플레이트(321), 및 수직 인클로저(590)를 포함한다. 수직 지지부(570)는 대체로 슬레드 마운트(451)에 볼트되거나, 용접되거나 또는 장착된 구조 부재이고, 수직 운동 어셈블리(95)에서 나타나는 다양한 구성요소를 지지하도록 이루어진다. 13A-B illustrate one embodiment of a vertical motion assembly 95. 13A is a top view of a vertical motion assembly 95 showing various aspects of the design. Vertical motion assembly 95 generally includes vertical support 570, vertical actuator assembly 560, fan assembly 580, support plate 321, and vertical enclosure 590. The vertical support 570 is generally a structural member bolted, welded or mounted to the sled mount 451 and is adapted to support the various components present in the vertical motion assembly 95.

팬 어셈블리(580)는 대체로 팬(582) 및 튜브(581)를 포함하고, 이는 팬(582)과 유체 소통하는 플레넘 영역(plenum region, 584)을 형성한다. 팬(582)은, 예를 들어 회전하는 팬 블레이드, 움직이는 벨로우즈, 움직이는 다이어프램(diaphragm), 또는 움직이는 클로우즈 톨러런스드(close toleranced) 기계 기어와 같은 기계 수단을 이요하여 에어로의 운동을 전달하도록 이루어진다. 팬(582)은, 내부 영역(586) 및 튜브(581)에 형성된 다수의 슬롯(585)과 유체 소통하는 플레넘 영역(584)에서 네거티브 압력을 만듦에 의해 인클로저(590)의 외부에 대해 인클로저(590)의 내부 영역(586)에서 네거티브 압력을 이끌어내도록 이루어진다. 일 태양에서, 둥글거나, 타원형이거나 또는 지사각형일 수 있는 슬롯(585)의 수, 크기 및 분포는 수직 운동 어셈블리(95)의 모든 영역으로부터 에어를 균등하게 끌어내도록 설계된다. 일 태양에서, 내부 영역(586)은, 시스템 제어기(101)를 구비한 수직 운동 어셈블리(95) 구성요소 중의 구성요소 및 다양한 로봇 하드웨어 어셈블리(85) 사이에서 신호를 전달하도록 이용되는 다수의 케이블(미도시)를 수용하도록 이루어질 수도 있다. 일 태양에서, 팬(582)은 내부 영역(586)으로부터 제거된 에어를 수평 운동 어셈블리(90)의 중앙 영역(430)으로 전달하도록 이루어지고, 여기서 베이스 슬롯(464A)을 통해 수평 운동 어셈블리(90)로부터 에어가 배출된다. The fan assembly 580 generally includes a fan 582 and a tube 581, which forms a plenum region 584 in fluid communication with the fan 582. The fan 582 is configured to transmit aerodynamic movement by using mechanical means such as, for example, a rotating fan blade, a moving bellows, a moving diaphragm, or a moving close toleranced machine gear. The fan 582 is configured to create a negative pressure in the plenum region 584 in fluid communication with the interior region 586 and the plurality of slots 585 formed in the tube 581 to the outside of the enclosure 590. It is made to induce negative pressure in the inner region 586 of 590. In one aspect, the number, size, and distribution of slots 585, which may be round, elliptical or rectangular, are designed to evenly draw air from all regions of the vertical motion assembly 95. In one aspect, the interior region 586 comprises a number of cables used to transmit signals between various robotic hardware assemblies 85 and components in the vertical motion assembly 95 components with the system controller 101. It may be made to accommodate (not shown). In one aspect, the fan 582 is configured to deliver air removed from the interior region 586 to the central region 430 of the horizontal motion assembly 90, where the horizontal motion assembly 90 is through the base slot 464A. Air is exhausted from the

수직 액츄에이터 어셈블리(560)는, 대체로 수직 모터(507)(도 12A 및 13B), 풀리 어셈블리(576)(도 13B), 및 수직 슬라이드 어셈블리(577)를 포함한다. 수직 슬라이드 어셈블리(577)는, 풀리 어셈블리(576)의 운동 블록(572) 및 수직 지지부(570)에 부착된 베어링 블록(573) 및 선형 레일(574)을 대체로 포함한다. 수직 슬라이드 어셈블리(577)는 로봇 하드웨어 어셈블리(85)의 매끄럽고 정확한 이동을 안내하고 제공하도록 이루어지고, 수직 운동 어셈블리(95)의 길이를 따라 로봇 하드웨어 어셈블리(85)의 이동에 의해 만들어지는 중량 로드를 지지하도록 이루어진다. 선형 레일(574) 및 베어링 블록(573)은 선형 볼 베어링 슬라이드, 정확한 샤프트 안내 시스템, 또는 종래의 선형 안내부일 수 있고, 이는 이 기술분야에서 잘 알려져 있다. 일반적인 선형 볼 베어링 슬라이드, 정확한 샤프트 안내 시스템, 또는 종래의 선형 안내부는 SKF USA Inc., 또는 the Daedal Division of Parker Hannifin Corporation of Irwin, PA로부터 구입 가능하다. Vertical actuator assembly 560 generally includes vertical motor 507 (FIGS. 12A and 13B), pulley assembly 576 (FIG. 13B), and vertical slide assembly 577. The vertical slide assembly 577 generally includes a bearing block 573 and a linear rail 574 attached to the motion block 572 and the vertical support 570 of the pulley assembly 576. The vertical slide assembly 577 is configured to guide and provide a smooth and accurate movement of the robot hardware assembly 85, and to load a heavy load created by the movement of the robot hardware assembly 85 along the length of the vertical motion assembly 95. To be supported. Linear rail 574 and bearing block 573 may be a linear ball bearing slide, an accurate shaft guide system, or a conventional linear guide, which is well known in the art. Typical linear ball bearing slides, accurate shaft guide systems, or conventional linear guides are available from SKF USA Inc., or the Daedal Division of Parker Hannifin Corporation of Irwin, PA.

도 13A 및 13B를 참고하면, 풀리 어셈블리(576)는 대체로 드라이브 벨트(571), 운동 블록(572) 및 둘 이상의 풀리(575)(예를 들어 요소 575A 및 575B)를 포함하고 이는 수직 지지부(570) 및 수직 모터(507)에 회전식으로 부착되어 있으며, 이에 의해 지지 플레이트(예를 들어 도 13B에서 요소 321A-321B) 및 로봇 하드웨어 어셈블리(85)는 수직 운동 어셈블리(95)의 길이를 따라 위치할 수 있다. 대체로 드라이브 벨트(571)는 운동 블록(572)에 부착되고(예를 들어 결합되거나, 볼트되거나 또는 죄어짐) 이에 의해 수직 운동 어셈블리(95)의 길이를 따라가는 연속 루프를 형성하며 둘 이상의 드라이브 벨트 풀리(575)(예를 들어 요소 575A, 575B)에 의해 수직 운동 어셈블리(95)의 단부에서 지지된다. 도 13B는 두 개의 드라이브 벨트 풀리(575A-B)를 갖는 일 구성을 도시한다. 일 태양에서, 수직 모터(507) 는 드라이브 벨트 풀리(575B)의 하나에 부착되고 이에 의해 풀리(575B)의 회전 운동은 드라이브 벨트(571) 및 지지 플레이트, 및 로봇 하드웨어 어셈블리(85)가 수직 선형 슬라이드 어셈블리(577)를 따라 이동하게 할 것이다. 일 실시예에서, 수직 모터(507)는 직접 드라이브 선형 브러쉬없는 보조모터이고, 이는 수직 슬라이드 어셈블리(577)에 대해 로봇 하드웨어 어셈블리(85)가 이동하도록 이루어지고, 따라서 드라이브 벨트(571) 및 둘 이상의 풀리(575)는 필요하지 않다. Referring to FIGS. 13A and 13B, the pulley assembly 576 generally includes a drive belt 571, a motion block 572 and two or more pulleys 575 (eg, elements 575A and 575B), which are vertical supports 570. ) And the vertical motor 507, whereby the support plate (eg elements 321A-321B in FIG. 13B) and the robot hardware assembly 85 may be positioned along the length of the vertical motion assembly 95. Can be. In general, drive belt 571 is attached (eg coupled, bolted, or clamped) to motion block 572, thereby forming a continuous loop along the length of vertical motion assembly 95 and forming two or more drive belt pulleys. 575 (eg elements 575A, 575B) are supported at the ends of the vertical motion assembly 95. 13B shows one configuration with two drive belt pulleys 575A-B. In one aspect, the vertical motor 507 is attached to one of the drive belt pulleys 575B whereby the rotational movement of the pulleys 575B causes the drive belt 571 and the support plate, and the robot hardware assembly 85 to be vertically linear. Will move along slide assembly 577. In one embodiment, the vertical motor 507 is a direct drive linear brushless auxiliary motor, which is adapted to move the robot hardware assembly 85 relative to the vertical slide assembly 577, thus driving the drive belt 571 and two or more. Pulley 575 is not needed.

수직 인클로저(590)는 대체로 하나 이상의 외부벽(591) 및 인클로저 상부(592)(도 9A) 및 슬롯(593)(도 9A, 12A, 및 13A)을 포함한다. 수직 인클로저(590)는 안전 및 오염 감소를 이유로 수직 운동 어셈블리(95)의 구성요소를 덮도록 이루어진다. 일 태양에서, 수직 인클로저(590)는 수직 지지부(570)에 의해 지지되고 부착된다. 구르거나, 슬라이드 하거나 서로 접촉하게 되는 입자가 기계 구성요소에 의해 생성되기 때문에, 수직 운동 어셈블리(95)의 구성요소가 기판이 클러스터 툴(10)을 통해 이동하는 동안 기판을 오염시키지 않는 것을 보장하는 것이 중요하다. 따라서, 인클로저(590)는, 인클로저(590) 내부에서 생성된 입자가 기판 표면을 향해 갈 기회를 최소화하는 에워싸인 영역을 형성한다. 미립자 오염은 기구 수득률 및 클러스터 툴의 CoO에 직접 영향을 미친다. 따라서, 일 태양에서, 슬롯(593)의 크기(즉, 길이 및 폭) 및/또는 팬(582)의 크기(예를 들어 유동 속도)는, 수직 운동 어셈블리(95)로부터 나갈 수 있는 입자의 수가 최소화되도록 구성된다. 일 태양에서, 슬롯(593)의 길이(z-방향) 및 폭(x-방향) 그리고 팬(582)의 크기는, 내부 영역(586) 및 외부벽(591)의 외부 지점 사이에서 만들어진 압력 강하가 약 0.02인치 오브 워터(~5Pa) 내지 약 1인치 오브 워터(~250Pa)이다. 일 태양에서, 슬롯(593)의 폭은 약 0.25인치 내지 약 6인치이다. Vertical enclosure 590 generally includes one or more exterior walls 591 and enclosure top 592 (FIG. 9A) and slots 593 (FIGS. 9A, 12A, and 13A). The vertical enclosure 590 is made to cover the components of the vertical motion assembly 95 for reasons of safety and contamination reduction. In one aspect, the vertical enclosure 590 is supported and attached by the vertical support 570. Since particles that roll, slide or come into contact with each other are produced by the mechanical components, it is ensured that the components of the vertical motion assembly 95 do not contaminate the substrate while the substrate moves through the cluster tool 10. It is important. Thus, enclosure 590 forms an enclosed area that minimizes the chance of particles generated inside enclosure 590 towards the substrate surface. Particulate contamination directly affects instrument yield and CoO of the cluster tool. Thus, in one aspect, the size (ie, length and width) of the slots 593 and / or the size (eg, flow rate) of the fan 582 is determined by the number of particles that can exit from the vertical motion assembly 95. It is configured to be minimized. In one aspect, the length (z-direction) and width (x-direction) of the slot 593 and the size of the fan 582 are the pressure drop created between the inner region 586 and the outer point of the outer wall 591. About 0.02 inches of water (˜5 Pa) to about 1 inch of water (˜250 Pa). In one aspect, the slot 593 is about 0.25 inches to about 6 inches wide.

여기서 설명된 실시예는, 가장 낮은 위치의 수직 위치에 도달하도록 다시 원위치로 자체적으로(back into itself) 접히거나, 단축되거나 또는 수축해야 하는 구성요소에 의해 로봇 구성요소를 리프트하도록 이루어진 종래 기술 설계를 넘는 장점을 대체로 가진다. 로봇의 가장 낮은 위치는 다시 원위치로 자체적으로 접히거나, 단축되거나 또는 수축해야 하는 수직 운동 구성요소의 크기 및 배향에 의해 제한되기 때문에 문제가 되고, 이는 수직 운동 구성요소의 충돌 때문이다. 종래 기술의 수직 구성요소의 위치는, 이것이 더 이상 수축될 수 없을 때, "데드 스페이스(dead space)" 또는 "솔리드 하이트(solid height)"라고 불리고, 이는 가장 낮은 로봇 위치가 수축된 구성요소의 높이에 의해 제한받기 때문이다. 대체로, 여기서 설명된 실시예는 이러한 문제점을 피하는데, 왜냐하면 하나 이상의 이동 로봇 어셈블리(86)의 바닥부는 수직 운동 어셈블리(95)의 구성요소에 의해 아래에서 지지받지 못하고 따라서 가장 낮은 위치는 오직 로봇 하드웨어 어셈블리(85) 구성요소의 크기 및 선형 레일(574)의 길이에 의해서만 제한되기 때문이다. 일 실시예에서, 도 13A-13B에서 도시된 것처럼, 로봇 어셈블리는 수직 슬라이드 어셈블리(577)에 장착된 지지 플레이트(321)에 의해 캔틸레버 방식(cantilever fashion)으로 지지된다. 도 10C-10E에서 도시된 것과 같이 로봇 하드웨어 어셈블리(85)의 구성요소 및 지지 플레이트(321)의 구성은 여기서 설명된 본 발명의 범위를 제한하려는 것이 아니고, 로봇 하드웨어 어셈블리(85) 및 지지 플레이트(321)의 배향은 수직 운동 어 셈블리(95)의 원하는 수직 스트로크(stroke) 및/또는 원하는 구조적 단단함을 이루도록 이루어질 수 있다. Embodiments described herein employ prior art designs configured to lift robotic components by components that must be folded, shortened or retracted back into itself to reach the vertical position of the lowest position. It generally has advantages over it. The lowest position of the robot is problematic because it is limited by the size and orientation of the vertical motion component that must be folded back, shortened or retracted itself into its original position, due to the collision of the vertical motion component. The position of the vertical components of the prior art, when it can no longer be retracted, is called "dead space" or "solid height", which means that the lowest robot position of the retracted component Because you are limited by height. In general, the embodiments described herein avoid this problem, since the bottom of one or more mobile robotic assemblies 86 is not supported below by the components of the vertical motion assembly 95 and thus the lowest position is only robot hardware. This is because it is limited only by the size of the assembly 85 components and the length of the linear rail 574. In one embodiment, as shown in FIGS. 13A-13B, the robotic assembly is supported in a cantilever fashion by a support plate 321 mounted to the vertical slide assembly 577. The components of the robot hardware assembly 85 and the configuration of the support plate 321 as shown in FIGS. 10C-10E are not intended to limit the scope of the invention described herein, but rather the robot hardware assembly 85 and the support plate ( The orientation of 321 may be made to achieve the desired vertical stroke and / or desired structural rigidity of the vertical motion assembly 95.

또한, 여기서 설명된 수직 운동 어셈블리(95)의 실시예는 자체적으로 다시 접히거나, 단축되거나 또는 수축되어야 하는 것과 같은 종래 기술의 이동 설계를 넘는 장점을 가지는데, 이는 수직 슬라이드 어셈블리(577)를 따라 강제된 운동에 의해 로봇 하드웨어(85) 운동의 향상된 정확성 및/또는 정밀성 때문이다. 따라서, 본 발명의 일 태양에서, 로봇 하드웨어 어셈블리의 운동은 단단한 부재(예를 들어 수직 슬라이드 어셈블리(577))에 의해 안내되고, 이는 구성요소가 수직 운동 어셈블리(95)의 길이를 따라 이동할 때 구성요소에 구조적 단단함 및 위치 정확성을 제공한다. In addition, embodiments of the vertical motion assembly 95 described herein have advantages over prior art movement designs, such as needing to be folded back, shortened or retracted on their own, along the vertical slide assembly 577. This is due to the improved accuracy and / or precision of the robot hardware 85 movement by forced movement. Thus, in one aspect of the invention, the motion of the robot hardware assembly is guided by a rigid member (eg vertical slide assembly 577), which is configured when the component moves along the length of the vertical motion assembly 95. Provide structural rigidity and positional accuracy to the element.

이중 수평 운동 어셈블리 구성Dual horizontal motion assembly configuration

도 14A는 로봇 어셈블리(11)의 일 실시예를 도시하고, 이는 도 1-6에서 도시된 하나 이상의 로봇 어셈블리(11A-H)와 같이 이용될 수 있는 두 개의 수평 운동 어셈블리(90)를 이용한다. 이 구성에서, 로봇 어셈블리(11)는 대체로 로봇 하드웨어 어셈블리(85), 수직 운동 어셈블리(95) 및 두 개의 수평 운동 어셈블리(90)(예를 들어 요소 90A, 90B)를 포함한다. 따라서, 기판은 시스템 제어기(101)에 의해 보내진 명령으로부터, 로봇 하드웨어 어셈블리(85), 수직 로봇 어셈블리(95) 및 수평 로봇 어셈블리(90A-B)의 상호 운동에 의해 어떠한 원하는 x, y 및 z 방향으로 위치할 수 있다. 이 구성의 일 장점은, 이동 방향(x-방향)을 따라 수직 운동 어셈 블리(95)의 동적 운동 동안 로봇 어셈블리(11) 구조의 강성도가 이동 및 향상된 기판 처리 시간 동안 더 높은 가속으로 향상될 수 있다는 것이다. 14A shows one embodiment of the robotic assembly 11, which utilizes two horizontal motion assemblies 90 that can be used with one or more robotic assemblies 11A-H shown in FIGS. 1-6. In this configuration, the robot assembly 11 generally includes a robot hardware assembly 85, a vertical motion assembly 95 and two horizontal motion assemblies 90 (eg elements 90A, 90B). Thus, the substrate is subjected to any desired x, y and z directions by the mutual motion of the robot hardware assembly 85, the vertical robot assembly 95 and the horizontal robot assembly 90A-B from the instructions sent by the system controller 101. It can be located as. One advantage of this configuration is that the stiffness of the robot assembly 11 structure during the dynamic movement of the vertical movement assembly 95 along the direction of movement (x-direction) can be improved with higher acceleration during movement and improved substrate processing time. Is there.

일 태양에서, 수직 운동 어셈블리(95), 상부 수평 운동 어셈블리(90B) 및 하부 수평 운동 어셈블리(90A)에서 발견되는 구성요소는, 상기에서 설명된 것과 동일한 기본 구성요소를 포함하고, 따라서 유사한 번호가 적절하게 사용될 것이다. 일 태양에서, 수직 운동 어셈블리(95)는, 수평 운동 어셈블리(90A, 90B)의 각각에 보유된 운동 어셈블리(442)를 이용하여 x-방향을 따라 위치한 상부 슬레드 마운트(451B) 및 하부 슬레드 마운트(451A)에 연결된다. 로봇 어셈블리(11)의 다른 실시예에서, 하나의 수평 운동 어셈블리(예를 들어 요소 90A) 및 다른 수평 운동 어셈블리(예를 들어 요소 90B)에 장착된 단일 운동 어셈블리(442)는 수직 운동 어셈블리(95)의 일단부를 안내하는 지지부로서 작용한다. In one aspect, the components found in the vertical motion assembly 95, the upper horizontal motion assembly 90B and the lower horizontal motion assembly 90A include the same basic components as described above, and therefore like numbers Will be used as appropriate. In one aspect, the vertical movement assembly 95 includes an upper sled mount 451B and a lower sled positioned along the x-direction using the movement assembly 442 held in each of the horizontal movement assemblies 90A, 90B. It is connected to mount 451A. In another embodiment of the robotic assembly 11, a single movement assembly 442 mounted to one horizontal movement assembly (eg element 90A) and another horizontal movement assembly (eg element 90B) is a vertical movement assembly 95. Acts as a support to guide one end of

기판 그룹화Board Grouping

소유 비용(CoO)을 감소시키고 시장에서 더욱 경쟁적이 되기 위한 노력으로, 전자 기구 제작자는 처리 순서 및 챔버 처리 시간을 최적화하는데 많은 시간을 소비하고, 이에 의해 가능한 주어진 클러스터 툴 아키텍처 제한 및 챔버 처리 시간을 통해 가장 뛰어난 기판을 얻는다. 많은 처리 단계를 가지고 짧은 챔버 처리 시간을 갖는 처리 순서에서, 기판을 처리하는데 걸리는 시간의 중요한 부분은 다양한 챔버들 사이의 클러스터 툴에서 기판을 이동시키는 과정이 차지한다. 클러스터 툴(10)의 일 실시예에서, CoO는 기판을 그룹화하고 둘 이상의 그룹으로 기판을 이 동시키고 처리함에 의해 감소된다. 따라서, 이러한 평행한 처리 형태는 시스템 처리량을 증가시키고 로봇 운직임의 수를 감소시키며 처리 챔버들 사이에서 기판의 배치(batch)를 이동시킬 수 있게 해야 하며, 따라서 로봇에서의 마멸을 감소시키고 시스템 신뢰도를 증가시킨다. In an effort to reduce cost of ownership (CoO) and become more competitive in the marketplace, electronics manufacturers spend a lot of time optimizing processing sequences and chamber processing times, thereby eliminating possible cluster tool architecture limitations and chamber processing times. Get the best substrate through In processing sequences with many processing steps and short chamber processing times, an important part of the time it takes to process the substrate is occupied by moving the substrate in the cluster tool between the various chambers. In one embodiment of the cluster tool 10, CoO is reduced by grouping the substrates and moving and processing the substrates into two or more groups. Thus, this parallel processing form should increase system throughput, reduce the number of robotic operations, and allow the placement of substrates between processing chambers, thus reducing wear and tear on the robot and system reliability. To increase.

클러스터 툴(10)의 일 실시예에서, 전단부 로봇 어셈블리(15), 로봇 어셈블리(11)(예를 들어 요소 11A, 11B 등, 도 1-6) 및/또는 후방 로봇 어셈블리(40)는 둘 이상의 그룹으로 기판을 이동시키도록 이루어질 수 있고, 이에 의해 기판을 평행하게 처리함에 의해 시스템 처리량을 향상시킨다. 예를 들면, 일 태양에서, 로봇 하드웨어 어셈블리(85)는 다수의 독립적으로 제어 가능한 이동 로봇 어셈블리(86A, 86B)(도 10B)를 가지고, 이는 다수의 처리 챔버들로부터 하나 이상의 기판을 픽업하고 이후 다수의 이후의 처리 챔버들로 기판을 이동시키고 놓는데 이용된다. 다른 태양에서, 각각의 이동 로봇 어셈블리(86)(예를 들어 86A 또는 86B)는 개별적으로 다수의 기판을 픽업하고, 이동시키고, 내려놓도록 이루어진다. 이 경우에, 예를 들면, 두 개의 이동 로봇 어셈블리(86)를 갖는 로봇 하드웨어 어셈블리(85)는 제 1 블레이드(87A)를 이용하여 제 1 처리 챔버로부터 기판 "W"을 픽업하도록 이루어질 수 있고 이후 제 2 처리 챔버로 이동시켜 제 2 블레이드(87B)를 이용하여 기판을 픽업하며, 이에 의해 이는 그룹으로 이동되고 내려놓을 수 있다. In one embodiment of the cluster tool 10, the front end robot assembly 15, the robot assembly 11 (eg elements 11A, 11B, etc., FIGS. 1-6) and / or the rear robot assembly 40 are both It can be made to move the substrate to the above group, thereby improving the system throughput by processing the substrate in parallel. For example, in one aspect, the robot hardware assembly 85 has a number of independently controllable mobile robot assemblies 86A, 86B (FIG. 10B), which pick up one or more substrates from multiple processing chambers and then It is used to move and release the substrate into a number of subsequent processing chambers. In another aspect, each mobile robot assembly 86 (eg 86A or 86B) is configured to pick up, move, and put down multiple substrates individually. In this case, for example, a robot hardware assembly 85 having two mobile robot assemblies 86 may be made to pick up the substrate "W" from the first processing chamber using the first blade 87A and thereafter. It is moved to the second processing chamber to pick up the substrate using the second blade 87B, whereby it can be moved and put down into a group.

도 15A에서 도시된 것처럼, 로봇 어셈블리(11)의 일 실시예에서, 로봇 하드웨어 어셈블리(85)는 두 개의 로봇 하드웨어 어셈블리(85)(예를 들어 요소 85A, 85B)를 포함하고, 이는 적어도 하나의 로봇 어셈블리(86)를 가지며, 이는 원하는 거리 또는 피치만큼 이격되어 있고(요소 "A") 두 개의 다른 처리 챔버들로부터 동시에 기판을 픽업하거나 또는 내려놓도록 이루어진다. 두 개의 로봇 하드웨어 어셈블리(85) 사이의 간격 또는 피치 A는 처리 래크 중 하나에 장착된 두 개의 처리 챔버들 사이의 간격에 대응하도록 구성될 수 있고, 따라서 로봇 어셈블리(11)가 동시에 즉시 두 개의 처리 챔버에 접근하는 것을 가능하게 한다. 따라서, 이 구성은, 그룹으로 둘 이상의 기판을 이동시킬 수 있음에 의해, 기판 처리량 및 클러스터 툴 신뢰도를 향상시킨다는 특별한 장점을 가진다. As shown in FIG. 15A, in one embodiment of the robot assembly 11, the robot hardware assembly 85 includes two robot hardware assemblies 85 (eg, elements 85A, 85B), which are at least one. It has a robotic assembly 86, which is spaced apart by a desired distance or pitch (element “A”) and is adapted to pick up or lower a substrate simultaneously from two different processing chambers. The spacing or pitch A between the two robotic hardware assemblies 85 can be configured to correspond to the spacing between two processing chambers mounted in one of the processing racks, so that the robot assembly 11 can simultaneously process two It makes it possible to access the chamber. Thus, this configuration has the particular advantage of improving substrate throughput and cluster tool reliability by being able to move two or more substrates in groups.

로봇 블레이드 하드웨어 구성Robot Blade Hardware Configuration

도 16A-16D는 로봇 블레이드 어셈블리(900)의 일 실시예를 도시하고, 이는 기판이 로봇 어셈블리(11)를 이용하여 클러스터 툴(10)을 통해 이동되는 동안 기판 "W"을 보유하고 지지하기 위해 여기서 설명된 실시예의 일부와 함께 이용될 수 있다. 일 실시예에서, 로봇 블레이드 어셈블리(9000)는 블레이드(87)를 대체하도록 이루어질 수 있고, 따라서 블레이드 베이스(901)에 형성된 연결 지점(요소 "CP")에서 도 10A-10E에서 도시된 제 1 풀리 시스템(355) 또는 제 2 풀리 시스템(361) 구성요소에 결합될 수 있다. 발명의 로봇 블레이드 어셈블리(900)는 기판 "W"을 지지하거나 "잡거나" 또는 제한하도록 이루어지고, 이에 의해 이동 과정 동안 기판이 겪는 가속은 기판 위치를 로봇 블레이드 어셈블리(900) 상의 공지된 위치로부터 움직이지 않게 할 것이다. 이동 과정 동안 기판의 이동은 입자를 생성시키고 로봇에 의해 기판 위치 정확도 및 반복성을 감소시킬 것이다. 최악의 경우에, 가속은 로 봇 블레이드 어셈블리(900)에 의해 기판을 떨어뜨리게 할 수 있다. 16A-16D illustrate one embodiment of a robot blade assembly 900, which is used to hold and support a substrate “W” while the substrate is moved through the cluster tool 10 using the robot assembly 11. It may be used with some of the embodiments described herein. In one embodiment, the robot blade assembly 9000 can be configured to replace the blade 87 and thus the first pulley shown in FIGS. 10A-10E at the connection point (element "CP") formed in the blade base 901. It may be coupled to system 355 or second pulley system 361 components. The robot blade assembly 900 of the invention is configured to support, "hold" or limit the substrate "W" whereby the acceleration experienced by the substrate during the movement process moves the substrate position from a known position on the robot blade assembly 900. Will not be. Movement of the substrate during the migration process will produce particles and reduce substrate position accuracy and repeatability by the robot. In the worst case, the acceleration may cause the substrate to be dropped by the robot blade assembly 900.

기판이 겪는 가속은 3개의 구성요소로 분리될 수 있다: 수평 방사방향 가속 구성요소, 수평 축방향 가속 구성요소, 수직 가속 구성요소. 기판이 겪는 가속은, 기판이 클러스터 툴(10)을 통한 기판 이동 동안 X, Y 및 Z 방향으로 가속되거나 감속됨에 따라 생성된다. 도 16A를 참고하면, 수평 방사방향 가속 구성요소 및 수평 축방향 가속 구성요소는 각각 힘(FA 및 FR)로 도시된다. 이 힘은 기판의 질량에 기판의 가속을 곱한 값에서 기판 및 로봇 블레이드 어셈블리(900) 구성요소 사이에서 발생하는 어떠한 마찰력을 뺀 값과 관련된다. 상기에서 설명된 실시예에서, 방사 방향 가속은 기판이 이동 로봇 어셈블리(86)에 의해 위치로 회전될 때 대체로 발생되고 양 방향으로(즉, +Y 또는 -Y 방향) 작용할 수 있다. 축방향 가속은 기판이 수평 운동 어셈블리(90)에 의해 및/또는 이동 로봇 어셈블리(86)의 운동에 의해 X-방향으로 위치할 때 대체로 발생되고 양 방향으로 작용할 수 있다(즉, +X 또는 -X 방향). 수직 방향 가속은 기판이 수직 운동 어셈블리(95)에 의해 Z-방향으로 위치할 때 대체로 발생되고, 양 방향으로(즉, +Z 또는 -Z 방향) 작용할 수 있거나 또는 캔틸레버 유발된 구조적 진동으로 작용할 수 있다. The acceleration experienced by the substrate can be separated into three components: a horizontal radial acceleration component, a horizontal axial acceleration component, and a vertical acceleration component. The acceleration experienced by the substrate is generated as the substrate is accelerated or decelerated in the X, Y and Z directions during substrate movement through the cluster tool 10. Referring to FIG. 16A, the horizontal radial acceleration component and the horizontal axial acceleration component are shown as forces F A and F R , respectively. This force is related to the mass of the substrate multiplied by the acceleration of the substrate minus any frictional force generated between the substrate and the robot blade assembly 900 components. In the embodiment described above, radial acceleration is generally generated when the substrate is rotated to position by the mobile robot assembly 86 and can act in both directions (ie, + Y or -Y direction). Axial acceleration is generally generated and can work in both directions when the substrate is positioned in the X-direction by the horizontal motion assembly 90 and / or by the movement of the mobile robot assembly 86 (ie, + X or −). X direction). Vertical acceleration is generally generated when the substrate is positioned in the Z-direction by the vertical motion assembly 95 and can act in both directions (ie, + Z or -Z directions) or can act as cantilever induced structural vibrations. have.

도 16A는 기판 "W"을 지지하도록 이루어진 로봇 블레이드 어셈블리(900)의 일 실시예의 개략 평면도이다. 로봇 블레이드 어셈블리(900)는 블레이드 베이스(901), 액츄에이터(910), 브레이크 메커니즘(920), 위치 센서(930), 클램프 어셈블리(905), 하나 이상의 반응 부재(908)(예를 들어 하나가 도시됨), 및 하나 이상 의 기판 지지 구성요소(909)를 대체로 포함한다. 클램프 어셈블리(clamp assembly, 905)는 클램프 플레이트(906) 상에 장착된 하나 이상의 접촉 부재(907)(즉, 도 16A에서 도시된 두 개의 접촉 부재) 또는 클램프 플레이트(906)를 대체로 포함한다. 클램프 플레이트(906), 접촉 부재(907), 반응 부재(908) 및 블레이드 베이스(901)는 금속(예를 들어 알루미늄, 니켈 코팅된 알루미늄, SST), 세라믹 물질(예를 들어 실리콘 카바이드), 또는 플라스틱 물질로 만들어질 수 있고, 이는 이동 과정 동안 로봇 블레이드 어셈블리(900)가 경험하는 가속(예를 들어 10-30m/s2)을 신뢰성 있게 견딜 수 있을 것이고 기판과의 상호작용에 의해 입자를 생성하거나 끌어당기지 않을 것이다. 도 16B는 도 16A에서 도시된 로봇 블레이드 어셈블리(900)의 측면 개략 단면도이고, 이는 로봇 블레이드 어셈블리(900)의 중심을 통해 분할된다. 명확성을 위해 도 16B의 단면 평면 뒤에 위치한 구성요소는, 브레이크 어셈블리(930)가 이 도에서 나타나는 동안 남겨져 있다(left out)(예를 들어 접촉 부재 907). 16A is a schematic top view of one embodiment of a robot blade assembly 900 configured to support a substrate “W”. The robot blade assembly 900 includes a blade base 901, an actuator 910, a brake mechanism 920, a position sensor 930, a clamp assembly 905, one or more reaction members 908 (eg one shown). And one or more substrate support components 909. Clamp assembly 905 generally includes one or more contact members 907 (ie, two contact members shown in FIG. 16A) or clamp plate 906 mounted on clamp plate 906. The clamp plate 906, the contact member 907, the reaction member 908 and the blade base 901 may be made of metal (eg aluminum, nickel coated aluminum, SST), ceramic material (eg silicon carbide), or It can be made of plastic material, which can reliably withstand the acceleration (e.g. 10-30 m / s 2 ) experienced by the robot blade assembly 900 during the movement process and generate particles by interaction with the substrate. Or won't pull. FIG. 16B is a side schematic cross-sectional view of the robot blade assembly 900 shown in FIG. 16A, which is split through the center of the robot blade assembly 900. For clarity, the components located behind the cross-sectional plane of FIG. 16B are left out while the brake assembly 930 is shown in this figure (eg, contact member 907).

도 16A 및 16B를 참고하면, 사용시 기판 "W"은, 클램프 어셈블리(905)의 접촉 부재(907)를 통해 액츄에이터(910)에 의해 기판 "W"으로 전달되는 지지력에 의해 반응 부재(908)의 보유 표면(908B)에 대해 프레스된다. 일 태양에서, 접촉 부재(907)는 보유 표면(908B)에 대해 기판 "W"의 에지 "E"를 가압하고 접촉하도록 이루어진다. 일 태양에서, 지지력은 약 0.01 내지 약 3 킬로그램 힘(kgf)일 수 있다. 일 실시예에서, 도 16A에서 도시된 것처럼, 접촉 부재(907)를 각거리 "A"로 떨어져서 분포시키는 것이 바람직하고, 이에 의해 기판이 로봇 어셈블리(11)에 의해 이동될 때 축방향 및 방사방향 지지력을 기판에 제공한다. Referring to FIGS. 16A and 16B, in use, the substrate “W” is formed of the reaction member 908 by a bearing force transmitted by the actuator 910 to the substrate “W” through the contact member 907 of the clamp assembly 905. Pressed against the retention surface 908B. In one aspect, the contact member 907 is adapted to press and contact the edge “E” of the substrate “W” against the retention surface 908B. In one aspect, the bearing force can be about 0.01 to about 3 kilogram force (kgf). In one embodiment, it is desirable to distribute the contact member 907 at an angular distance "A" as shown in FIG. 16A, whereby axial and radial bearing forces when the substrate is moved by the robot assembly 11. To the substrate.

로봇 블레이드 어셈블리(900)를 이용하여 클러스터 툴(10)을 통해 신뢰성 있게 이동될 수 있도록 기판을 제한하는 공정은, 완료시까지 3개의 단계를 대체로 필요로 할 것이다. 이하에서 설명된 하나 이상의 단계는 여기서 설명된 본 발명의 기본 범위를 변경시키지 아니한 채 동시에 또는 순차적으로 완료될 수 있다. 기판을 픽업하는 공정의 시작 이전에, 클램프 어셈블리(905)는 +X 방향(미도시)으로 수축된다. 기판이 기판 지지 구성요소(예를 들어 도 11A-11I에서 요소 532A, 도 2A, 3A 등에서의 소통 위치(9A-H))로부터 픽업될 때 제 1 단계가 시작되고, 이에 의해 기판은 각각 기판 지지 구성요소(909) 및 반응 부재(908) 상의 기판 지지면(908A, 909A) 상에 놓인다. 다음으로, 기판이 반응 부재(908) 및 클램프 어셈블리(905)의 접촉 부재(907)를 통해 액츄에이터(910)에 의해 기판"W"으로 전달되는 지지력(F1)에 의해 로봇 블레이드 어셈블리(900) 상에서 제한될 때까지, 클램프 어셈블리(905)가 -X 방향으로 이동된다. 마지막 단계에서, 클램프 어셈블리(905)는 브레이크 메커니즘(920)에 의해 위치에서 지지되거나 또는 "고정(locked)"되고 이에 의해 이동 처리 과정 동안 기판의 가속이 지지력(F1)을 상당히 변경시키는 것을 막고 따라서 지지면에 대해 기판을 이동을 시킨다. 브레이크 메커니즘(920)이 클러스터 툴(10)를 제한한 이후, 기판은 클러스터 툴(10)에서 다른 지점으로 이동될 수 있다. 기판을 기판 지지 구성요소에 놓기 위해, 상기에서 설명된 단계가 역으로 완료될 수 있다. The process of limiting the substrate to be reliably moved through the cluster tool 10 using the robot blade assembly 900 will generally require three steps to completion. One or more of the steps described below may be completed simultaneously or sequentially without changing the basic scope of the invention described herein. Prior to the start of the process of picking up the substrate, the clamp assembly 905 is retracted in the + X direction (not shown). The first step begins when the substrate is picked up from the substrate support component (e.g., communication positions 9A-H in elements 532A, 2A, 3A, etc. in FIGS. 11A-11I), whereby the substrates each support the substrate. It lies on the substrate support surfaces 908A, 909A on the component 909 and the reaction member 908. Next, the substrate is mounted on the robot blade assembly 900 by a bearing force F1 transmitted by the actuator 910 to the substrate " W " through the contact member 907 of the reaction member 908 and the clamp assembly 905. Until limited, the clamp assembly 905 is moved in the -X direction. In the last step, the clamp assembly 905 is supported or "locked" in position by the brake mechanism 920, thereby preventing the acceleration of the substrate during the transfer process significantly changing the bearing force F1 and thus The substrate is moved relative to the support surface. After the brake mechanism 920 restricts the cluster tool 10, the substrate may be moved to another point in the cluster tool 10. In order to place the substrate on the substrate support component, the steps described above may be completed in reverse.

로봇 블레이드 어셈블리(900)의 일 태양에서, 브레이크 메커니즘(920)은 이동 과정 동안 적어도 한 방향(예를 들어 +X 방향)으로 클램프 어셈블리(905)의 이동을 제한하도록 이루어진다. 클램프 어셈블리(905)에 의해 공급되는 지지력(F1)과 반대 방향으로 클램프 어셈블리(905)의 운동을 제한하는 능력은, 수평 축방향 가속이 지지력을 상당히 감소시키게 하는 것을 막을 것이고 따라서 기판은 주위를 돌게 될 것이며 이는 입자를 생성할 수 있으며, 또는 이동 과정 동안 블레이드 어셈블리(9000)에 의해 떨어지는 것을 막을 것이다. 다른 태양에서, 브레이크 메커니즘(920)은 적어도 두 방향(예를 들어 +X 및 -X 방향)으로 클램프 어셈블리(905)의 이동을 제한하도록 이루어진다. 이러한 구성에서, 지지력(F1) 방향에 평행한 방향으로 클램프 어셈블리의 이동을 제한하는 능력은, 수평 축방향 가속이, 기판 파손 또는 칩핑(chipping)을 일으킬 수 있는 지지력을 상당히 증가시키는 것을 막고, 또는 입자를 생성하거나 또는 기판이 떨어지는 것을 일으킬 수 있는 지지력이 상당히 감소하는 것을 막는다. 또 다른 실시예에서, 브레이크 메커니즘(905)은, 기판의 이동을 막거나 최소화하도록 클램프 어셈블리(905)의 모든 6 자유도(all six degree of freedom)를 제한하도록 이루어진다. 원하는 방향으로 클램프 어셈블리(905)의 이동을 제한하는 능력은, 클램프 어셈블리(905)의 운동을 제한하도록 이루어진 구성요소를 이용함에 의해 수행될 수 있다. 클램프 어셈블리(905)의 움직임을 제한하는데 이용될 수 있는 일반적인 구성요소는 종래의 래칭(latching) 메커니즘(예를 들어 도어 래치 형태 메커니즘) 또는 다른 유사한 기구를 포함할 수 있다. 일 태양에서, 클램프 어셈블리(905) 움직임은 메커니즘에 의해 제한되는데, 이 메커니즘은 이하에서 설명되는 대향 브레이크 어셈블리(920A)와 같은 제한력(도 16A에서 요소 F2)을 가한다. In one aspect of the robot blade assembly 900, the brake mechanism 920 is configured to restrict movement of the clamp assembly 905 in at least one direction (eg, + X direction) during the movement process. The ability to limit the movement of the clamp assembly 905 in the direction opposite to the bearing force F1 supplied by the clamp assembly 905 will prevent the horizontal axial acceleration from significantly reducing the bearing force and thus allow the substrate to rotate around. This may produce particles or prevent them from falling by the blade assembly 9000 during the movement process. In another aspect, the brake mechanism 920 is configured to limit the movement of the clamp assembly 905 in at least two directions (eg, + X and -X directions). In this configuration, the ability to limit the movement of the clamp assembly in a direction parallel to the direction of bearing force F1 prevents the horizontal axial acceleration from significantly increasing the bearing force that may cause substrate breakage or chipping, or It prevents a significant reduction in bearing capacity, which can produce particles or cause the substrate to fall. In another embodiment, the brake mechanism 905 is configured to limit all six degree of freedom of the clamp assembly 905 to prevent or minimize movement of the substrate. The ability to limit movement of the clamp assembly 905 in the desired direction can be performed by using a component configured to limit the movement of the clamp assembly 905. General components that may be used to limit the movement of the clamp assembly 905 may include conventional latching mechanisms (eg door latch type mechanisms) or other similar mechanisms. In one aspect, the movement of the clamp assembly 905 is limited by a mechanism, which applies a limiting force (element F2 in FIG. 16A), such as the opposing brake assembly 920A described below.

일 실시예에서, 위치 센서(930)는 클램프 어셈블리(905)의 위치를 감지하는데 이용되고, 이에 의해 제어기(101)는 이동 과정 동안 어느 시간에서든지 블레이드 어셈블리(900)의 상태를 결정할 수 있다. 일 태양에서, 위치 센서(930)는 블레이드 어셈블리(900) 상에 기판이 위치하지 않는다는 것 또는 액츄에이터(910)에 의해 전달되는 힘으로부터 클램프 플레이트(906)의 위치에 의해 -X 방향으로 클램프 플레이트(906)가 멀리 이동하는 것을 나타냄에 의해 기판이 지지면(요소 908A 및 909A) 상에 잘못 위치한 것을 감지하도록 이루어진다. 유사하게, 위치 센서(930) 및 제어기(101)는, 기판이 존재할 때 상응하는 수용 가능한 위치의 범위 내에 클램프 플레이트(906) 위치가 있음을 나타냄에 의해 기판이 존재하는지 감지하도록 이루어질 수 있다. 일 태양에서, 위치 센서(930)는 원하는 지점에 위치한 다수의 광학 위치 센서, 선형 가변성 변위 변환기(linear variable displacement transducer, LVDT) 또는 다른 상당한 위치 감지 기구로 이루어지고, 이는 클램프 플레이트(906)의 수용 가능한 위치 및 수용 불가능한 위치를 구별하는데 이용될 수 있다. In one embodiment, the position sensor 930 is used to sense the position of the clamp assembly 905, whereby the controller 101 can determine the state of the blade assembly 900 at any time during the movement process. In one aspect, the position sensor 930 is a clamp plate (-X) in the -X direction due to the absence of a substrate on the blade assembly 900 or the position of the clamp plate 906 from the force transmitted by the actuator 910. By indicating that 906 is moving away, it is made to detect that the substrate is misplaced on the support surfaces (elements 908A and 909A). Similarly, position sensor 930 and controller 101 may be configured to detect the presence of the substrate by indicating that the clamp plate 906 position is within a range of corresponding acceptable positions when the substrate is present. In one aspect, the position sensor 930 consists of a plurality of optical position sensors, linear variable displacement transducers (LVDTs), or other significant position sensing devices located at desired points, which accommodate the clamp plate 906. It can be used to distinguish between possible and unacceptable locations.

도 16C는 블레이드 어셈블리(요소 900A)의 일 실시예의 평면도를 개략적으로 도시하고, 이 어셈블리는 대향 브레이크 어셈블리(920A)를 가지며, 이 브레이크 어셈블리는 도 16A에서 브레이크 어셈블리(920)의 개략적 표시를 대신한다. 대향 브레이크 어셈블리(920A)는 기판 이동 과정 동안 클램프 플레이트(906)의 위치를 제 한하도록 이루어진다. 도 16C에서 도시된 실시예는 도 16A-B에서 도시된 구성과 유사하나, 대향 브레이크 어셈블리(920A), 액츄에이터 어셈블리(910A) 및 다양한 지지 구성요소를 추가하였다는 점이 다르며, 명확성을 위해 유사한 요소 번호가 적절하게 이용되었다. 로봇 블레이드 어셈블리(900A)의 실시예는 블레이드 베이스(901), 액츄에이터 어셈블리(910A), 대향 브레이크 메커니즘(920A), 위치 센서(930), 클램프 어셈블리(905), 반응 부재(908) 및 기판 지지 구성요소(909)를 대체로 포함한다. 일 실시예에서, 클램프 플레이트(906)는, 원하는 방향(예를 들어 x-방향)으로 클램프 플레이트(906)의 운동을 정렬하고 제한하도록 블레이드 베이스(901)에 부착된 선형 슬라이드(미도시) 상에 장착된다. FIG. 16C schematically shows a top view of one embodiment of a blade assembly (element 900A), which has an opposing brake assembly 920A, which replaces the schematic representation of the brake assembly 920 in FIG. 16A. . The opposing brake assembly 920A is configured to limit the position of the clamp plate 906 during the substrate movement process. The embodiment shown in Fig. 16C is similar to the configuration shown in Figs. 16A-B, except that the opposing brake assembly 920A, the actuator assembly 910A and various supporting components have been added, and similar element numbers for clarity. Was used appropriately. Embodiments of the robot blade assembly 900A include a blade base 901, an actuator assembly 910A, an opposing brake mechanism 920A, a position sensor 930, a clamp assembly 905, a reaction member 908 and a substrate support configuration. Generally includes an element 909. In one embodiment, the clamp plate 906 is mounted on a linear slide (not shown) attached to the blade base 901 to align and limit the movement of the clamp plate 906 in the desired direction (eg, x-direction). Is mounted on.

일 실시예에서, 액츄에이터 어셈블리(910A)는 액츄에이터(911), 액츄에이터 결합 샤프트(911A), 결합 부재(912), 안내 어셈블리(914), 연결 부재(915), 및 연결 부재(915)를 통해 클램프 플레이트(906) 및 결합 부재(912)에 연결되는 연결 플레이트(916)를 포함한다. 결합 부재(912)는 종래의 결합 조인트 또는 "플로팅 조인트(floating joint)"일 수 있고, 이는 다양한 운동 제어 구성요소를 서로 연결시키는데 공통적으로 이용된다. 일 실시예에서, 연결 플레이트(916)는 액츄에이터(911)의 액츄에이터 결합 샤프트(911A)에 직접 연결된다. 안내 어셈블리(914)는 선형 슬라이드 어셈블리 또는 볼 베어링 슬라이드일 수 있고, 이는 연결 플레이트(916)에 연결되어 연결 플레이트 및 클램프 플레이트(906)의 운동을 정렬시키고 안내한다. 액츄에이터(911)는, 결합 샤프트(911A), 결합 부재(912), 연결 부재(915) 및 연결 플레이트(916)를 이동시킴에 의해 클램프 플레이트(906)를 위치시 키도록 이루어진다. 일 태양에서, 액츄에이터(911)는 에어 실린더, 선형 모터, 또는 다른 상당한 위치시키고 힘을 전달하는 기구이다. In one embodiment, actuator assembly 910A is clamped through actuator 911, actuator coupling shaft 911A, coupling member 912, guide assembly 914, connecting member 915, and connecting member 915. And a connecting plate 916 connected to the plate 906 and the coupling member 912. Coupling member 912 may be a conventional coupling joint or "floating joint", which is commonly used to connect various motion control components to each other. In one embodiment, the connecting plate 916 is directly connected to the actuator coupling shaft 911A of the actuator 911. The guide assembly 914 can be a linear slide assembly or a ball bearing slide, which is connected to the connecting plate 916 to align and guide the movement of the connecting plate and the clamp plate 906. The actuator 911 is configured to position the clamp plate 906 by moving the coupling shaft 911A, the coupling member 912, the coupling member 915, and the coupling plate 916. In one aspect, actuator 911 is an air cylinder, linear motor, or other substantial positioning and force transmitting mechanism.

일 실시예에서, 대향 브레이크 어셈블리(920A)는 브레이크 접촉 부재(922)에 결합되고 블레이드 베이스(901)에 연결된 액츄에이터를 포함한다. 이 구성에서, 대향 브레이크 어셈블리(921A)는 대향 브레이크 어셈블리(920A)에 의해 생성되는 제한력(F2)에 의해 클램프 플레이트(906)를 제한하거나 또는 "고정"시키도록 이루어진다. 일 실시예에서, 액츄에이터(921)가 연결 플레이트(916)에 대해 브레이크 접촉 부재(922)를 가압할 때(요소 F3), 제한력(F2)이 연결 플레이트(916) 및 브레이크 접촉 부재(922) 사이에 형성된 마찰력에 의해 생성된다. 이 구성에서 안내 어셈블리(914)는 액츄에이터(921)에 의해 전달되는 브레이크힘(F3)으로부터 생성되는 측부 로드를 수용하도록 설계된다. 클램프 플레이트(906)를 지지하는 생성된 제한력(F2)은, 브레이크힘(F3)에 브레이크 접촉 부재(922) 및 연결 플레이트(916) 사이에서 발생하는 정적 마찰 계수를 곱한 값과 동일하다. 액츄에이터(921)의 크기, 브레이크 접촉 부재(922) 및 연결 플레이트(916) 물질, 및 표면 피니쉬(finish)의 선택은, 생성된 제한력이 이동 과정 동안 기판의 가속 동안 발생하는 어떤 힘보다 항상 큰 것을 보장하도록 최적화될 수 있다. 일 태양에서, 발생된 제한력(F2)은 약 0.5 내지 약 3.5 킬로그램-힘(kgf)의 범위에 있다. 일 태양에서, 브레이크 접촉 부재(922)는, 폴리우레탄, 에틸렌-프로필렌 고무(EPDM), 천연 고무, 부틸 고무 또는 다른 적절한 폴리머릭(polymeric) 물질과 같은 고무 또는 폴리머릭 물질로 만들어질 수 있고, 연결 플레이트(916)는 알루미늄 합금 또는 스테인리스강 합금으로 만들어진다. 일 태양에서, 도시되지는 않았으나, 액츄에이터(911)의 결합 샤프트(911A)는 클램프 플레이트(906)에 직접 결합되고, 대향 브레이크 어셈블리(920A)의 브레이크 접촉 부재(922)는 결합 샤프트(911A) 또는 클램프 플레이트와 접촉하도록 이루어지고 이에 의해 이의 운동을 막는다. In one embodiment, the opposing brake assembly 920A includes an actuator coupled to the brake contact member 922 and coupled to the blade base 901. In this configuration, the opposite brake assembly 921A is configured to limit or "lock" the clamp plate 906 by the limiting force F2 generated by the opposite brake assembly 920A. In one embodiment, when the actuator 921 presses the brake contact member 922 against the connecting plate 916 (element F3), the limiting force F2 is applied to the connecting plate 916 and the brake contact member 922. It is created by the friction force formed between. In this configuration the guide assembly 914 is designed to receive the side rods generated from the brake force F3 transmitted by the actuator 921. The resulting limiting force F2 supporting the clamp plate 906 is equal to the brake force F3 multiplied by the static coefficient of friction occurring between the brake contact member 922 and the connecting plate 916. The size of the actuator 921, the brake contact member 922 and the connection plate 916 material, and the choice of the surface finish are such that the resulting limiting force is always greater than any force generated during acceleration of the substrate during the movement process. Can be optimized to ensure that In one aspect, the limiting force (F2) generated is in the range of about 0.5 to about 3.5 kilogram-force (kgf). In one aspect, the brake contact member 922 may be made of a rubber or polymeric material, such as polyurethane, ethylene-propylene rubber (EPDM), natural rubber, butyl rubber or other suitable polymeric material, The connecting plate 916 is made of aluminum alloy or stainless steel alloy. In one aspect, although not shown, the engagement shaft 911A of the actuator 911 is directly coupled to the clamp plate 906, and the brake contact member 922 of the opposing brake assembly 920A is coupled to the engagement shaft 911A or the like. Contact with the clamp plate and thereby prevent its movement.

도 16D는 블레이드 어셈블리(900A)의 일 실시예의 평면도를 개략적으로 도시하고, 이 블레이드 어셈블리는 도 16C에서 도시된 것과 대향 브레이크 어셈블리(920A)의 다른 구성을 가진다. 이 구성에서, 대향 브레이크 어셈블리(920A)는, 일단부에서 브레이크 접촉 부재(922)에 연결되는 레어 아암(923), 레버 아암의 타단부에서 액츄에이터(921), 및 레버 아암의 양단부 사이의 일정한 위치에 위치한 피봇 지점 "P"을 포함한다. 일 태양에서, 피봇 지점은 블레이드 베이스(901)에 연결되고 레버 아암(923)을 지지하도록 이루어지며, 브레이크 접촉 부재(922)로서 액츄에이터(921)로부터 레버 아암(923)으로 공급되는 힘(F4)은 연결 플레이트(916)에 대해 가압된다. 이 구성에서, 피봇 지점 "P"을 전략적으로 위치시킴에 의해 기계적 장점이 레버 아암(923)의 이용에 의해 발생될 수 있고, 이 레버 아암은 브레이크힘(F3) 및 제한력(F2)을 공급하는데 이용될 수 있으며, 제한력은 액츄에이터(921)의 구성요소를 생성하는 힘과 직접 접촉함에 의해 얻어지는 힘을 초과한다. FIG. 16D schematically illustrates a top view of one embodiment of blade assembly 900A, which blade assembly has another configuration of opposing brake assembly 920A as shown in FIG. 16C. In this configuration, the opposing brake assembly 920A has a constant position between the rare arm 923, which is connected to the brake contact member 922 at one end, the actuator 921 at the other end of the lever arm, and both ends of the lever arm. It includes a pivot point "P" located at. In one aspect, the pivot point is connected to the blade base 901 and is adapted to support the lever arm 923, and the force F4 supplied from the actuator 921 to the lever arm 923 as the brake contact member 922. Is pressed against the connecting plate 916. In this configuration, by strategically positioning the pivot point “P” a mechanical advantage can be generated by the use of lever arm 923, which lever arm supplies brake force F3 and limiting force F2. The limiting force exceeds the force obtained by direct contact with the force that generates the components of the actuator 921.

도 16D는 블레이드 어셈블리(900A)의 일 실시예를 도시하고, 이 블레이드 어셈블리는 블레이드 어셈블리(900A) 상에 기판의 존재 또는 부존재를 감지하는 것을 돕도록 클램프 플레이트(906) 및 연결 부재(915) 사이에 위치한 순응 부재(917)를 포함한다. 순응 부재는 위치 센서(930) 및 제어기(101)와 함께 이용되는 추가적인 자유도를 대체로 부가하고, 이에 의해 제한력(F2)이 연결 플레이트(916)에 가해지는 경우에 블레이드 어셈블리(900A) 상에 기판이 존재하는지 부존재하는지 감지한다. 다른 자유도가 블레이드 어셈블리(900A)에 존재하지 않는다면, 클램프 플레이트(906)가 움직이는 것을 막는 제한력(F2)은 위치 센서(930) 및 제어기(101)가 기판 이동 과정 동안 또는 이전에 기판의 이동 또는 상실을 감지하는 것을 막거나 방해한다. FIG. 16D shows one embodiment of a blade assembly 900A, which blade assembly between the clamp plate 906 and the connecting member 915 to help detect the presence or absence of a substrate on the blade assembly 900A. Compliant member 917 located at. The compliant member generally adds additional degrees of freedom used with the position sensor 930 and the controller 101, whereby the substrate on the blade assembly 900A when the limiting force F2 is applied to the connecting plate 916. Detects the presence or absence of a. If no other degrees of freedom are present in the blade assembly 900A, the limiting force F2 that prevents the clamp plate 906 from moving is such that the position sensor 930 and the controller 101 may move or otherwise move the substrate during or before the substrate movement process. Prevent or interfere with detection of loss.

따라서, 일 실시예에서, 액츄에이터 어셈블리(910A)는, 액츄에이터(911), 액츄에이터 결합 샤프트(911A), 결합 부재(912), 안내 어셈블리(914), 연결 부재(915), 순응 부재(917), 클램프 플레이트 안내 어셈블리(918), 및 연결 부재(915) 및 순응 부재(917)를 통해 클램프 플레이트(906)에 그리고 결합 부재(912)에 연결된 연결 플레이트(916)를 대체로 포함한다. 클램프 플레이트 안내 어셈블리(918)는 종래의 선형 슬라이드 어셈블리 또는 볼 베어링 슬라이드이고, 이는 움직임을 정렬하고 안내하도록 클램프 플레이트(906)에 연결된다. Thus, in one embodiment, the actuator assembly 910A includes an actuator 911, an actuator coupling shaft 911A, a coupling member 912, a guide assembly 914, a connection member 915, a compliant member 917, It generally includes a clamp plate guide assembly 918 and a connecting plate 916 connected to the clamp plate 906 and to the coupling member 912 via the connecting member 915 and the compliant member 917. The clamp plate guide assembly 918 is a conventional linear slide assembly or ball bearing slide, which is connected to the clamp plate 906 to align and guide the movement.

순응 부재(917)는 스프링, 굴곡부(flexure) 또는 다른 유사한 기구와 같은 가요성 구성요소가 일반적이고, 이는 기판이 이동하거나 "상실(lost)" 될 때 위치 센서(930)에 의해 신뢰성 있게 측정될 수 있는 양으로 클램프 플레이트(906)를 움직이게 하는 지지력(F1)을 가하는 동안의 편향에 의해 생성되는 잠재 에너지의 방출시 충분한 힘을 전달할 수 있다. 일 태양에서, 순응 부재(917)는 스프링이고, 이는 지지력(F1)이 기판에 가해질 때 "솔리드 하이트"에 스프링이 도달하기에 충분 히 낮은 스프링률(spring rate)을 갖는다. 다른 태양에서, 연결 부재(915), 순응 부재(917) 및 클램프 플레이트(906)는, 지지력(F1)이 가해질 때 연결 부재(915)가 클램프 플레이트(906)와 접하게 되거나 또는 그 위에서 바닥에 이르게 되도록(bottom out) 설계된다. 이러한 형태의 구성의 일 장점은, 이동 과정 동안 지지력(F1)이 변하는 것을 막는다는 점인데, 왜냐하면 순응 부재(917)는 이동 과정 동안 기판이 겪는 가속에 의해 더 편향될 수 없기 때문이고, 이는 생성된 입자의 수를 감소시킬 것이고 기판의 상실을 막을 것이다. The compliant member 917 is typically a flexible component such as a spring, flexure or other similar mechanism, which can be reliably measured by the position sensor 930 when the substrate is moved or "lost". Sufficient force can be delivered upon release of the potential energy generated by the deflection while applying the bearing force F1 to move the clamp plate 906 in a viable amount. In one aspect, the compliant member 917 is a spring, which has a spring rate low enough for the spring to reach "solid height" when the bearing force F1 is applied to the substrate. In another aspect, the connecting member 915, the compliant member 917, and the clamp plate 906 cause the connecting member 915 to come into contact with the clamp plate 906 or reach a floor thereon when the bearing force F1 is applied. It is designed to bottom out. One advantage of this type of configuration is that it prevents the bearing force F1 from changing during the movement, since the compliant member 917 cannot be further deflected by the acceleration experienced by the substrate during the movement. It will reduce the number of particles formed and prevent the loss of the substrate.

이하의 단계는, 제한력(F2)이 연결 플레이트(916)에 가해진 이후 순응 부재(917)가 블레이드 어셈블리(900A) 상의 기판의 존재를 감지하는데 어떻게 이용될 수 있는지의 예시를 도시하려는 것이다. 제 1 단계에서, 액츄에이터(911)는, 연결 부재(915) 및 클램프 플레이트(906) 사이의 갭 "G"이 수축하게 하는 양으로 순응 부재(917)를 편향시키는 반응 부재(908) 및 클램프 어셈블리(905)의 연결 부재(907)를 통해 기판에 지지력(F1)을 가한다. 이후, 제어기(101)는, 클램프 플레이트(906)가 위치 센서(930)로부터 받은 정보를 나타내고 모니터링 함에 의해 수용 가능한 위치에 있는 것을 보장하도록 확인한다. 기판이 감지되고 블레이드 어셈블리(900A) 상의 원하는 위치에 있다면, 제한력(F2)이 지지력(F1)방향과 평행한 방향으로의 움직임을 제한하도록 연결 플레이트(916)에 가해진다. 이후, 기판이 이동하고 및/또는 "놓쳐지게(un-gripped)"되면, 지지력(F1)을 가하는 동안의 편향에 의해, 순응 부재(917)에서 생성되는 잠재 에너지는 클램프 플레이트(906)가 제한된 연결 플레이트(916)로부터 멀리 이동하게 할 것이고, 이후 이는 위치 센서(930) 및 제어기(101)에 의해 감지된다. 위치 센서(930)에 의해 나타나는 클램프 플레이트(906)의 이동은, 제어기(101)가 이동 과정을 멈추게 하는 것을 가능하게 하고 또는 이동 과정이 일어나는 것을 막는 것을 가능하게 하며, 이는 기판 및 시스템에 대한 손상을 막을 수 있다. The following steps are intended to illustrate an example of how the compliant member 917 can be used to detect the presence of a substrate on the blade assembly 900A after the limiting force F2 is applied to the connecting plate 916. In a first step, the actuator 911 includes a reaction member 908 and a clamp assembly that bias the compliant member 917 in an amount such that the gap “G” between the connecting member 915 and the clamp plate 906 contracts. The support force F1 is applied to the substrate through the connecting member 907 of 905. The controller 101 then confirms to ensure that the clamp plate 906 is in an acceptable position by displaying and monitoring the information received from the position sensor 930. If the substrate is sensed and in the desired position on the blade assembly 900A, the limiting force F2 is applied to the connecting plate 916 to limit the movement in a direction parallel to the direction of the bearing force F1. Then, if the substrate is moved and / or "un-gripped", by the deflection during the application of the bearing force F1, the potential energy generated in the compliant member 917 is limited to the clamp plate 906. Will move away from the connecting plate 916, which is then sensed by the position sensor 930 and the controller 101. Movement of the clamp plate 906 represented by the position sensor 930 enables the controller 101 to stop the movement process or prevents the movement process from occurring, which damages the substrate and the system. Can be prevented.

이전의 내용은 본 발명의 실시예를 나타내기 위한 것이고, 본 발명의 다른 그리고 추가적인 실시예는 본 발명의 기본 범위로부터 벗어나지 아니한 채 고안될 수 있으며, 이의 범위는 이하의 청구항에 의해 결정된다. The foregoing is intended to illustrate embodiments of the invention, and other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (87)

기판을 처리하기 위한 클러스터 툴로서,A cluster tool for processing substrates, 제 1 처리 래크로서,As the first treatment rack, 수직으로 쌓인 둘 이상의 처리 챔버들의 제 1 그룹; 및 A first group of two or more processing chambers stacked vertically; And 수직으로 쌓인 둘 이상의 처리 챔버들의 제 2 그룹을 포함하는 제 1 처리 래크, -상기 제 1 그룹 및 제 2 그룹의 둘 이상의 기판 처리 챔버들이 제 1 방향으로 정렬된 제 1 측부를 가짐- A first processing rack comprising a second group of two or more processing chambers stacked vertically, the two or more substrate processing chambers of the first group and the second group having a first side aligned in a first direction 상기 제 1 처리 래크에서 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 1 로봇 어셈블리로서,A first robotic assembly configured to move a substrate from said first processing rack to said substrate processing chambers, 로봇 블레이드 및 그 위에 위치한 기판 수용면을 갖는 제 1 로봇; -상기 제 1 로봇은 이동 영역을 형성하고 제 1 평면 내에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이루어져 있으며, 상기 제 1 평면은 제 1 방향 및 이 제 1 방향과 수직을 이루는 제 2 방향에 평행함-A first robot having a robot blade and a substrate receiving surface located thereon; The first robot is configured to form a movement area and to position the substrate at one or more locations generally included in the first plane, the first plane being in a first direction and a second direction perpendicular to the first direction Parallel to 상기 제 1 평면에 대체로 수직인 제 3 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 1 운동 어셈블리; 및A first movement assembly configured to position the first robot in a third direction generally perpendicular to the first plane; And 상기 제 1 방향에 대체로 평행한 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 2 운동 어셈블리; -기판이 상기 로봇 블레이드의 기판 수용면 상에 위치할 때, 상기 이동 영역은 상기 제 2 방향과 평행하고 상기 제 2 방향으로 상기 기판의 치수보다 약 5% 내지 50% 큰 폭을 가짐-A second movement assembly configured to position the first robot in a direction generally parallel to the first direction; When the substrate is located on the substrate receiving surface of the robot blade, the moving region is parallel to the second direction and has a width of about 5% to 50% greater than the dimension of the substrate in the second direction. 를 포함하는, 제 1 로봇 어셈블리를 포함하는, Including, a first robot assembly, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 1 항에 있어서,The method of claim 1, 기판 수용면을 갖는 로봇 블레이드를 갖는 제 2 로봇을 추가로 포함하고,Further comprising a second robot having a robot blade having a substrate receiving surface, 상기 제 2 로봇이 제 2 평면 내에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이루어지며, 상기 제 1 평면 및 상기 제 2 평면이 이격되어 있는, Wherein the second robot is configured to position the substrate at one or more locations generally included in a second plane, wherein the first plane and the second plane are spaced apart, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 1 항에 있어서,The method of claim 1, 상기 제 1 운동 어셈블리가, The first movement assembly, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 액츄에이터 어셈블리로서, An actuator assembly configured to vertically position the first robot, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 수직 액츄에이터; 및 이 수직 액츄에이터에 의해 상기 제 1 로봇이 이동될 때 상기 제 1 로봇을 안내하도록 이루어진 수직 슬라이드를 포함하는, A vertical actuator configured to position the first robot vertically; And a vertical slide configured to guide the first robot when the first robot is moved by the vertical actuator. 액츄에이터 어셈블리;Actuator assembly; 상기 수직 액츄에이터 및 상기 수직 슬라이더로 이루어진 그룹으로부터 선택 된 하나 이상의 구성요소를 둘러싸는 내부 영역을 갖는, 인클로저(enclosure);An enclosure having an interior region surrounding at least one component selected from the group consisting of the vertical actuator and the vertical slider; 상기 인클로저 내에서 네거티브 압력을 생성하도록 이루어지고 상기 내부 영역과 유체소통하는 팬을 추가로 포함하는, And further comprising a fan adapted to generate negative pressure in the enclosure and in fluid communication with the interior region. 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 1 항에 있어서,The method of claim 1, 상기 클러스터 툴이,The cluster tool, 제 2 처리 래크로서,As the second treatment rack, 수직으로 쌓인 둘 이상의 처리 챔버들의 제 1 그룹; 및A first group of two or more processing chambers stacked vertically; And 수직으로 쌓인 둘 이상의 처리 챔버들의 제 2 그룹을 포함하는, 제 2 처리 래크; -상기 제 1 및 제 2 그룹의 둘 이상의 기판 처리 챔버들이 제 1 방향을 따라 정렬된 제 1 측부를 가짐-A second processing rack, comprising a second group of two or more processing chambers stacked vertically; Two or more substrate processing chambers of the first and second groups having a first side aligned along a first direction 상기 제 2 처리 래크에서 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 2 로봇 어셈블리로서,A second robotic assembly configured to move a substrate from said second processing rack to said substrate processing chambers, 제 2 로봇 블레이드 및 그 위에 위치한 기판 수용면을 갖는 제 2 로봇; -제 2 로봇은 이동 영역을 형성하고 제 2 평면 내에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이루어지며, 상기 제 2 평면은 상기 제 1 방향 및 상기 제 1 방향과 수직인 제 2 방향과 평행함-A second robot having a second robot blade and a substrate receiving surface located thereon; The second robot is configured to form a movement area and to position the substrate at one or more locations generally contained within the second plane, the second plane being in a second direction perpendicular to the first direction and the first direction; Parallelism 상기 제 2 평면에 대체로 수직인 제 2 방향으로 상기 제 2 로봇을 위 치시키도록 이루어진 액츄에이터 어셈블리를 갖는 제 1 운동 어셈블리; 및A first movement assembly having an actuator assembly configured to position the second robot in a second direction generally perpendicular to the second plane; And 상기 제 1 방향과 대체로 평행한 방향으로 상기 제 2 로봇을 위치시키도록 이루어진 액츄에이터 어셈블리를 갖는 제 2 운동 어셈블리; -상기 기판이 상기 제 2 로봇 블레이드의 수용면 상에 위치할 때, 상기 제 2 이동 영역이 상기 제 2 방향으로 상기 기판의 치수보다 약 5% 내지 50% 크고 상기 제 2 방향에 평행한 폭을 가짐-A second movement assembly having an actuator assembly configured to position the second robot in a direction generally parallel to the first direction; When the substrate is located on the receiving surface of the second robot blade, the second moving area is about 5% to 50% larger than the dimension of the substrate in the second direction and has a width parallel to the second direction. Having 를 포함하는, 제 2 로봇 어셈블리를 포함하는, Including, a second robot assembly, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 4 항에 있어서,The method of claim 4, wherein 상기 클러스터 툴이,The cluster tool, 상기 제 1 처리 래크 및 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 3 로봇 어셈블리로서,A third robotic assembly configured to move a substrate into the first processing rack and the substrate processing chambers of the first processing rack, 제 3 로봇 블레이드 및 그 위에 위치한 기판 수용면을 갖는 제 3 로봇; -상기 제 3 로봇은 이동 영역을 형성하고 제 3 평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어지며, 상기 제 3 평면이 상기 제 1 방향 및 상기 제 1 방향에 수직인 제 2 방향과 평행함-A third robot having a third robot blade and a substrate receiving surface located thereon; The third robot is configured to form a movement area and to position the substrate at one or more points generally included in a third plane, the third plane being in a first direction and a second direction perpendicular to the first direction Parallel to 상기 제 3 평면에 대체로 수직인 제 3 방향으로 상기 제 2 로봇을 위치시키도록 이루어진 액츄에이터 어셈블리를 갖는 제 1 운동 어셈블리; 및A first movement assembly having an actuator assembly configured to position the second robot in a third direction generally perpendicular to the third plane; And 상기 제 1 방향에 대체로 평행한 방향으로 상기 제 2 로봇을 위치시키도록 이루어진 액츄에이터 어셈블리를 갖는 제 2 운동 어셈블리; -상기 기판이 상기 제 3 로봇 블레이드의 기판 수용면 상에 위치할 때, 상기 제 3 이동 영역이 상기 제 2 방향으로 기판 치수보다 약 5% 내지 약 50% 크고 상기 제 2 방향에 평행한 폭을 가짐-A second movement assembly having an actuator assembly configured to position the second robot in a direction generally parallel to the first direction; When the substrate is positioned on the substrate receiving surface of the third robot blade, the third moving area is about 5% to about 50% larger than the substrate dimension in the second direction and has a width parallel to the second direction. Having 를 포함하는, 제 3 로봇 어셈블리를 추가로 포함하는, Further comprising, a third robot assembly, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 기판을 처리하기 위한 클러스터 툴로서,A cluster tool for processing substrates, 수직 방향으로 쌓인 둘 이상의 기판 처리 챔버들의 둘 이상의 그룹을 포함하는 제 1 처리 래크; -상기 둘 이상의 그룹의 상기 둘 이상의 기판 처리 챔버들이 제 1 방향을 따라 정렬된 제 1 측부를 가지고 이를 통해 상기 기판 처리 챔버들에 접근함-A first processing rack comprising two or more groups of two or more substrate processing chambers stacked in a vertical direction; The two or more substrate processing chambers of the two or more groups have a first side aligned along a first direction and thereby access the substrate processing chambers 수직 방향으로 쌓인 둘 이상의 기판 처리 챔버들의 둘 이상의 그룹을 포함하는 제 2 처리 래크; -상기 둘 이상의 그룹의 상기 둘 이상의 기판 처리 챔버들이 제 1 방향을 따라 정렬된 제 1 측부를 가지고 이를 통해 상기 기판 처리 챔버들에 접근함-A second processing rack comprising two or more groups of two or more substrate processing chambers stacked in a vertical direction; The two or more substrate processing chambers of the two or more groups have a first side aligned along a first direction and thereby access the substrate processing chambers 상기 제 1 처리 래크 및 상기 제 2 처리 래크 사이에 위치하고 상기 제 1 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이 루어진 제 1 로봇 어셈블리로서,A first robotic assembly located between the first processing rack and the second processing rack and adapted to move a substrate from the first side to the substrate processing chambers of the first processing rack, 수평면 내에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이루어진 로봇;A robot configured to position the substrate at one or more locations generally included in the horizontal plane; 상기 수직 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 수직 액츄에이터 어셈블리를 갖는 수직 운동 어셈블리; 및A vertical movement assembly having a vertical actuator assembly configured to position the robot in a direction generally parallel to the vertical direction; And 상기 제 1 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 1 로봇 어셈블리;A first robotic assembly comprising a horizontal motion assembly having a motor configured to position the robot in a direction generally parallel to the first direction; 상기 제 1 처리 래크 및 상기 제 2 처리 래크 사이에 위치하고 상기 제 1 측부로부터 상기 제 2 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 2 로봇 어셈블리로서,A second robotic assembly located between the first processing rack and the second processing rack and configured to move a substrate from the first side to the substrate processing chambers of the second processing rack, 수평면 내에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이루어진 로봇;A robot configured to position the substrate at one or more locations generally included in the horizontal plane; 상기 수직 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 수직 액츄에이터 어셈블리를 갖는 수직 운동 어셈블리; 및 A vertical movement assembly having a vertical actuator assembly configured to position the robot in a direction generally parallel to the vertical direction; And 상기 제 1 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 2 로봇 어셈블리; 및A second robotic assembly comprising a horizontal motion assembly having a motor configured to position the robot in a direction generally parallel to the first direction; And 상기 제 1 처리 래크 및 상기 제 2 처리 래크 사이에 위치하고 상기 제 1 측부로부터 상기 제 2 처리 래크 또는 상기 제 1 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 3 로봇 어셈블리로서,A third located between the first processing rack and the second processing rack and configured to move a substrate from the first side to the substrate processing chambers of the first processing rack from the second processing rack or from the first side; As a robotic assembly, 수평면에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이 루어진 로봇;A robot adapted to position the substrate at one or more locations generally included in the horizontal plane; 상기 수직 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 수직 액츄에이터 어셈블리를 갖는 수직 운동 어셈블리; 및A vertical movement assembly having a vertical actuator assembly configured to position the robot in a direction generally parallel to the vertical direction; And 상기 제 1 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 3 로봇 어셈블리를 포함하는,A third robot assembly comprising a horizontal motion assembly having a motor configured to position the robot in a direction generally parallel to the first direction, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 6 항에 있어서,The method of claim 6, 상기 제 1 처리 래크, 제 2 처리 래크, 제 1 로봇 어셈블리, 제 2 로봇 어셈블리 및 제 3 로봇 어셈블리가 위치하는 처리 영역을 형성하는 하나 이상의 벽을 갖는 인클로저를 추가로 포함하고, 에어가 필터를 통해 상기 처리 영역 안으로 통과하도록 하는 팬을 갖는, And further including an enclosure having one or more walls defining a processing area in which the first processing rack, second processing rack, first robot assembly, second robot assembly, and third robot assembly are located, wherein air is passed through the filter. Having a fan to pass into the processing region, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 7 항에 있어서,The method of claim 7, wherein 상기 인클로저의 외부 위치 및 상기 제 1 처리 래크의 처리 챔버로 및 처리 챔버로부터 기판을 이동시키도록 이루어지고 상기 처리 영역에 위치한 제 4 로봇 어셈블리를 추가로 포함하는, Further comprising a fourth robotic assembly positioned in the processing region and adapted to move the substrate to and from the processing chamber of the first processing rack and an external location of the enclosure; 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 6 항에 있어서,The method of claim 6, 상기 제 1 측부로부터 상기 제 2 처리 래크 또는 상기 제 1 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어지고 상기 제 1 처리 래크 및 상기 제 2 처리 래크 사이에 위치한 제 4 로봇 어셈블리를 추가로 포함하고, A second processing rack positioned between the first processing rack and the second processing rack and adapted to move a substrate from the first side to the second processing rack or from the first side to the substrate processing chambers of the first processing rack. 4 additional robot assemblies, 이 제 4 로봇 어셈블리가, This fourth robot assembly, 수평면 내에 대체로 포함된 하나 이상의 위치에 기판을 위치시키도록 이루어진 로봇;A robot configured to position the substrate at one or more locations generally included in the horizontal plane; 상기 수직 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 수직 액츄에이터 어셈블리를 갖는 수직 운동 어셈블리; 및A vertical movement assembly having a vertical actuator assembly configured to position the robot in a direction generally parallel to the vertical direction; And 상기 제 1 방향에 대체로 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, A horizontal motion assembly having a motor configured to position the robot in a direction generally parallel to the first direction, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 6 항에 있어서,The method of claim 6, 둘 이상의 기판을 보유하도록 이루어진 카세트;A cassette configured to hold two or more substrates; 전단부 로봇 및 상기 제 1 로봇 어셈블리로부터 기판을 수용하도록 이루어진 제 1 소통 챔버;A first communication chamber configured to receive a substrate from a front end robot and the first robot assembly; 상기 전단부 로봇 및 상기 제 2 로봇 어셈블리로부터 기판을 수용하도록 이루어진 제 2 소통 챔버;A second communication chamber configured to receive a substrate from the front end robot and the second robot assembly; 상기 전단부 로봇 및 상기 제 3 로봇 어셈블리로부터 기판을 수용하도록 이루어진 제 3 소통 챔버를 추가로 포함하고,Further comprising a third communication chamber adapted to receive a substrate from the front end robot and the third robot assembly, 상기 전단부 로봇이 카세트 및 상기 제 1, 제 2, 제 3 소통 챔버들로 그리고 카세트 및 챔버들로부터 기판을 이동시키도록 이루어진, Wherein the front end robot is adapted to move the substrate to and from the cassette and the first, second and third communication chambers, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 6 항에 있어서,The method of claim 6, 상기 제 1 로봇 어셈블리의 상기 수평 운동 어셈블리, 상기 제 2 로봇 어셈블리의 상기 수평 운동 어셈블리, 및 상기 제 3 로봇 어셈블리의 상기 수평 운동 어셈블리의 각각이, Each of the horizontal motion assembly of the first robot assembly, the horizontal motion assembly of the second robot assembly, and the horizontal motion assembly of the third robot assembly, 내부 영역을 둘러싸는 베이스 및 하나 이상의 벽을 갖는 인클로저; 및An enclosure having a base and one or more walls surrounding the interior region; And 상기 인클로저의 내부 영역과 유체 소통하는 하나 이상의 팬 어셈블리를 추가로 포함하는, Further comprising one or more fan assemblies in fluid communication with the interior region of the enclosure, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 6 항에 있어서,The method of claim 6, 상기 제 1 로봇 어셈블리, 상기 제 2 로봇 어셈블리, 및 상기 제 3 로봇 어셈블리의 상기 로봇이, 기판을 수용하고 수송하도록 이루어진 로봇 블레이드; 및 상기 로봇 블레이드와 회전 소통하는 모터를 실질적으로 더 포함하는, A robot blade configured to receive and transport a substrate by the robot of the first robot assembly, the second robot assembly, and the third robot assembly; And substantially a motor in rotational communication with the robot blade. 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 6 항에 있어서,The method of claim 6, 상기 제 1 로봇 어셈블리, 상기 제 2 로봇 어셈블리, 및 상기 제 3 로봇 어셈블리의 상기 로봇이, The robot of the first robot assembly, the second robot assembly, and the third robot assembly, 제 1 단부 및 기판 수용면을 갖는 로봇 블레이드; -상기 기판 수용면은 기판을 수용하고 수송하도록 이루어짐-A robot blade having a first end and a substrate receiving surface; The substrate receiving surface is adapted to receive and transport the substrate 상기 로봇 블레이드의 제 1 단부가 주위로 회전하도록 이루어진 제 1 피봇 지점을 갖는 제 1 링키지(linkage) 부재; 및A first linkage member having a first pivot point adapted to rotate about the first end of the robot blade; And 상기 제 1 링키지 부재 및 상기 로봇 블레이드와 회전 소통하는 모터를 실질적으로 더 포함하는, Substantially further comprising a motor in rotational communication with the first linkage member and the robot blade, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 6 항에 있어서,The method of claim 6, 상기 제 1 로봇 어셈블리의 수직 운동 어셈블리, 상기 제 2 로봇 어셈블리의 수직 운동 어셈블리, 및 상기 제 3 로봇 어셈블리의 수직 운동 어셈블리 각각이, Each of the vertical motion assembly of the first robot assembly, the vertical motion assembly of the second robot assembly, and the vertical motion assembly of the third robot assembly, 내부 영역을 둘러싸는 필터 및 하나 이상의 벽을 갖는 인클로저; 및An enclosure having a filter and one or more walls surrounding the interior region; And 상기 인클로저의 내부 영역과 유체 소통하고 상기 내부 영역으로부터 상기 필터를 통해 유체를 제거하도록 이루어진 팬 어셈블리를 추가로 포함하는,Further comprising a fan assembly in fluid communication with the interior region of the enclosure and configured to remove fluid from the interior region through the filter; 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 6 항에 있어서,The method of claim 6, 상기 제 1 로봇 어셈블리, 제 2 로봇 어셈블리, 제 3 로봇 어셈블리 각각이,Each of the first robot assembly, the second robot assembly, and the third robot assembly, 내부 영역을 둘러싸는 필터 및 하나 이상의 벽을 갖는 인클로저; 및An enclosure having a filter and one or more walls surrounding the interior region; And 상기 인클로저의 내부 영역과 유체 소통하고, 상기 필터를 통해 상기 제 1, 제 2, 또는 제 3 로봇을 향해 에어가 유동하도록 이루어진 하나 이상의 팬 어셈블리를 추가로 포함하는, Further comprising at least one fan assembly in fluid communication with an interior region of the enclosure and configured to allow air to flow through the filter toward the first, second, or third robot, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 6 항에 있어서,The method of claim 6, 상기 제 1 로봇 어셈블리, 제 2 로봇 어셈블리, 제 3 로봇 어셈블리 각각이,Each of the first robot assembly, the second robot assembly, and the third robot assembly, 제 2 수평면에 기판을 위치시키도록 이루어진 제 2 로봇을 추가로 포함하고, Further comprising a second robot adapted to position the substrate on the second horizontal plane, 상기 수평면 및 상기 제 2 수평면이 이격되어 있는, Wherein the horizontal plane and the second horizontal plane are spaced apart from each other, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 6 항에 있어서,The method of claim 6, 상기 제 1, 제 2, 및 제 3 로봇 어셈블리의 상기 수직 운동 어셈블리 각각이, Each of the vertical movement assemblies of the first, second and third robotic assemblies, 수직 액츄에이터 어셈블리로서, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 수직 액츄에이터; 및 상기 제 1 로봇이 상기 수직 액츄에이터에 의해 이동될 때 상기 제 1 로봇을 안내하도록 이루어진 수직 슬라이드를 포함하는, 수직 액츄에이터 어셈블리;A vertical actuator assembly, comprising: a vertical actuator configured to vertically position the first robot; And a vertical slide configured to guide the first robot when the first robot is moved by the vertical actuator; 상기 수직 액츄에이터 및 상기 수직 슬라이드로 이루어진 그룹으로부터 선택된 하나 이상의 구성요소를 둘러싸는 내부 영역을 갖는 인클로저; 및An enclosure having an interior region surrounding at least one component selected from the group consisting of the vertical actuator and the vertical slide; And 상기 인클로저 내부에 네거티브 압력을 생성하도록 이루어지고 상기 내부 영역과 유체 소통하는 팬을 추가로 포함하는, And further comprising a fan configured to generate negative pressure inside the enclosure and in fluid communication with the interior region. 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 기판을 처리하기 위한 클러스터 툴로서,A cluster tool for processing substrates, 둘 이상의 수직으로 쌓인 기판 처리 챔버들의 둘 이상의 그룹을 포함하는 제 1 처리 래크; -상기 둘 이상의 그룹의 상기 둘 이상의 수직으로 쌓인 기판 처리 챔버들이, 제 1 방향을 따라 정렬된 제 1 측부 및 제 2 방향을 따라 정렬된 제 2 측부를 가지고 이들을 통해 상기 기판 처리 챔버들에 접근함-A first processing rack comprising two or more groups of two or more vertically stacked substrate processing chambers; The two or more vertically stacked substrate processing chambers of the two or more groups have access to the substrate processing chambers through them having a first side aligned along a first direction and a second side aligned along a second direction - 상기 제 1 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 1 로봇 어셈블리로서, 상기 제 1 로봇이,A first robotic assembly configured to move a substrate from the first side to the substrate processing chambers of the first processing rack, the first robot comprising: 수평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 1 로봇;A first robot configured to position the substrate at one or more points generally included in the horizontal plane; 상기 수직 방향에 대체로 평행한 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 모터를 갖는 수직 운동 어셈블리; 및A vertical movement assembly having a motor configured to position the first robot in a direction generally parallel to the vertical direction; And 상기 제 1 방향에 대체로 평행한 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 1 로봇 어셈블리; 및A first robotic assembly comprising a horizontal motion assembly having a motor configured to position the first robot in a direction generally parallel to the first direction; And 상기 제 2 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 2 로봇 어셈블리로서, 상기 제 2 로봇이,A second robotic assembly configured to move a substrate from the second side to the substrate processing chambers of the first processing rack, the second robot comprising: 수평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 2 로봇;A second robot configured to position the substrate at one or more points generally included in the horizontal plane; 상기 수직 방향에 대체로 평행한 방향으로 상기 제 2 로봇을 위치시키도록 이루어진 모터를 갖는 수직 운동 어셈블리; 및A vertical movement assembly having a motor configured to position the second robot in a direction generally parallel to the vertical direction; And 상기 제 1 방향에 대체로 평행한 방향으로 상기 제 2 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, 제 2 로봇 어셈블리를 포함하는, A second robotic assembly comprising a horizontal motion assembly having a motor configured to position the second robot in a direction generally parallel to the first direction; 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 18 항에 있어서,The method of claim 18, 상기 제 1 측부로터 상기 제 1 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 3 로봇 어셈블리를 포함하고, A third robotic assembly configured to move a substrate to the substrate processing chambers of the first processing rack from the first side rotor; 상기 제 3 로봇 어셈블리가, The third robot assembly, 수평면에 대체로 포함된 하나 이상의 지점에서 기판을 위치시키도록 이루어진 제 3 로봇;A third robot configured to position the substrate at one or more points generally included in the horizontal plane; 상기 수직 방향에 대체로 평행한 방향으로 상기 제 3 로봇을 위치시키도록 이루어진 모터를 갖는 수직 운동 어셈블리; 및A vertical movement assembly having a motor configured to position the third robot in a direction generally parallel to the vertical direction; And 상기 제 1 방향에 대체로 평행한 방향으로 상기 제 3 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는, A horizontal motion assembly having a motor configured to position the third robot in a direction generally parallel to the first direction, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 18 항에 있어서,The method of claim 18, 둘 이상의 수직으로 쌓인 기판 처리 챔버들의 둘 이상의 그룹을 포함하는 제 2 처리 래크; -상기 둘 이상의 수직으로 쌓인 기판 처리 챔버들의 둘 이상의 그룹이 상기 제 1 방향을 따라 정렬된 제 1 측부를 가지고 이를 통해 상기 기판 처리 챔버들에 접근함- 및A second processing rack comprising two or more groups of two or more vertically stacked substrate processing chambers; At least two groups of the at least two vertically stacked substrate processing chambers have access to the substrate processing chambers with a first side aligned along the first direction; and 상기 제 1 측부로부터 상기 제 2 처리 래크의 상기 기판 처리 챔버들로 기판을 이동시키도록 이루어진 제 1 로봇 어셈블리를 추가로 포함하는, Further comprising a first robotic assembly adapted to move a substrate from the first side to the substrate processing chambers of the second processing rack, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 18 항에 있어서,The method of claim 18, 둘 이상의 기판을 보유하도록 이루어진 카세트;A cassette configured to hold two or more substrates; 전단부 로봇 및 상기 제 1 로봇 어셈블리로부터 기판을 수용하도록 이루어진 제 1 소통 챔버;A first communication chamber configured to receive a substrate from a front end robot and the first robot assembly; 전단부 로봇 및 상기 제 2 로봇 어셈블리로부터 기판을 수용하도록 이루어진 제 2 소통 챔버; 및A second communication chamber configured to receive a substrate from a front end robot and the second robot assembly; And 상기 제 1 및 제 2 소통 챔버 및 카세트 및 카세트로부터 기판을 이동시키도록 이루어진 상기 전단부 로봇을 추가로 포함하는, Further comprising the front end robot configured to move a substrate from the first and second communication chambers and cassettes and cassettes, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 18 항에 있어서,The method of claim 18, 상기 제 1 로봇 어셈블리의 상기 수평 운동 어셈블리 및 상기 제 2 로봇 어셈블리의 상기 수평 운동 어셈블리 각각이,Each of the horizontal motion assembly of the first robot assembly and the horizontal motion assembly of the second robot assembly, 내부 영역을 둘러싸는 베이스 및 하나 이상의 벽을 갖는 인클로저; 및An enclosure having a base and one or more walls surrounding the interior region; And 상기 인클로저의 내부 영역과 유체 소통하는 하나 이상의 팬 어셈블리를 추가로 포함하는, Further comprising one or more fan assemblies in fluid communication with the interior region of the enclosure, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 18 항에 있어서,The method of claim 18, 상기 제 1 로봇 어셈블리 및 상기 제 2 로봇 어셈블리의 상기 로봇이,The robot of the first robot assembly and the second robot assembly, 기판을 수용하고 수송하도록 이루어진 로봇 블레이드; 및 상기 로봇 블레이드와 회전 소통하는 모터를 실질적으로 더 포함하는, A robot blade configured to receive and transport the substrate; And substantially a motor in rotational communication with the robot blade. 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 18 항에 있어서,The method of claim 18, 상기 제 1 로봇 어셈블리 및 상기 제 2 로봇 어셈블리의 상기 로봇이, The robot of the first robot assembly and the second robot assembly, 기판을 수용하고 수송하도록 이루어진 기판 수용면 및 제 1 단부를 갖는 로봇 블레이드;A robot blade having a first receiving end and a substrate receiving surface adapted to receive and transport the substrate; 주위로 상기 로봇 블레이드의 제 1 단부가 회전하도록 이루어진 제 1 피봇 지점을 갖는 제 1 링키지 부재; 및A first linkage member having a first pivot point configured to rotate the first end of the robot blade about; And 상기 제 1 링키지 부재 및 상기 로봇 블레이드와 회전 소통하는 모터를 실질적으로 더 포함하는, Substantially further comprising a motor in rotational communication with the first linkage member and the robot blade, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 18 항에 있어서,The method of claim 18, 상기 제 1 로봇 어셈블리의 상기 수직 운동 어셈블리 및 상기 제 2 로봇 어셈블리의 상기 수직 운동 어셈블리 각각이,Each of the vertical movement assembly of the first robotic assembly and the vertical movement assembly of the second robotic assembly, 내부 영역을 둘러싸는 필터 및 하나 이상의 벽을 갖는 인클로저; 및An enclosure having a filter and one or more walls surrounding the interior region; And 상기 인클로저의 내부 영역과 유체 소통하고 상기 내부 영역으로부터 상기 필터를 통해 유체를 제거하도록 이루어진 팬 어셈블리를 추가로 포함하는, Further comprising a fan assembly in fluid communication with the interior region of the enclosure and configured to remove fluid from the interior region through the filter; 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 18 항에 있어서,The method of claim 18, 상기 제 1 로봇 어셈블리 및 제 2 로봇 어셈블리 각각이,Each of the first robot assembly and the second robot assembly, 내부 영역을 둘러싸는 필터 및 하나 이상의 벽을 갖는 인클로저; 및An enclosure having a filter and one or more walls surrounding the interior region; And 상기 인클로저의 내부 영역과 유체 소통하고 상기 제 1, 제 2, 또는 제 3 로 봇을 향해 상기 필터를 통해 에어가 유동하도록 이루어진 하나 이상의 팬 어셈블리를 추가로 포함하는, Further comprising at least one fan assembly in fluid communication with an interior region of the enclosure and configured to allow air to flow through the filter towards the first, second, or third robot, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 제 18 항에 있어서,The method of claim 18, 상기 제 1 로봇 어셈블리 및 상기 제 2 로봇 어셈블리 각각이,Each of the first robot assembly and the second robot assembly, 제 2 수평면에 기판을 위치시키도록 이루어진 제 2 로봇을 추가로 포함하고, Further comprising a second robot adapted to position the substrate on the second horizontal plane, 상기 수평면 및 상기 제 2 수평면이 이격되어 있는,Wherein the horizontal plane and the second horizontal plane are spaced apart from each other, 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 기판을 처리하기 위한 클러스터 툴로서,A cluster tool for processing substrates, 이 클러스터 툴에 위치한 둘 이상의 기판 처리 챔버; 및Two or more substrate processing chambers located in the cluster tool; And 상기 둘 이상의 기판 처리 챔버로 기판을 이동시키도록 이루어진 제 1 로봇 어셈블리로서,A first robotic assembly configured to move a substrate into the two or more substrate processing chambers, 제 1 방향으로 기판을 위치시키도록 이루어진 제 1 로봇으로서,A first robot configured to position a substrate in a first direction, 기판을 수용하고 수송하도록 이루어진 기판 수용면 및 제 1 단부를 갖는 로봇 블레이드;A robot blade having a first receiving end and a substrate receiving surface adapted to receive and transport the substrate; 제 1 피봇 포인트 및 제 2 피봇 포인트를 갖는 제 1 링키지 부 재;A first linkage member having a first pivot point and a second pivot point; 상기 제 2 피봇 포인트에서 상기 제 1 링키지 부재에 회전식으로 결합된 모터;A motor rotatably coupled to the first linkage member at the second pivot point; 상기 제 1 피봇 포인트에서 상기 제 1 링키지 부재에 회전식으로 결합되고 상기 로봇 블레이드의 제 1 단부에 부착된 제 1 기어; 및A first gear rotatably coupled to the first linkage member at the first pivot point and attached to a first end of the robot blade; And 상기 제 1 기어에 대한 제 2 기어의 기어비가 약 3:1 내지 약 4:3인, 상기 제 1 링키지의 상기 제 2 피봇 지점과 동심적으로 정렬되고 상기 제 1 기어에 회전식으로 결합된 제 2 기어를 포함하는 제 1 로봇;A second coaxially aligned with the second pivot point of the first linkage and rotationally coupled to the first gear, wherein the gear ratio of the second gear to the first gear is about 3: 1 to about 4: 3 A first robot comprising a gear; 상기 제 1 방향에 대체로 수직인 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 1 운동 어셈블리; 및A first movement assembly configured to position the first robot in a second direction generally perpendicular to the first direction; And 상기 제 2 방향에 대체로 수직인 제 3 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 모터를 갖는 제 2 운동 어셈블리를 포함하는, 제 1 로봇 어셈블리를 포함하는, A first robotic assembly comprising a second movement assembly having a motor configured to position the first robot in a third direction generally perpendicular to the second direction; 기판을 처리하기 위한 클러스터 툴.Cluster tool for processing substrates. 클러스터 툴에서 기판을 이동시키기 위한 장치로서,An apparatus for moving a substrate in a cluster tool, 제 1 평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 1 로봇;A first robot configured to position the substrate at one or more points generally included in the first plane; 수직 운동 어셈블리로서,As a vertical motion assembly, 수직 방향으로 배향된 선형 레일에 결합된 블록을 포함하는 슬라이드 어셈블리;A slide assembly comprising a block coupled to a linear rail oriented in a vertical direction; 상기 블록 및 상기 제 1 로봇에 결합된 지지 플레이트; 및A support plate coupled to the block and the first robot; And 상기 선형 레일을 따라 수직 위치로 상기 지지 플레이트를 수직으로 위치시키도록 이루어진 액츄에이터를 포함하는 수직 운동 어셈블리; 및A vertical movement assembly comprising an actuator configured to vertically position the support plate in a vertical position along the linear rail; And 수평 방향으로 상기 제 1 로봇 및 상기 수직 운동 어셈블리를 위치시키도록 이루어진 수평 액츄에이터를 가지고 상기 수직 운동 어셈블리에 결합된 수평 운동 어셈블리를 포함하는, A horizontal motion assembly coupled to the vertical motion assembly with a horizontal actuator configured to position the first robot and the vertical motion assembly in a horizontal direction, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 29 항에 있어서,The method of claim 29, 수평 방향으로 상기 수직 운동 어셈블리 및 상기 제 1 로봇을 위치시키도록 이루어진 제 2 수평 액츄에이터를 가지고 상기 수직 운동 어셈블리에 결합된 제 2 수평 운동 어셈블리를 추가로 포함하는, Further comprising a second horizontal motion assembly coupled to the vertical motion assembly with a second horizontal actuator configured to position the vertical motion assembly and the first robot in a horizontal direction; 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 29 항에 있어서,The method of claim 29, 상기 제 1 로봇 상에 위치한 기판을 향해 그리고 필터를 통해 에어를 밀어넣 도록 이루어진 팬을 갖는 주위환경 제어 어셈블리를 추가로 포함하는, Further comprising an ambient control assembly having a fan configured to push air through the filter and towards a substrate located on the first robot, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 29 항에 있어서,The method of claim 29, 제 2 평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 2 로봇을 추가로 포함하고,Further comprising a second robot configured to position the substrate at one or more points generally included in the second plane, 상기 수직 운동 어셈블리가, The vertical motion assembly, 상기 선형 레일에 결합된 제 2 블록 또는 상기 블록을 통해 상기 선형 레일에 결합되고, 상기 제 2 로봇 및 상기 선형 레일에 결합된 제 2 지지 플레이트를 추가로 포함하고,Further comprising a second block coupled to the linear rail or a second support plate coupled to the linear rail through the block and coupled to the second robot and the linear rail, 상기 액츄에이터가 상기 선형 레일을 따라 수직 위치로 상기 제 2 지지 플레이트를 수직으로 위치시키도록 이루어지고, The actuator is configured to vertically position the second support plate in a vertical position along the linear rail, 상기 제 2 로봇의 상기 제 2 평면이 상기 제 1 로봇의 상기 제 1 평면에 대체로 평행하고, 상기 제 2 평면이 상기 제 1 평면으로부터 이격되어 위치하는, Wherein the second plane of the second robot is generally parallel to the first plane of the first robot and the second plane is spaced apart from the first plane, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 29 항에 있어서,The method of claim 29, 상기 수직 운동 어셈블리가,The vertical motion assembly, 상기 액츄에이터 및 상기 슬라이드 어셈블리로 이루어진 그룹으로부터 선택된 하나 이상의 구성요소를 둘러싸는 내부 영역을 형성하는 하나 이상의 벽을 갖는 인클로저;An enclosure having one or more walls defining an interior region surrounding at least one component selected from the group consisting of the actuator and the slide assembly; 상기 인클로저의 하나 이상의 벽 중 하나에 형성된 슬롯;A slot formed in one of the one or more walls of the enclosure; 상기 슬롯을 통해 연장하는 상기 지지 플레이트; 및The support plate extending through the slot; And 약 0.02 인치 오브 워터(inch of water) 내지 약 1인치 오브 워터인, 상기 내부 영역 및 상기 인클로저 외부 지점 사이에 압력 강하를 생성하도록 이루어진 팬을 추가로 포함하는, Further comprising a fan configured to create a pressure drop between the inner region and the enclosure outer point, which is about 0.02 inch of water to about 1 inch of water, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 클러스터 툴에서 기판을 이동시키기 위한 장치로서,An apparatus for moving a substrate in a cluster tool, 제 1 평면 내에 대체로 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 제 1 로봇;A first robot configured to position the substrate at one or more points generally included in the first plane; 수직 운동 어셈블리로서,As a vertical motion assembly, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 액츄에이터 어셈블리로서, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 수직 액츄에이터; 및 상기 수직 액츄에이터에 의해 상기 제 1 로봇이 이동될 때 상기 제 1 로봇을 안내하도록 이루어진 수직 슬라이드를 추가로 포함하는, 액츄에이터 어셈블리;An actuator assembly configured to vertically position the first robot, comprising: a vertical actuator configured to vertically position the first robot; And a vertical slide adapted to guide the first robot when the first robot is moved by the vertical actuator. 상기 수직 액츄에이터 및 상기 수직 슬라이드로 이루어진 그룹으로부 터 선택된 상기 구성요소 중 하나 이상을 둘러싸는 내부 영역을 형성하는 하나 이상의 벽을 갖는 인클로저; 및An enclosure having one or more walls defining an interior region surrounding at least one of the components selected from the group consisting of the vertical actuator and the vertical slide; And 상기 인클로저 내부에 네거티브 압력을 생성하도록 이루어진 상기 내부 영역과 유체 소통하는 팬을 포함하는, 수직 운동 어셈블리; 및A vertical motion assembly including a fan in fluid communication with the interior region configured to generate negative pressure inside the enclosure; And 상기 제 1 처리 래크의 제 1 측부에 대체로 평행한 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 수평 안내 부재 및 수평 액츄에이터를 갖는 수평 운동 어셈블리를 포함하는, A horizontal movement assembly having a horizontal guide member and a horizontal actuator configured to position the first robot in a direction generally parallel to the first side of the first treatment rack; 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 34 항에 있어서,The method of claim 34, wherein 상기 수평 운동 어셈블리가, The horizontal motion assembly, 제 2 인클로저로서, 상기 수평 운동 어셈블리가 상기 수평 안내 부재를 둘러싸고 이 제 2 인클로저 내부에 내부 영역을 형성하는 하나 이상의 벽을 갖는, 제 2 인클로저; 및A second enclosure, the second enclosure having one or more walls surrounding the horizontal guide member and defining an interior region within the second enclosure; And 상기 제 2 인클로저 내부에 네거티브 압력을 생성하도록 이루어지고 상기 내부 영역과 유체 소통하는 팬을 추가로 포함하는, And further comprising a fan configured to generate negative pressure inside the second enclosure and in fluid communication with the interior region. 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 34 항에 있어서,The method of claim 34, wherein 상기 수직 운동 어셈블리가,The vertical motion assembly, 상기 인클로저의 하나 이상의 벽 중 하나에 형성된 슬롯;A slot formed in one of the one or more walls of the enclosure; 상기 슬롯을 통해 연장하고 상기 수직 슬라이드 및 상기 제 1 로봇에 결합되는 지지 플레이트; 및A support plate extending through the slot and coupled to the vertical slide and the first robot; And 약 0.02 내지 약 1 인치 오브 워터인, 상기 내부 영역 및 상기 인클로저 외부 지점 사이에 압력 드롭을 생성하도록 이루어진 팬을 추가로 포함하는, Further comprising a fan configured to create a pressure drop between the inner region and the enclosure outer point, which is about 0.02 to about 1 inch of water, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 34 항에 있어서,The method of claim 34, wherein 상기 제 1 로봇 상에 위치한 기판을 향하고 필터를 통해 에어를 밀어넣도록 이루어진 팬을 갖는 주위환경 제어 어셈블리를 추가로 포함하는, Further comprising an ambient control assembly having a fan facing the substrate located on the first robot and adapted to push air through the filter; 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 클러스터 툴에서 기판을 이동시키기 위한 장치로서, An apparatus for moving a substrate in a cluster tool, 제 1 방향으로 기판을 위치시키도록 이루어진 제 1 로봇 어셈블리로서,A first robotic assembly configured to position a substrate in a first direction, 기판 수용면 및 제 1 단부를 갖는 로봇 블레이드;A robot blade having a substrate receiving surface and a first end; 제 1 피봇 지점 및 제 2 피봇 지점을 갖는 제 1 링키지 부재;A first linkage member having a first pivot point and a second pivot point; 상기 로봇 블레이드의 제 1 단부에 결합되고 상기 제 1 피봇 지점에서 상기 제 1 링키지 부재에 회전식으로 결합된 제 1 기어;A first gear coupled to the first end of the robot blade and rotatably coupled to the first linkage member at the first pivot point; 상기 제 1 기어에 회전식으로 결합되고 상기 제 1 링키지의 제 2 피봇 지점과 정렬된 제 2 기어; 및A second gear rotatably coupled to the first gear and aligned with a second pivot point of the first linkage; And 상기 제 1 링키지 부재에 회전식으로 결합된 제 1 모터를 포함하는, 제 1 로봇 어셈블리; -상기 제 1 모터는 상기 제 2 기어에 대해 상기 제 1 링키지 및 제 1 기어를 회전시킴에 의해 상기 기판 수용면을 위치시키도록 이루어짐-A first robot assembly comprising a first motor rotatably coupled to the first linkage member; The first motor is adapted to position the substrate receiving surface by rotating the first linkage and the first gear relative to the second gear. 상기 제 1 방향에 대체로 수직인 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 1 운동 어셈블리; 및A first movement assembly configured to position the first robot in a second direction generally perpendicular to the first direction; And 상기 제 2 방향에 대체로 수직인 제 3 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 2 운동 어셈블리를 포함하는, A second movement assembly adapted to position the first robot in a third direction generally perpendicular to the second direction, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 38 항에 있어서,The method of claim 38, 상기 제 1 기어에 대한 상기 제 2 기어의 기어비가 약 3:1 내지 약 4:3인,The gear ratio of the second gear to the first gear is about 3: 1 to about 4: 3, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 38 항에 있어서,The method of claim 38, 상기 제 2 기어가 제 2 모터에 결합되고,The second gear is coupled to a second motor, 상기 제 1 모터 및 상기 제 2 모터와 소통하는 제어기가 상기 이동 과정 동안 상기 제 2 기어에 대해 상기 제 1 링키지의 회전 스피드를 조정하도록 이루어진, A controller in communication with the first motor and the second motor is adapted to adjust the rotational speed of the first linkage relative to the second gear during the movement process; 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 클러스터 툴에서 기판을 이동시키기 위한 장치로서,An apparatus for moving a substrate in a cluster tool, 제 1 평면에 대체로 포함된 아크(arc)를 따라 하나 이상의 지점에서 기판을 위치키시도록 이루어진 제 1 로봇 어셈블리로서,A first robotic assembly configured to position a substrate at one or more points along an arc generally included in a first plane, 제 1 단부 및 기판 수용면을 갖는 로봇 어셈블리; 및A robot assembly having a first end and a substrate receiving surface; And 상기 로봇 블레이드의 제 1 단부에 회전식으로 결합된 모터를 포함하는, 제 1 로봇 어셈블리;A first robot assembly comprising a motor rotatably coupled to a first end of the robot blade; 상기 제 1 평면에 대체로 수직인 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 1 운동 어셈블리로서,A first movement assembly configured to position the first robot in a second direction generally perpendicular to the first plane, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 액츄에이터 어셈블리로서, 상기 제 1 로봇을 수직으로 위치시키도록 이루어진 수직 액츄에이터 및 상기 수직 액츄에이터에 의해 상기 제 1 로봇이 이동될 때 상기 제 1 로봇을 안내하도록 이루어진 수직 슬라이드를 포함하는, 액츄에이터 어셈블리;An actuator assembly configured to position the first robot vertically, the actuator actuating the first robot when the first robot is moved by the vertical actuator and the vertical actuator configured to position the first robot vertically An actuator assembly comprising a vertical slide; 상기 수직 액츄에이터 및 상기 수직 슬라이드로 이루어진 그룹으로부 터 선택된 상기 구성요소 중 하나 이상을 둘러싸는 내부 영역을 형성하는 하나 이상의 벽을 갖는 인클로저; 및An enclosure having one or more walls defining an interior region surrounding at least one of the components selected from the group consisting of the vertical actuator and the vertical slide; And 상기 인클로저 내부에 네거티브 압력을 생성하도록 이루어지고 상기 내부 영역과 유체 소통하는 팬을 포함하는, 제 1 운동 어셈블리; 및A first movement assembly configured to create a negative pressure inside the enclosure and including a fan in fluid communication with the interior region; And 상기 제 2 방향에 대체로 수직인 제 3 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 2 액츄에이터를 갖는 제 2 운동 어셈블리를 포함하는, A second movement assembly having a second actuator configured to position the first robot in a third direction generally perpendicular to the second direction, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 41 항에 있어서,42. The method of claim 41 wherein 상기 제 2 운동 어셈블리가,The second movement assembly, 제 2 인클로저로서, 이 제 2 인클로저 내부에 내부 영역을 형성하고 상기 제 2 액츄에이터를 둘러싸는 하나 이상의 벽을 갖는, 제 2 인클로저; 및A second enclosure, the second enclosure having one or more walls defining an interior region within the second enclosure and surrounding the second actuator; And 상기 제 2 인클로저 내부에 네거티브 압력을 생성하도록 이루어지고 상기 내부 영역과 유체 소통하는 팬을 추가로 포함하는, And further comprising a fan configured to generate negative pressure inside the second enclosure and in fluid communication with the interior region. 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 클러스터 툴에서 기판을 이동시키기 위한 장치로서,An apparatus for moving a substrate in a cluster tool, 제 1 방향으로 기판을 위치시키도록 이루어진 제 1 로봇 어셈블리로서,A first robotic assembly configured to position a substrate in a first direction, 제 1 단부 및 기판 수용면을 갖는 로봇 블레이드;A robot blade having a first end and a substrate receiving surface; 상기 로봇 블레이드의 제 1 단부에 결합된 제 1 기어;A first gear coupled to the first end of the robot blade; 상기 제 1 기어에 회전식으로 결합된 제 2 기어;A second gear rotatably coupled to the first gear; 상기 제 1 기어에 회전식으로 결합된 제 1 모터; 및A first motor rotatably coupled to the first gear; And 상기 제 2 기어에 회전식으로 결합된 제 2 모터를 포함하는, 제 1 로봇 어셈블리; -상기 제 2 모터는 가변성 기어비를 만들도록 상기 제 1 기어에 대해 상기 제 2 기어를 회전시키도록 이루어짐- 및A first robot assembly comprising a second motor rotatably coupled to the second gear; The second motor is adapted to rotate the second gear relative to the first gear to make a variable gear ratio; and 상기 제 1 방향에 대체로 수직인 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 1 운동 어셈블리를 포함하는, A first movement assembly adapted to position the first robot in a second direction generally perpendicular to the first direction, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 43 항에 있어서,The method of claim 43, 상기 제 2 방향에 대해 대체로 수직인 제 3 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 제 2 운동 어셈블리를 추가로 포함하는, Further comprising a second movement assembly adapted to position the first robot in a third direction, generally perpendicular to the second direction, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 제 43 항에 있어서,The method of claim 43, 상기 제 2 방향이 상기 제 1 방향에 대체로 수직인, Wherein the second direction is generally perpendicular to the first direction, 클러스터 툴에서 기판을 이동시키기 위한 장치.An apparatus for moving a substrate in a cluster tool. 기판을 이동시키기 위한 장치로서,An apparatus for moving a substrate, 기판 지지면을 갖는 베이스;A base having a substrate support surface; 상기 베이스 상에 위치한 반응 부재;A reaction member located on the base; 상기 반응 부재에 대해 기판을 가압하도록(urge) 이루어진 액츄에이터에 결합된 접촉 부재; 및A contact member coupled to an actuator configured to urge the substrate against the reaction member; And 상기 접촉 부재가 상기 반응 부재에 대해 상기 기판을 가압하도록 위치할 때 상기 접촉 부재의 운동을 대체로 금지하도록 이루어진 브레이크 부재를 포함하는, A brake member configured to generally inhibit movement of the contact member when the contact member is positioned to press the substrate against the reaction member, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 46 항에 있어서,The method of claim 46, 상기 브레이크 부재 및 상기 접촉 부재 사이의 접촉에 의해 제한력(restraining force)이 만들어지는, A restraining force is created by the contact between the brake member and the contact member, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 46 항에 있어서,The method of claim 46, 상기 접촉 부재의 위치를 감지하도록 이루어지고 상기 접촉 부재에 결합된 센서를 추가로 포함하는, Further comprising a sensor coupled to the contact member and configured to sense the position of the contact member, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 46 항에 있어서,The method of claim 46, 상기 지지면 상에 기판의 잘못 위치함(misplacement)을 감지하도록 상기 센서 및 상기 액츄에이터와 소통하는 제어기를 추가로 포함하는, And a controller in communication with the sensor and the actuator to detect misplacement of the substrate on the support surface, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 기판을 이동시키기 위한 장치로서,An apparatus for moving a substrate, 지지면을 갖는 베이스;A base having a support surface; 상기 베이스에 위치한 반응 부재;A reaction member located at the base; 상기 베이스에 결합된 액츄에이터;An actuator coupled to the base; 상기 반응 부재에 의해 에지에서 지지되고 상기 지지면 상에 위치한 기판의 에지에 대해 상기 접촉 부재를 가압하도록 이루어진 상기 액츄에이터에 결합된 접촉 부재; 및A contact member coupled to the actuator supported at the edge by the reaction member and configured to press the contact member against an edge of the substrate located on the support surface; And 브레이크 부재 어셈블리로서,Brake member assembly, 브레이크 부재; 및Brake member; And 브레이크 액츄에이팅 부재를 포함하고, 상기 브레이크 액츄에이팅가 기판 이동 과정 동안 상기 접촉 부재의 운동을 대체로 금지하는 제한력을 만들도록 상기 접촉 부재에 대해 상기 브레이크 부재를 가압하도록 이루어진, 브레이크 부재 어셈블리를 포함하는, And a brake member assembly, the brake actuating member configured to press the brake member against the contact member such that the brake actuating creates a limiting force that generally prohibits movement of the contact member during the substrate movement process. doing, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 50 항에 있어서,51. The method of claim 50, 상기 제한력이 상기 브레이크 부재 및 상기 접촉 부재 사이의 접촉에 의해 만들어지는, Wherein the limiting force is created by the contact between the brake member and the contact member, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 50 항에 있어서,51. The method of claim 50, 상기 제한력이 상기 브레이크 부재 및 상기 접촉 부재의 표면 사이에 생성된 마찰력인, The limiting force is a frictional force generated between the brake member and the surface of the contact member, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 50 항에 있어서,51. The method of claim 50, 상기 접촉 부재의 위치를 감지하도록 이루어지고 상기 접촉 부재에 결합된 센서를 추가로 포함하는, Further comprising a sensor coupled to the contact member and configured to sense the position of the contact member, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 53 항에 있어서,The method of claim 53 wherein 상기 지지면 상의 기판의 잘못 위치함을 감지하도록 상기 센서 및 상기 액츄에이터와 소통하는 제어기를 추가로 포함하는, Further comprising a controller in communication with the sensor and the actuator to detect misplacement of the substrate on the support surface, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 기판을 이동시키기 위한 장치로서,An apparatus for moving a substrate, 지지면을 갖는 베이스;A base having a support surface; 상기 베이스 상에 위치한 반응 부재;A reaction member located on the base; 접촉 부재 어셈블리로서,As a contact member assembly, 액츄에이터; 및Actuators; And 기판 접촉면 및 이 기판 접촉면 및 상기 액츄에이터 사이에 위치한 순응 부재(compliant member)를 갖는 접촉 부재를 포함하는 접촉 부재 어셈블리; -상기 액츄에이터가 상기 반응 부재의 표면에 대해 위치한 기판에 대해 상기 접촉면을 가압하도록 이루어짐- A contact member assembly comprising a contact member having a substrate contact surface and a compliant member positioned between the substrate contact surface and the actuator; The actuator is adapted to press the contact surface against a substrate positioned against the surface of the reaction member. 브레이크 부재 어셈블리로서,Brake member assembly, 브레이크 부재; 및Brake member; And 기판 이동 과정 동안 상기 접촉 부재의 움직임을 금지하도록 상기 접촉 부재에 대해 상기 브레이크 부재를 가압하도록 이루어진 브레이크 액츄에이팅 부재를 포함하는 브레이크 부재 어셈블리; 및A brake member assembly including a brake actuating member configured to press the brake member against the contact member to inhibit movement of the contact member during a substrate movement process; And 상기 접촉면의 위치를 감지하도록 이루어지고 상기 접촉 부재에 결합된 센서를 포함하는, A sensor made to sense the position of the contact surface and coupled to the contact member, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 55 항에 있어서,The method of claim 55, 상기 순응 부재가 스프링인, The compliant member is a spring, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 55 항에 있어서,The method of claim 55, 상기 브레이크 부재 어셈블리가 상기 브레이크 액츄에이팅 부재에 결합된 제 1 단부 및 상기 브레이크 부재에 결합된 제 2 단부를 갖는 레버 아암(lever arm)을 추가로 포함하고, The brake member assembly further comprises a lever arm having a first end coupled to the brake actuating member and a second end coupled to the brake member, 상기 레버 아암이 피봇 지점에 결합되고, 상기 접촉 부재의 움직임을 방해하 고 상기 브레이크 액츄에이팅 부재에 의해 생성된 힘보다 큰 브레이킹 힘을 생성하도록 이루어진, The lever arm is coupled to a pivot point and configured to interfere with the movement of the contact member and generate a breaking force greater than the force generated by the brake actuating member, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 기판을 이동시키기 위한 장치로서,An apparatus for moving a substrate, 로봇 어셈블리로서,As a robotic assembly, 제 1 방향으로 로봇 블레이드 상에 위치한 기판을 이동시키도록 이루어진 제 1 로봇;A first robot configured to move a substrate located on the robot blade in a first direction; 제 2 방향으로 상기 제 1 로봇을 위치시키도록 이루어진 액츄에이터를 갖는 제 1 운동 어셈블리; 및A first movement assembly having an actuator configured to position the first robot in a second direction; And 상기 제 2 방향에 대체로 수직인 제 3 방향으로 상기 제 1 운동 어셈블리 및 상기 제 1 로봇을 위치시키도록 이루어진 제 2 액츄에이터를 가지고 상기 제 1 운동 어셈블리에 결합된 제 2 운동 어셈블리를 포함하는 로봇 어셈블리; 및A robot assembly comprising a second movement assembly coupled to the first movement assembly with a second actuator configured to position the first movement assembly and the first robot in a third direction generally perpendicular to the second direction; And 상기 로봇 블레이드에 결합되고 기판을 지지하도록 이루어진 기판 그립핑 기구(substrate gripping device)로서, A substrate gripping device coupled to the robot blade and adapted to support a substrate, the substrate gripping device comprising: 상기 로봇 블레이드 상에 위치한 반응 부재;A reaction member located on the robot blade; 상기 로봇 블레이드에 결합된 액츄에이터;An actuator coupled to the robot blade; 상기 액츄에이터에 결합된 접촉 부재; -상기 액츄에이터가 상기 접촉 부재 및 상기 반응 부재 사이에 위치한 기판의 에지에 대해 상기 접촉 부재를 가압 함에 의해 기판을 제한하도록 이루어짐- 및A contact member coupled to the actuator; The actuator is configured to limit the substrate by pressing the contact member against an edge of the substrate located between the contact member and the reaction member; and 브레이크 부재 어셈블리로서, 브레이크 부재 및 기판 이동 과정 동안 상기 접촉 부재의 움직임을 금지하도록 상기 접촉 부재에 대해 상기 브레이크 부재를 가압하도록 이루어진 브레이크 액츄에이팅 부재를 포함하는, 브레이크 부재 어셈블리를 포함하는, 기판 그립핑 기구를 포함하는, A brake member assembly comprising a brake member assembly, the brake member assembly comprising a brake member and a brake actuating member configured to press the brake member against the contact member to inhibit movement of the contact member during a substrate movement process. Including a ping mechanism, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 58 항에 있어서,The method of claim 58, 상기 기판 그립핑 기구가, 상기 접촉 부재의 위치를 감지하도록 이루어지고 상기 접촉 부재에 결합된 센서를 추가로 포함하는, The substrate gripping mechanism further comprising a sensor adapted to sense the position of the contact member and coupled to the contact member, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 58 항에 있어서,The method of claim 58, 상기 기판 그립핑 기구가, 상기 지지면 상에 기판의 잘못 위치함을 감지하도록 상기 센서 및 상기 액츄에이터와 소통하는 제어기를 추가로 포함하는, The substrate gripping mechanism further comprises a controller in communication with the sensor and the actuator to detect misplacement of the substrate on the support surface; 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 제 58 항에 있어서,The method of claim 58, 상기 기판 그립핑 기구가, 상기 접촉 부재가 상기 액츄에이터에 의해 기판 표면에 대해 가압될 때, 에너지를 저장하도록 이루어지고 상기 접촉 부재 및 상기 액츄에이터 사이에 위치한 순응 부재를 추가로 포함하는, The substrate gripping mechanism further comprising a compliant member adapted to store energy when the contact member is pressed against the substrate surface by the actuator and positioned between the contact member and the actuator, 기판을 이동시키기 위한 장치.Apparatus for moving the substrate. 기판을 이동시키는 방법으로서,As a method of moving the substrate, 기판 지지 기구 상에 위치한 반응 부재 및 기판 접촉 부재 사이의 이 기판 지지 기구 상에 기판을 위치시키는 단계;Positioning a substrate on the substrate support mechanism between the reaction member located on the substrate support mechanism and the substrate contact member; 상기 반응 부재에 대해 기판을 가압하고 상기 기판에 대해 상기 기판 접촉 부재를 가압하는 액츄에이터를 이용하여 기판 지지력을 생성시키는 단계; 및Pressing a substrate against the reaction member and generating a substrate bearing force using an actuator for pressing the substrate contact member against the substrate; And 브레이크 어셈블리를 이용하여 기판을 이동시키는 과정 동안 상기 기판 접촉 부재의 움직임을 제한하도록 이루어진 제한력을 생성하는 단계를 포함하는, Generating a limiting force configured to limit the movement of the substrate contact member during the process of moving the substrate using the brake assembly, 기판을 이동시키는 방법.How to Move a Substrate. 제 62 항에 있어서,63. The method of claim 62, 상기 지지력이 상기 기판에 가해진 후, 상기 제한력이 생성되는, After the bearing force is applied to the substrate, the limiting force is generated, 기판을 이동시키는 방법.How to move the substrate. 제 62 항에 있어서,63. The method of claim 62, 제어기의 이용에 의해 상기 기판 접촉 부재의 움직임을 감지하는 단계를 추가로 포함하는, Detecting the movement of the substrate contact member by use of a controller, 기판을 이동시키는 방법.How to Move a Substrate. 제 62 항에 있어서,63. The method of claim 62, 시작 위치에 상기 기판 지지 기구를 위치시키는 단계;Positioning the substrate support mechanism in a starting position; 상기 시작 위치로부터 최종 위치로 상기 기판 지지 기구를 이동시키는 단계; 및Moving the substrate support mechanism from the start position to a final position; And 상기 기판 지지 기구 상에 기판을 위치시키는 단계, 상기 기판 지지력을 생성시키는 단계, 및 상기 제한력을 생성시키는 단계를 수행하는 단계를 추가로 포함하는, Further comprising positioning a substrate on the substrate support mechanism, generating the substrate support force, and generating the limiting force; 기판을 이동시키는 방법.How to move the substrate. 제 62 항에 있어서,63. The method of claim 62, 기판을 제 1 방향으로 원하는 위치에 및 이 제 1 방향과 대체로 수직인 제 2 방향으로 원하는 위치에 위치시키도록 이루어진 제 1 로봇 어셈블리를 이용하여, 상기 제 1 방향을 따라 위치한 처리 챔버들의 제 1 배열로 상기 기판 지지 기구 상에 위치한 기판을 이동시키는 단계를 추가로 포함하는, A first arrangement of processing chambers located along the first direction using a first robotic assembly configured to position the substrate at a desired position in a first direction and in a desired position in a second direction generally perpendicular to the first direction And moving the substrate located on the substrate support mechanism with the 기판을 이동시키는 방법.How to move the substrate. 기판을 이동시키는 방법으로서,As a method of moving the substrate, 기판 지지 기구 상에 위치한 반응 부재 및 기판 접촉 부재 사이의 상기 기판 지지 기구 상에 기판을 위치시키는 단계;Positioning a substrate on the substrate support mechanism between a reaction member located on the substrate support mechanism and the substrate contact member; 연결 부재가 상기 기판 접촉 부재에 액츄에이터를 결합시키도록 상기 기판 접촉 부재에 상기 연결 부재를 갖는 상기 액츄에이터를 결합시키는 단계;Coupling the actuator with the connection member to the substrate contact member such that a connection member couples an actuator to the substrate contact member; 상기 반응 부재에 대하여 상기 기판을 그리고 상기 기판에 대해 상기 기판 접촉 부재를 가압하는 액츄에이터를 이용하여 상기 기판에 지지력을 가하는 단계;Applying a bearing force to the substrate using an actuator that presses the substrate against the reaction member and the substrate contact member against the substrate; 상기 기판 접촉 부재 및 상기 연결 부재 사이에 위치한 순응 부재에 에너지를 저장하는 단계;Storing energy in a compliant member positioned between the substrate contact member and the connection member; 상기 기판의 이동 과정 동안 상기 지지력의 변화량을 최소화하도록 상기 지지력이 가해진 이후 상기 연결 부재의 이동을 제한하는 단계; 및Limiting movement of the connecting member after the supporting force is applied to minimize the amount of change in the supporting force during the movement of the substrate; And 상기 순응 부재에 저장된 에너지의 감소에 의해 상기 기판 접촉 부재의 이동을 감지함에 의해 상기 기판의 이동을 감지하는 단계를 포함하는, Sensing movement of the substrate by sensing movement of the substrate contact member by reduction of energy stored in the compliant member; 기판을 이동시키는 방법.How to move the substrate. 제 67 항에 있어서,The method of claim 67 wherein 상기 기판 접촉 부재의 감지된 이동이 이용자가 정의한 수치를 초과할 때 상기 기판 지지 기구의 이동을 정지시키는(haulting) 단계를 추가로 포함하는, Further comprising: stopping the movement of the substrate support mechanism when the sensed movement of the substrate contact member exceeds a user defined value, 기판을 이동시키는 방법.How to move the substrate. 제 67 항에 있어서,The method of claim 67 wherein 상기 기판 지지 기구 상에 위치한 기판을, 제 1 방향으로 원하는 위치에 그리고 이 제 1 방향과 대체로 수직인 제 2 방향으로 원하는 위치에 상기 기판 지지 기구를 위치시키도록 이루어진 제 1 로봇 어셈블리를 이용하여, 상기 제 1 방향을 따라 위치된 처리 챔버들의 제 1 배열로 이동시키는 단계를 추가로 포함하는, Using a first robotic assembly configured to position a substrate positioned on the substrate support mechanism at a desired position in a first direction and at a desired position in a second direction generally perpendicular to the first direction, Further comprising moving to a first array of processing chambers located along the first direction, 기판을 이동시키는 방법.How to move the substrate. 제 69 항에 있어서,The method of claim 69, 상기 제 2 방향이 수직 방향으로 대체로 정렬된, The second direction is generally aligned in a vertical direction, 기판을 이동시키는 방법.How to Move a Substrate. 기판을 이동시키는 방법으로서,As a method of moving the substrate, 로봇 기판 지지부 상에서 제 1 처리 챔버 내에 위치한 기판을 수용하는 단계로서,Receiving a substrate located in a first processing chamber on a robot substrate support, the method comprising: 상기 로봇 기판 지지부 상에 위치한 반응 부재 및 기판 접촉 부재 사이에서 상기 로봇 기판 지지부 상에 기판을 위치시키는 단계;Positioning a substrate on the robot substrate support between a reaction member located on the robot substrate support and a substrate contact member; 상기 반응 부재에 대해 상기 기판을 그리고 상기 기판에 대해 상기 기판 접촉 부재를 가압하는 액츄에이터를 이용함에 의해 기판 지지력을 생성시키는 단계; 및Generating a substrate bearing force by using an actuator that presses the substrate against the reaction member and the substrate contact member against the substrate; And 기판을 이동시키는 과정에서 상기 기판 접촉 부재의 이동을 제한하는 제한력을 생성하도록 브레이크 어셈블리를 위치시키는 단계를 포함하는, 기판을 수용하는 단계; 및Receiving a substrate, the method comprising positioning a brake assembly to create a limiting force that limits movement of the substrate contact member in the course of moving the substrate; And 제 1 방향으로 원하는 위치에 그리고 이 제 1 방향과 대체로 수직인 제 2 방향으로 원하는 위치에 상기 기판을 위치시키도록 이루어진 제 1 로봇 어셈블리를 이용하여, 상기 제 1 처리 챔버 내의 위치로부터 상기 제 1 방향을 따라 상기 제 1 처리 챔버로부터 이격되어 위치한 제 2 처리 챔버 내의 위치로 상기 로봇 기판 지지부 및 상기 기판을 이동시키는 단계를 포함하는, The first direction from a position in the first processing chamber, using a first robotic assembly configured to position the substrate at a desired position in a first direction and at a desired position in a second direction generally perpendicular to the first direction. Moving the robotic substrate support and the substrate to a location in a second processing chamber spaced apart from the first processing chamber along; 기판을 이동시키는 방법.How to move the substrate. 제 71 항에 있어서,The method of claim 71 wherein 상기 지지력이 상기 기판에 가해진 이후 상기 제한력이 생성되는, The limiting force is generated after the bearing force is applied to the substrate, 기판을 이동시키는 방법.How to move the substrate. 제 71 항에 있어서,The method of claim 71 wherein 제어기를 이용하여 상기 기판 접촉 부재의 이동을 감지하는 단계를 추가로 포함하는, Further comprising sensing a movement of the substrate contact member using a controller, 기판을 이동시키는 방법.How to move the substrate. 제 71 항에 있어서,The method of claim 71 wherein 상기 제 2 방향이 수직 방향과 대체로 정렬된, Wherein the second direction is generally aligned with the vertical direction, 기판을 이동시키는 방법.How to Move a Substrate. 클러스터 툴에서 기판을 이동시키는 방법으로서,As a method of moving a substrate in a cluster tool, 제 1 방향으로 원하는 위치에 그리고 이 제 1 방향과 대체로 수직인 제 2 방향으로 원하는 위치에 기판을 위치시키도록 이루어진 제 1 로봇 어셈블리를 이용하여 상기 제 1 방향을 따라 위치한 처리 챔버들의 제 1 배열로 기판을 이동시키는 단계;To a first arrangement of processing chambers located along the first direction using a first robotic assembly configured to position the substrate at a desired position in a first direction and at a desired position in a second direction generally perpendicular to the first direction. Moving the substrate; 상기 제 1 방향으로 원하는 위치에 그리고 상기 제 2 방향으로 원하는 위치에 상기 기판을 위치시키도록 이루어진 제 2 로봇 어셈블리를 이용하여 상기 제 1 방향을 따라 위치한 처리 챔버들의 제 2 배열로 기판을 이동시키는 단계; 및Moving the substrate to a second array of processing chambers located along the first direction using a second robotic assembly configured to position the substrate at a desired position in the first direction and at a desired position in the second direction ; And 상기 제 1 방향으로 원하는 위치에 그리고 상기 제 2 방향으로 원하는 위치에 상기 기판을 위치시키도록 이루어진 제 3 로봇 어셈블리를 이용하여 상기 제 1 방향을 따라 위치한 처리 챔버들의 상기 제 1 및 제 2 배열로 기판을 이동시키는 단계를 포함하는, A substrate in the first and second arrays of processing chambers located along the first direction using a third robotic assembly configured to position the substrate at a desired position in the first direction and at a desired position in the second direction. Including moving the 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 제 3 로봇 어셈블리가 상기 제 1 및 제 2 로봇 어셈블리에 거의 인접하는, The third robot assembly is substantially adjacent to the first and second robot assemblies, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 76 항에 있어서,77. The method of claim 76, 상기 제 3 로봇 어셈블리가 상기 제 1 및 제 2 로봇 어셈블리 사이에 위치하는, Wherein the third robot assembly is located between the first and second robot assemblies, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 제 3 로봇 어셈블리에 대한 상기 제 1 로봇 어셈블리의 간격 및 상기 제 3 로봇 어셈블리에 대한 상기 제 2 로봇 어셈블리의 간격이 기판의 처리 표면의 치수보다 약 5% 내지 약 50% 큰, Wherein the spacing of the first robot assembly relative to the third robot assembly and the spacing of the second robot assembly relative to the third robot assembly are about 5% to about 50% greater than the dimensions of the processing surface of the substrate, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 제 3 로봇 어셈블리의 중앙라인에 대한 상기 제 1 로봇 어셈블리의 중앙라인 및 상기 제 3 로봇 어셈블리의 중앙라인에 대한 상기 제 2 로봇 어셈블리의 중앙라인 사이의 거리가 약 315mm 내지 약 450mm이고, The distance between the center line of the first robot assembly with respect to the center line of the third robot assembly and the center line of the second robot assembly with respect to the center line of the third robot assembly is about 315 mm to about 450 mm, 상기 중앙라인들 사이의 거리가 상기 제 1 방향에 거의 수직한 방향으로 측정되는, The distance between the center lines is measured in a direction substantially perpendicular to the first direction, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 제 1 방향으로 기판을 이동시키는 과정 동안 상기 제 3 로봇 어셈블리 상에 위치한 기판의 중앙라인에 대한 상기 제 2 로봇 어셈블리 또는 상기 제 1 로봇 어셈블리 상에 위치한 기판의 중앙 라인 사이의 거리가 기판의 처리면의 치수보다 약 5% 내지 약 50% 큰, The distance between the center line of the substrate located on the first robot assembly or the second robot assembly relative to the center line of the substrate located on the third robot assembly during the process of moving the substrate in the first direction is the processing of the substrate. About 5% to about 50% larger than the dimensions of the cotton, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 제 1 방향으로 원하는 위치에 그리고 상기 제 2 방향으로 원하는 위치에 기판을 위치시키도록 이루어진 제 4 로봇 어셈블리를 이용하여 상기 제 1 방향을 따라 위치한 상기 처리 챔버들의 제 1 및 제 2 배열로 상기 기판을 이동시키는 단계를 추가로 포함하는, The substrate in a first and second arrangement of the processing chambers located along the first direction using a fourth robotic assembly configured to position the substrate at a desired position in the first direction and at a desired position in the second direction. Further comprising the step of moving, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 제 1 로봇 어셈블리, 상기 제 2 로봇 어셈블리 및 상기 제 3 로봇 어셈블리 내에 포함된 제 1 액츄에이터 어셈블리를 주위로 형성된 인클로저에서 대기 압력 아래의 압력을 생성시키는 단계를 추가로 포함하고, Generating a pressure below atmospheric pressure in an enclosure formed around the first actuator assembly included in the first robot assembly, the second robot assembly, and the third robot assembly, 상기 제 1 액츄에이터 어셈블리가 상기 제 2 방향으로 기판을 위치시키도록 이루어진, The first actuator assembly configured to position the substrate in the second direction, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 클러스터 툴에서 기판을 이동시키는 방법으로서,As a method of moving a substrate in a cluster tool, 제 1 방향으로 원하는 위치에 그리고 이 제 1 방향과 대체로 수직인 제 2 방향으로 원하는 위치에 기판을 위치시키도록 이루어진 제 1 로봇 어셈블리를 이용하여, 제 1 소통 챔버(first passthru chamber)로부터 상기 제 1 방향을 따라 위치한 처리 챔버들의 제 1 배열로 기판을 이동시키는 단계;The first from a first passthru chamber, using a first robot assembly configured to position the substrate at a desired position in a first direction and at a desired position in a second direction generally perpendicular to the first direction. Moving the substrate to a first array of processing chambers located along a direction; 상기 제 1 방향으로 원하는 위치에 그리고 상기 제 2 방향으로 원하는 위치에 기판을 위치시키도록 이루어진 제 2 로봇 어셈블리를 이용하여, 상기 제 1 소통 챔버로부터 상기 처리 챔버들의 제 1 배열로 기판을 이동시키는 단계; 및Moving the substrate from the first communication chamber to the first array of processing chambers using a second robotic assembly configured to position the substrate at a desired position in the first direction and at a desired position in the second direction. ; And 전단부 어셈블리에 위치한 전단부 로봇을 이용하여, 기판 카세트로부터 상기 제 1 소통 챔버로 기판을 이동시키는 단계를 포함하고,Using a front end robot located in a front end assembly to move the substrate from the substrate cassette to the first communication chamber, 상기 전단부 어셈블리가 상기 처리 챔버들의 제 1 배열, 상기 제 1 로봇 어셈블리 및 상기 제 2 로봇 어셈블리를 포함하는 이동 영역에 거의 인접한, The front end assembly is substantially adjacent to a moving region comprising the first array of processing chambers, the first robot assembly and the second robot assembly, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 83 항에 있어서,84. The method of claim 83 wherein 상기 제 1 또는 제 2 로봇 어셈블리를 이용하여 제 2 소통 챔버로부터 상기 처리 챔버들의 제 1 배열로 기판을 이동시키는 단계를 추가로 포함하고, Moving the substrate from the second communication chamber to the first array of processing chambers using the first or second robotic assembly, 상기 제 2 소통 챔버가 상기 처리 챔버들의 제 1 배열의 하나 이상의 처리 챔버로부터 상기 제 1 방향으로 이격되어 위치하는, Wherein the second communication chamber is located spaced apart in the first direction from one or more processing chambers of the first array of processing chambers, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 83 항에 있어서,84. The method of claim 83 wherein 상기 제 1 소통 챔버로 기판 카세트로부터 기판을 이동시키도록 이루어진 전단부 로봇을 갖는 전단부 어셈블리를 추가로 포함하는, Further comprising a front end assembly having a front end robot adapted to move the substrate from the substrate cassette to the first communication chamber, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 83 항에 있어서,84. The method of claim 83 wherein 상기 전단부 로봇, 제 1 로봇 어셈블리 및 제 2 로봇 어셈블리가 제 2 소통 챔버로 그리고 이로부터 기판을 이동시키도록 이루어진, Wherein the front end robot, the first robot assembly and the second robot assembly are configured to move the substrate to and from the second communication chamber, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool. 제 83 항에 있어서,84. The method of claim 83 wherein 상기 제 1 로봇 어셈블리 및 상기 제 2 로봇 어셈블리 내에 포함된 제 1 액츄에이터 어셈블리 주위로 형성된 인클로저에서 대기 압력 아래의 압력을 생성시키는 단계를 추가로 포함하고, Generating a pressure below atmospheric pressure in an enclosure formed around the first actuator assembly included in the first robot assembly and the second robot assembly, 상기 제 1 액츄에이터 어셈블리가 상기 제 2 방향으로 상기 기판을 위치시키도록 이루어진, The first actuator assembly configured to position the substrate in the second direction, 클러스터 툴에서 기판을 이동시키는 방법.A method of moving a substrate in a cluster tool.
KR20077025316A 2005-04-22 2006-04-07 Cartesian robot cluster tool architecture KR100960765B1 (en)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US67384805P 2005-04-22 2005-04-22
US60/673,848 2005-04-22
US11/315,984 US7651306B2 (en) 2004-12-22 2005-12-22 Cartesian robot cluster tool architecture
US11/315,873 US7374391B2 (en) 2005-12-22 2005-12-22 Substrate gripper for a substrate handling robot
US11/315,778 2005-12-22
US11/315,778 US20060182535A1 (en) 2004-12-22 2005-12-22 Cartesian robot design
US11/315,984 2005-12-22
US11/315,873 2005-12-22

Publications (2)

Publication Number Publication Date
KR20070120175A true KR20070120175A (en) 2007-12-21
KR100960765B1 KR100960765B1 (en) 2010-06-01

Family

ID=36676525

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20077025316A KR100960765B1 (en) 2005-04-22 2006-04-07 Cartesian robot cluster tool architecture

Country Status (5)

Country Link
JP (2) JP5265343B2 (en)
KR (1) KR100960765B1 (en)
CN (3) CN102176425B (en)
TW (1) TWI345817B (en)
WO (1) WO2006115745A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150077341A (en) * 2013-12-27 2015-07-07 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
KR20170136521A (en) * 2015-03-03 2017-12-11 가와사끼 쥬고교 가부시끼 가이샤 Substrate transfer robot and substrate processing system
KR20180049635A (en) * 2016-11-03 2018-05-11 포톤데이즈(주) An Apparatus for Testing Optical Properties and Reliabilities of Photonic Devices with a Structure of a Plural of Magazines

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100265287B1 (en) 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
US7694688B2 (en) * 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
CN101332604B (en) * 2008-06-20 2010-06-09 哈尔滨工业大学 Control method of man machine interaction mechanical arm
JP5876837B2 (en) 2010-01-08 2016-03-02 ケーエルエー−テンカー コーポレイション Double tray conveyor
US9435826B2 (en) 2012-05-08 2016-09-06 Kla-Tencor Corporation Variable spacing four-point probe pin device and method
JP2015207622A (en) * 2014-04-18 2015-11-19 株式会社ディスコ Carrying mechanism
EP2947686A1 (en) * 2014-05-19 2015-11-25 Meyer Burger AG Wafer processing method
US9555545B2 (en) * 2014-05-21 2017-01-31 Bot & Dolly, Llc Systems and methods for time-based parallel robotic operation
KR102478317B1 (en) 2015-04-08 2022-12-16 도쿄엘렉트론가부시키가이샤 Substrate processing system
JP6425639B2 (en) * 2015-04-08 2018-11-21 東京エレクトロン株式会社 Substrate processing system
TWI602131B (en) * 2016-05-26 2017-10-11 台灣積體電路製造股份有限公司 Storage system and method for controlling the storage system
EP3361316A1 (en) 2017-02-14 2018-08-15 VAT Holding AG Pneumatic pin lifting device and pneumatic lifting cylinder
TWI678277B (en) * 2017-03-21 2019-12-01 德律科技股份有限公司 Barrier detection method and anti-collision method of pressing bed
JP6902379B2 (en) 2017-03-31 2021-07-14 東京エレクトロン株式会社 Processing system
CN112582318A (en) * 2019-09-30 2021-03-30 沈阳芯源微电子设备股份有限公司 Glue spreading developing equipment

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08222616A (en) * 1995-02-13 1996-08-30 Dainippon Screen Mfg Co Ltd Substrate processor
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US6099643A (en) * 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
JP2000012656A (en) * 1998-06-19 2000-01-14 Hitachi Ltd Handling device
US6167322A (en) * 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
ATE389237T1 (en) * 1998-12-02 2008-03-15 Newport Corp ARM GRIP FOR SAMPLE HOLDER ROBOTS
US6322312B1 (en) * 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
JP3957445B2 (en) * 1999-07-02 2007-08-15 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6293713B1 (en) * 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus
JP4547524B2 (en) * 2000-12-05 2010-09-22 川崎重工業株式会社 Work processing method, work processing apparatus and robot
JP2003124300A (en) * 2001-10-15 2003-04-25 Tadamoto Tamai Clamp device and expansion arm
JP2005048877A (en) * 2003-07-29 2005-02-24 Sumitomo Denko Brake Systems Kk Brake caliper device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150077341A (en) * 2013-12-27 2015-07-07 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
KR20170136521A (en) * 2015-03-03 2017-12-11 가와사끼 쥬고교 가부시끼 가이샤 Substrate transfer robot and substrate processing system
KR20180049635A (en) * 2016-11-03 2018-05-11 포톤데이즈(주) An Apparatus for Testing Optical Properties and Reliabilities of Photonic Devices with a Structure of a Plural of Magazines

Also Published As

Publication number Publication date
JP5265343B2 (en) 2013-08-14
CN102176425A (en) 2011-09-07
JP2008538654A (en) 2008-10-30
CN102867764A (en) 2013-01-09
CN101164138B (en) 2012-10-17
KR100960765B1 (en) 2010-06-01
TWI345817B (en) 2011-07-21
CN102176425B (en) 2013-02-06
WO2006115745A1 (en) 2006-11-02
CN101164138A (en) 2008-04-16
TW200707621A (en) 2007-02-16
JP2013030787A (en) 2013-02-07
CN102867764B (en) 2015-06-17
JP5329705B2 (en) 2013-10-30

Similar Documents

Publication Publication Date Title
KR100960765B1 (en) Cartesian robot cluster tool architecture
US7798764B2 (en) Substrate processing sequence in a cartesian robot cluster tool
US7374393B2 (en) Method of retaining a substrate during a substrate transferring process
US7651306B2 (en) Cartesian robot cluster tool architecture
US20060182535A1 (en) Cartesian robot design
US20080166210A1 (en) Supinating cartesian robot blade
US7819079B2 (en) Cartesian cluster tool configuration for lithography type processes
JP6179910B2 (en) Substrate transfer apparatus having different holding end effectors
USRE37470E1 (en) Substrate processing apparatus and substrate processing method
US8562272B2 (en) Substrate load and unload mechanisms for high throughput
KR20090028417A (en) Substrate processing apparatus and substrate processing method
WO2006069341A2 (en) Cluster tool architecture for processing a substrate
TWI692051B (en) Wafer swapper
WO2023086848A1 (en) Nesting atmospheric robot arms for high throughput
KR20090037169A (en) Apparatus for transferring substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee