KR20070099185A - Efem used in the apparatus for manufacturing semiconductor substrates - Google Patents

Efem used in the apparatus for manufacturing semiconductor substrates Download PDF

Info

Publication number
KR20070099185A
KR20070099185A KR1020060030242A KR20060030242A KR20070099185A KR 20070099185 A KR20070099185 A KR 20070099185A KR 1020060030242 A KR1020060030242 A KR 1020060030242A KR 20060030242 A KR20060030242 A KR 20060030242A KR 20070099185 A KR20070099185 A KR 20070099185A
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
manufacturing apparatus
line
frame
substrate manufacturing
Prior art date
Application number
KR1020060030242A
Other languages
Korean (ko)
Inventor
안병찬
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060030242A priority Critical patent/KR20070099185A/en
Publication of KR20070099185A publication Critical patent/KR20070099185A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

An EFEM for a semiconductor substrate manufacturing apparatus is provided to define quickly an operation parameter of the semiconductor substrate manufacturing apparatus by simultaneously performing the film thickness measuring process and a surface inspection process. An EFEM(Equipment Front End Module) for a semiconductor substrate manufacturing apparatus includes a frame(120), a load port(130), and an in-line monitor(140). The frame includes a transfer robot(160). The load port and the in-line monitor are arranged at one sidewall of the frame. The in-line monitor includes an in-line thickness measuring unit and an in-line defect detector. The in-line monitor is a normal mode or an oblique mode device.

Description

반도체 기판 제조 장치에 사용되는 이에프이엠{EFEM Used in the Apparatus for Manufacturing Semiconductor Substrates}EFEM Used in the Apparatus for Manufacturing Semiconductor Substrates

도 1은 본 발명의 실시예에 따른 반도체 기판의 물질막 두께 측정 장치를 설명하기 위한 개략적인 구성도;1 is a schematic diagram illustrating a material film thickness measuring apparatus of a semiconductor substrate according to an embodiment of the present invention;

도 2는 본 발명의 실시예에 따른 반도체 기판의 표면 결함 검사 장치를 설명하기 위한 개략적인 구성도;2 is a schematic configuration diagram illustrating a surface defect inspection apparatus of a semiconductor substrate according to an embodiment of the present invention;

도 3은 본 발명의 실시예에 따른 반도체 기판 제조 장치에 사용되는 이에프이엠을 설명하기 위한 개략적인 반도체 기판 제조 장치의 평면도.Figure 3 is a plan view of a schematic semiconductor substrate manufacturing apparatus for explaining the EMP used in the semiconductor substrate manufacturing apparatus according to an embodiment of the present invention.

본 발명은 반도체 제조 장치에 관한 것으로, 더 구체적으로 반도체 기판 제조 장치에 사용되는 이에프이엠에 관한 것이다.TECHNICAL FIELD The present invention relates to a semiconductor manufacturing apparatus, and more particularly, to an EMP used in a semiconductor substrate manufacturing apparatus.

반도체 제조 공정 중 반도체 기판(semiconductor substrates)의 표면에 형성된 물질막의 두께를 측정하는 공정 및 표면 상의 결함(defect)을 검사하는 공정은 필수적이다. 예를 들면, 물질막 증착 공정이나 화학적 기계적 연마(CMP : Chemical Mechanical Polishing) 공정을 수행한 다음에는 반도체 기판의 표면에 형성된 물질 막의 두께를 측정하여 상기한 공정들의 상태를 점검한다. 또한, 미세 패턴(micro pattern)을 형성하기 위한 패터닝(patterning) 공정이나 화학적 기계적 연마 공정을 수행한 다음에는 반도체 기판의 표면 상에 파티클(particle) 또는 미세한 흠집(micro scratch) 등의 결함을 검사하여 상기한 공정들의 상태를 점검한다. 만약 점검 결과가 양호하지 못할 경우에는 상기 공정들을 다시 수행하거나 반도체 기판 제조 장치의 공정 설정치을 재설정한다.During the semiconductor manufacturing process, a process of measuring the thickness of the material film formed on the surface of the semiconductor substrates and a process of inspecting defects on the surface are essential. For example, after performing a material film deposition process or a chemical mechanical polishing (CMP) process, the thickness of the material film formed on the surface of the semiconductor substrate is measured to check the state of the above processes. In addition, after performing a patterning process or a chemical mechanical polishing process for forming a micro pattern, defects such as particles or micro scratches are inspected on the surface of the semiconductor substrate. Check the status of the above processes. If the inspection result is not good, the above processes are performed again or the process set values of the semiconductor substrate manufacturing apparatus are reset.

최근에 반도체 기판인 웨이퍼(wafer)의 직경이 200mm에서 300mm로 커짐에 따라, 반도체 기판 제조 장치는 완전한 자동화에 의해 공정을 수행하며, 공정 설비에는 웨이퍼 핸들링 시스템(wafer handling system)의 하나인 이에프이엠(EFEM : Equipment Front End Module)이 장착된다. 이에프이엠은 프레임(frame)과 그 일측벽에 풉(FOUP : Front Open Unified Pod)과 같은 반도체 기판 저장 용기가 놓이는 로드 포트(load port)를 가진다.Recently, as the diameter of a wafer, which is a semiconductor substrate, increases from 200 mm to 300 mm, the semiconductor substrate manufacturing apparatus performs the process by complete automation, and the process equipment is one of the wafer handling systems. (EFEM: Equipment Front End Module) is installed. The EPM has a load port on which a semiconductor substrate storage container such as a front open unified pod (FOUP) is placed on a frame and one side wall thereof.

프레임의 내부에는 풉의 도어(door)를 개폐하는 도어 오프너(door opener)가 설치되고, 로드 포트와 공정 설비 사이에서 반도체 기판을 이송하는 이송 로봇(transfer robot)이 배치된다. 이를 위해, 이송 로봇은 프레임 내부에서 이동 가능하도록 설치되며, 프레임의 하부 바닥에는 이송 로봇의 이동을 안내하는 가이드 레일(guide rail)이 설치된다.A door opener for opening and closing the door of the pull is installed inside the frame, and a transfer robot for transferring the semiconductor substrate between the load port and the process equipment is disposed. To this end, the transfer robot is installed to be movable within the frame, and a guide rail for guiding the movement of the transfer robot is installed at the bottom of the frame.

종래의 반도체 기판 제조 장치에 사용되는 이에프이엠은 반도체 기판의 물질막 두께를 측정하기 위한 인라인 두께 측정기(ITM : In-line Thickness Monitor)가 프레임의 일측에 장착되어 있다. 이는 공정 설비에서 공정을 마친 반도체 기판의 상태를 바로 점검하기 위한 것이다.EEPM used in the conventional semiconductor substrate manufacturing apparatus is equipped with an in-line thickness monitor (ITM) for measuring the material film thickness of the semiconductor substrate on one side of the frame. This is to immediately check the state of the semiconductor substrate after the process in the process equipment.

상기와 같은 이에프이엠을 사용하는 반도체 기판 제조 장치를 검증하기 위해서는 반도체 기판의 물질막 두께를 측정한 후, 반도체 기판의 표면 결함을 외부의 다른 검사 장치를 사용하여 검사하게 된다. 이와 같이, 반도체 기판의 물질막 두께 측정 및 표면 결함 검사가 따로 수행된 다음에야 반도체 기판 제조 장치를 검증하게 됨으로써, 반도체 기판 제조 장치의 예방 유지 보수(PM : Preventive Management) 공정 및 설정 변경으로 인해 발생하는 반도체 기판 제조 장치의 백업(back-up) 공정에서 많은 시간 및 복잡한 공정이 요구되는 문제점이 있다.In order to verify the apparatus for manufacturing a semiconductor substrate using the above EMP, after measuring the thickness of the material film of the semiconductor substrate, the surface defects of the semiconductor substrate are inspected using another external inspection apparatus. As such, the semiconductor substrate manufacturing apparatus is verified only after the material film thickness measurement and the surface defect inspection of the semiconductor substrate are separately performed, thereby occurring due to the preventive management (PM) process and setting change of the semiconductor substrate manufacturing apparatus. In the back-up process of the semiconductor substrate manufacturing apparatus, a lot of time and a complicated process are required.

본 발명이 이루고자 하는 기술적 과제는 반도체 기판 제조 장치의 예방 유지 보수 공정 및 설정 변경으로 인해 발생하는 백업 공정의 시간 및 공수를 단축할 수 있는 반도체 기판 제조 장치에 사용되는 기판 이송 모듈을 제공하는 데 있다.SUMMARY OF THE INVENTION The present invention has been made in an effort to provide a substrate transfer module for use in a semiconductor substrate manufacturing apparatus capable of shortening the time and labor of a backup process caused by a preventive maintenance process and a setting change of a semiconductor substrate manufacturing apparatus. .

본 발명은 반도체 기판 제조 장치에 사용되는 이에프이엠을 제공한다. 이 이에프이엠은 이송 로봇을 구비하는 프레임 및 프레임의 일측벽에 구비된 로드 포트 및 인라인 측정부를 포함한다. 인라인 측정부는 인라인 두께 측정기 및 인라인 결함 측정기로 이루어져 반도체 기판의 두께 및 표면의 결함을 병합하여 측정하는 것을 특징으로 한다.The present invention provides an EMP used in a semiconductor substrate manufacturing apparatus. This EMP comprises a frame having a transfer robot and a load port and an inline measuring unit provided on one side wall of the frame. The in-line measuring unit includes an in-line thickness meter and an in-line defect meter to measure the thickness and surface defects of the semiconductor substrate by combining them.

인라인 두께 측정기 및 인라인 결함 측정기는 하나의 인라인 측정기일 수 있다.The inline thickness meter and the inline defect meter may be one inline meter.

인라인 측정기는 노말 모드 또는 오블릭 모드의 장치일 수 있다.The inline meter may be a device in normal mode or of oblique mode.

이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나 본 발명은 여기서 설명되는 실시예에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해서 과장된 것이다. 도면들에 있어서, 동일한 기능을 수행하는 구성요소에 대해서는 동일한 참조번호가 병기되어 있다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the embodiments described herein and may be embodied in other forms. Rather, the embodiments introduced herein are provided so that the disclosure may be made thorough and complete, and to fully convey the spirit of the invention to those skilled in the art. Therefore, the shape of the elements in the drawings are exaggerated to emphasize a clearer description. In the drawings, like reference numerals designate like elements that perform the same function.

도 1은 본 발명의 실시예에 따른 반도체 기판의 물질막 두께 측정 장치를 설명하기 위한 개략적인 구성도이다.1 is a schematic diagram illustrating a material film thickness measuring apparatus of a semiconductor substrate according to an exemplary embodiment of the present invention.

도 1을 참조하면, 일반적으로 반도체 기판(W)의 물질막 두께 측정 장치는 스테이지(satge, 10), 광 발생기(30) 및 광 검출기(40)를 포함한다. 스테이지(10)는 반도체 기판(W)을 지지하는 동시에 전면에 대한 측정이 수행될 수 있도록 평면 이동이 가능할 수 있다. 광 발생기(30)는 스테이지(10)에 장착된 반도체 기판(W)의 물질막 두께를 측정하기 위하여 반도체 기판(W)의 전면에 광(혹은 레이저)을 조사할 수 있다.Referring to FIG. 1, a material film thickness measuring apparatus of a semiconductor substrate W generally includes a stage 10, a light generator 30, and a light detector 40. The stage 10 may support the semiconductor substrate W and may move in a plane so that a measurement on the front surface thereof may be performed. The light generator 30 may irradiate light (or a laser) on the entire surface of the semiconductor substrate W to measure the material film thickness of the semiconductor substrate W mounted on the stage 10.

광 발생기(30)로부터 조사되는 광(혹은 레이저)은 반도체 기판(W)의 표면에 형성되어 있는 물질막의 상부면 및 하부면에 의해 각각 반사될 수 있다. 물질막의 상부면 및 하부면에 의해 각각 반사된 광들은 광 검출기(40)에 의해 위상차를 가지며 검출되는데, 물질막의 두께가 두꺼우면 반사된 광들 사이의 검출 위상차가 클 수 있다. 반면에, 물질막의 두께가 얇으면 반사된 광들 사이의 검출 위상차가 적을 수 있다.Light (or laser) irradiated from the light generator 30 may be reflected by upper and lower surfaces of the material film formed on the surface of the semiconductor substrate W, respectively. Light reflected by the upper and lower surfaces of the material film, respectively, has a phase difference detected by the photodetector 40. When the thickness of the material film is thick, the detection phase difference between the reflected lights may be large. On the other hand, when the thickness of the material film is thin, the detection phase difference between the reflected lights may be small.

반도체 기판(W)의 물질막 두께 측정 장치는 반도체 기판(W)의 선택된 소정 부위만의 물질막 두께를 측정할 수 있으며, 반도체 기판(W)의 전면에 대한 물질막 두께를 측정할 수도 있다.The material film thickness measuring apparatus of the semiconductor substrate W may measure the material film thickness of only a selected portion of the semiconductor substrate W, and may measure the material film thickness of the entire surface of the semiconductor substrate W.

도 2는 본 발명의 실시예에 따른 반도체 기판의 표면 결함 검사 장치를 설명하기 위한 개략적인 구성도이다.2 is a schematic diagram illustrating a surface defect inspection apparatus of a semiconductor substrate according to an embodiment of the present invention.

도 2를 참조하면, 일반적으로 반도체 기판(W)의 표면 결함 검사 장치는 스테이지(satge, 10), 광 발생기(30) 및 광 검출기(40)를 포함한다. 스테이지(10)는 반도체 기판(W)을 지지하는 동시에 전면에 대한 측정이 수행될 수 있도록 평면 이동이 가능할 수 있다. 광 발생기(30)는 스테이지(10)에 장착된 반도체 기판(W)의 표면 결함을 검사하기 위하여 반도체 기판(W)의 전면에 광(혹은 레이저)을 조사할 수 있다.Referring to FIG. 2, a surface defect inspection apparatus of a semiconductor substrate W generally includes a stage 10, a light generator 30, and a light detector 40. The stage 10 may support the semiconductor substrate W and may move in a plane so that a measurement on the front surface thereof may be performed. The light generator 30 may irradiate light (or a laser) on the entire surface of the semiconductor substrate W to inspect surface defects of the semiconductor substrate W mounted on the stage 10.

광 발생기(30)로부터 조사되는 광(혹은 레이저)은 반도체 기판(W)의 표면에 존재하는 파티클 또는 미세한 흠집 등의 결함에 의해 산란할 수 있다. 산란한 광의 세기는 표면 결함의 크기에 따라 변하는데, 표면 결함의 크기가 상대적으로 크면 광의 세기가 상대적으로 강할 수 있다. 반면에, 표면 결함의 크기가 상대적으로 작으면 빛의 세기도 상대적으로 약할 수 있다. 조사되는 광으로 레이저를 사용하는 경우, 파장 범위가 매우 좁은 레이저의 특성으로 인해 μm보다 작은 nm 크기의 표면 결함에 의해서도 산란할 수 있다. 또한, 광 발생기(30)는 조사되는 미세한 표면 결함에 의해서 산란이 잘 일어나도록 반도체 기판(W)에 대해서 20~80°의 각도를 가질 수 있다.Light (or laser) irradiated from the light generator 30 may be scattered by defects such as particles or minute scratches present on the surface of the semiconductor substrate W. FIG. The intensity of the scattered light varies depending on the size of the surface defect. If the size of the surface defect is relatively large, the intensity of the light may be relatively strong. On the other hand, if the size of the surface defects is relatively small, the light intensity may be relatively weak. When lasers are used as the light to be irradiated, they can also be scattered by surface defects of nm size smaller than μm due to the characteristics of the laser having a very narrow wavelength range. In addition, the light generator 30 may have an angle of 20 to 80 ° with respect to the semiconductor substrate W so that scattering is easily caused by the minute surface defects to be irradiated.

광 검출기(40)는 반도체 기판(W)의 표면 결함에 의해 산란하는 광(혹은 레이저)만을 검출할 수 있다. 반도체 기판(W)의 표면 결함에 의해 산란하는 광(혹은 레이저)을 반도체 기판(W)의 전면에 대해 주사(scanning)하는 방식으로 검출할 수 있다. 이에 따라, 광 검출기(40)는 반도체 기판(W)의 표면 결함이 있는 부위는 밝은 영역을 표시하고, 표면 결함이 없는 부위는 어두운 영역으로 표시할 수 있다.The photo detector 40 may detect only light (or laser) scattered by the surface defect of the semiconductor substrate W. FIG. The light (or laser) scattered by the surface defect of the semiconductor substrate W can be detected by scanning the entire surface of the semiconductor substrate W. As a result, the photodetector 40 may display a bright area at a portion having a surface defect of the semiconductor substrate W and a dark region at a portion having no surface defect.

도 1 및 도 2의 반도체 기판(W)의 물질막 두께 측정 장치 및 표면 결함 검사 장치는 동일하게 광(혹은 레이저)을 사용하기 때문에, 동일한 곳에 설치가 가능할 수 있다. 또한, 각각의 장치는 그 사용의 구조만 다르기 때문에, 서로 교환하여 사용이 가능할 수 있다.Since the material film thickness measuring apparatus and the surface defect inspection apparatus of the semiconductor substrate W of FIGS. 1 and 2 use the same light (or laser), they may be installed in the same place. In addition, since each device differs only in the structure of its use, the devices may be used interchangeably.

도 1의 반도체 기판의 물질막 두께 측정 장치는 노말 모드(normal mode)의 장치로 반도체 기판(W)에 대해 수직인 방향으로 광(혹은 레이저)을 조사하기 때문에 주로 물질막 두께 측정에 이용되지만, 표면 결함 검사에도 이용될 수 있다.The material film thickness measuring apparatus of the semiconductor substrate of FIG. 1 is a device in a normal mode, and is mainly used for measuring material film thickness because light (or laser) is irradiated in a direction perpendicular to the semiconductor substrate W. It can also be used for surface defect inspection.

도 2의 반도체 기판의 표면 결함 검사 장치는 오블릭 모드(oblique mode)의 장치로 반도체 기판(W)의 중심축으로부터 소정의 각도로 기울어진 방향으로 광(혹은 레이저)을 조사하기 때문에 주로 표면 결함 검사에 이용되지만, 물질막 두께 측정에도 이용될 수 있다.The surface defect inspection apparatus of the semiconductor substrate of FIG. 2 mainly uses surface defects because it irradiates light (or laser) in a direction inclined at a predetermined angle from the central axis of the semiconductor substrate W by an oblique mode apparatus. Although used for inspection, it can also be used for material film thickness measurement.

도 3은 본 발명의 실시예에 따른 반도체 기판 제조 장치에 사용되는 이에프이엠을 설명하기 위한 개략적인 반도체 기판 제조 장치의 평면도이다.3 is a plan view of a schematic semiconductor substrate manufacturing apparatus for explaining an EMP used in the semiconductor substrate manufacturing apparatus according to an embodiment of the present invention.

도 3을 참조하면, 반도체 기판 제조 장치는 완전한 자동화에 의해 공정을 수행할 수 있으며, 공정 설비에는 웨이퍼 핸들링 시스템의 하나인 이에프이엠이 장착될 수 있다. 이에프이엠은 이송 로봇(160)을 구비하는 프레임(120) 및 프레임(120)의 일측벽에 구비된 로드 포트(130) 및 인라인 측정부(in-line monitor, 140)를 포함할 수 있다.Referring to FIG. 3, the apparatus for manufacturing a semiconductor substrate may perform a process by complete automation, and the process equipment may be equipped with an EMP, which is one of wafer handling systems. The EPM may include a frame 120 including the transfer robot 160, a load port 130 provided on one side wall of the frame 120, and an in-line monitor 140.

로드 포트(130)는 풉과 같은 반도체 기판 저장 용기가 놓일 수 있다. 프레임(120)의 내부에는 풉의 도어를 개폐하는 도어 오프너(도시하지 않음)가 설치될 수 있으며, 로드 포트(130)와 공정 설비 사이에서 반도체 기판을 이송하는 이송 로봇(160)이 배치될 수 있다. 이러한 반도체 기판을 원활히 이송하기 위해, 이송 로봇(160)은 프레임(120) 내부에서 이동 가능하도록 설치될 수 있다. 이에 따라, 프레임(120)의 하부 바닥에는 이송 로봇(160)의 이동을 안내하는 가이드 레일이 설치될 수 있다.The load port 130 may be placed on a semiconductor substrate storage container such as a pull. A door opener (not shown) may be installed in the frame 120 to open and close the door of the pull, and a transfer robot 160 may be disposed to transfer the semiconductor substrate between the load port 130 and the process equipment. have. In order to smoothly transfer the semiconductor substrate, the transfer robot 160 may be installed to be movable in the frame 120. Accordingly, a guide rail for guiding the movement of the transfer robot 160 may be installed at the bottom bottom of the frame 120.

인라인 측정부(140)은 인라인 두께 측정기 및 인라인 결함 측정기로 이루어져 반도체 기판의 물질막 두께 및 표면의 결함을 병합하여 측정할 수 있다. 인라인 두께 측정기 및 인라인 결함 측정기는 앞서 도 1 및 도 2에서 설명한 각각의 장치들로 이루어질 수 있다. 또는, 도 1 및 도 2에서 설명한 장치들이 병합된 하나의 장치로 구성될 수도 있다.The inline measuring unit 140 may be formed of an inline thickness meter and an inline defect meter to measure a material film thickness of a semiconductor substrate and defects on a surface thereof. The inline thickness meter and the inline defect meter may be composed of the respective devices described above with reference to FIGS. 1 and 2. Alternatively, the devices described with reference to FIGS. 1 and 2 may be combined into one device.

또한, 인라인 측정기는 노말 모드 또는 오블릭 모드의 장치일 수 있다. 이에 따라, 반도체 기판 제조 장치의 예방 유지 보수 공정 및 설정 변경으로 인해 발생하는 백업 공정 중에서 반도체 기판 제조 장치의 공정 설정치를 확정하는데 소요되 는 시간을 줄일 수 있다.The inline meter may also be a device in normal mode or of oblique mode. Accordingly, it is possible to reduce the time required to determine the process set value of the semiconductor substrate manufacturing apparatus during the preventive maintenance process and the setting change of the semiconductor substrate manufacturing apparatus.

반도체 기판 제조 장치 중에서 화학적 기계적 연마 장치를 예로 들면, 종래기술의 이에프이엠을 사용하는 경우에는 예방 유지 보수 공정에 소요되는 대략적인 시간은 제조 장치의 소모품 교체, 교체 부품 시험 동작(aging), 시험 공정 수행 및 공정 설정치 확정에 대하여 각각 20분, 15분, 15분 및 20분 정도이다.In the case of using a chemical mechanical polishing apparatus as an example of a semiconductor substrate manufacturing apparatus, in the case of using EEPM of the prior art, the approximate time required for the preventive maintenance process may include replacing consumables, aging, and testing of consumables of the manufacturing apparatus. It takes about 20 minutes, 15 minutes, 15 minutes and 20 minutes for performance and process settling, respectively.

반면에, 본 발명의 실시예와 같은 이에프이엠을 사용하는 반도체 기판 제조 장치의 예방 유지 보수 공정에 소요되는 대략적인 시간은 제조 장치의 소모품 교체, 교체 부품 시험 동작, 시험 공정 수행 및 공정 설정치 확정에 대하여 각각 20분, 15분, 15분 및 5분 정도이다.On the other hand, the approximate time required for the preventive maintenance process of the semiconductor substrate manufacturing apparatus using EFM as the embodiment of the present invention is to replace the consumables of the manufacturing apparatus, test the replacement parts, perform the test process, and confirm the process set values. 20 minutes, 15 minutes, 15 minutes and 5 minutes respectively.

종래기술과 본 발명의 실시예 사이의 차이점은 인라인 측정부에서 반도체 기판의 물질막 두께 측정 및 표면 결함 검사를 동시에 수행함으로써, 반도체 기판 제조 장치의 공정 설정치를 짧은 시간 내에 확정할 수 있다는 것이다. 또한, 반도체 기판 제조 장치의 공정 설정치가 갑자기 변경되더라도 새롭게 주어지는 공정 설정치을 짧은 시간 내에 적용할 수 있다는 것이다.The difference between the prior art and the embodiment of the present invention is that the process setting value of the semiconductor substrate manufacturing apparatus can be determined within a short time by simultaneously performing the material film thickness measurement and the surface defect inspection of the semiconductor substrate in the in-line measuring unit. In addition, even if the process setting value of the semiconductor substrate manufacturing apparatus is suddenly changed, the newly given process setting value can be applied within a short time.

상기한 본 발명의 실시예와 같은 반도체 기판 제조 장치에 사용되는 이에프이엠을 사용함으로써, 반도체 기판 제조 장치의 예방 유지 보수 공정 및 설정 변경으로 인해 발생되는 백업 공정의 시간 및 공수를 단축할 수 있다. 이에 따라, 업무 단순화 및 설비 효율성을 향상시킬 수 있는 반도체 기판 제조 장치에 사용되는 이에프이엠을 제공할 수 있다.By using EEPM used in the semiconductor substrate manufacturing apparatus as in the above-described embodiment of the present invention, it is possible to shorten the time and labor of the backup process caused by the preventive maintenance process and the setting change of the semiconductor substrate manufacturing apparatus. Accordingly, it is possible to provide an EMP used in a semiconductor substrate manufacturing apparatus capable of simplifying work and improving equipment efficiency.

상술한 바와 같이, 본 발명에 따르면 반도체 기판 제조 장치의 예방 유지 보수 공정 및 설정 변경으로 인해 발생하는 백업 공정의 시간 및 공수를 단축함으로써, 업무 단순화 및 설비 효율성을 향상시킬 수 있는 반도체 기판 제조 장치에 사용되는 이에프이엠을 제공할 수 있다.As described above, according to the present invention, a semiconductor substrate manufacturing apparatus capable of simplifying work and improving equipment efficiency by shortening the time and man-hour of a backup process occurring due to the preventive maintenance process and setting change of the semiconductor substrate manufacturing apparatus. It can provide an EMP used.

Claims (3)

이송 로봇을 구비하는 프레임; 및A frame having a transfer robot; And 상기 프레임의 일측벽에 구비된 로드 포트 및 인라인 측정부를 포함하되, 상기 인라인 측정부는 인라인 두께 측정기 및 인라인 결함 측정기로 이루어져 반도체 기판의 두께 및 표면의 결함을 병합하여 측정하는 것을 특징으로 하는 반도체 기판 제조 장치에 사용되는 이에프이엠.Including a load port and an in-line measuring unit provided on one side wall of the frame, wherein the in-line measuring unit is made of an in-line thickness meter and an in-line defect meter to measure the thickness and surface defects of the semiconductor substrate by combining the measurement IFM used in the device. 제 1항에 있어서,The method of claim 1, 상기 인라인 두께 측정기 및 상기 인라인 결함 측정기는 하나의 인라인 측정기인 것을 특징으로 하는 반도체 기판 제조 장치에 사용되는 이에프이엠.And the in-line thickness meter and the in-line defect meter are one in-line measuring device. 제 2항에 있어서,The method of claim 2, 상기 인라인 측정기는 노말 모드 또는 오블릭 모드의 장치인 것을 특징으로 하는 반도체 기판 제조 장치에 사용되는 이에프이엠.The in-line measuring device is used in a semiconductor substrate manufacturing apparatus, characterized in that the normal mode or oblique mode of the device.
KR1020060030242A 2006-04-03 2006-04-03 Efem used in the apparatus for manufacturing semiconductor substrates KR20070099185A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060030242A KR20070099185A (en) 2006-04-03 2006-04-03 Efem used in the apparatus for manufacturing semiconductor substrates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060030242A KR20070099185A (en) 2006-04-03 2006-04-03 Efem used in the apparatus for manufacturing semiconductor substrates

Publications (1)

Publication Number Publication Date
KR20070099185A true KR20070099185A (en) 2007-10-09

Family

ID=38804675

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060030242A KR20070099185A (en) 2006-04-03 2006-04-03 Efem used in the apparatus for manufacturing semiconductor substrates

Country Status (1)

Country Link
KR (1) KR20070099185A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102080016B1 (en) 2018-09-17 2020-02-21 주식회사 에이케이테크 Gaseous nitrogen spray structure to wafer for equipment front end module and equipment front end module comprising the wafer cooling structure for equipment front end module

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102080016B1 (en) 2018-09-17 2020-02-21 주식회사 에이케이테크 Gaseous nitrogen spray structure to wafer for equipment front end module and equipment front end module comprising the wafer cooling structure for equipment front end module

Similar Documents

Publication Publication Date Title
KR101324419B1 (en) Methods and systems for determining a characteristic of a wafer
US10267745B2 (en) Defect detection method and defect detection device and defect observation device provided with same
JP5032114B2 (en) Patterned or non-patterned wafer and other specimen inspection systems
KR101993936B1 (en) Substrate inspection method, substrate inspection device, exposure system, and manufacturing method for semiconductor device
US9970885B2 (en) Inspection apparatus and inspection method
US8830465B2 (en) Defect inspecting apparatus and defect inspecting method
KR20180028787A (en) Defect inspection system and method, and method for fabricating semiconductor using the inspection method
JP2007501942A (en) Optical test method and optical test apparatus for optically controlling the quality of an object preferably having a circular edge
US7426031B2 (en) Method and apparatus for inspecting target defects on a wafer
CN100380621C (en) Wafer fault detecting method and system and storage media
KR20210121263A (en) Reference image generation for semiconductor applications
US7486392B2 (en) Method of inspecting for defects and apparatus for performing the method
KR20010037026A (en) Wafer inspection system having recipe parameter library and method of setting recipe prameters for wafer inspection
KR20110087069A (en) Wafer surface inspection apparatus in capable of inspecting both sides of wafer simultaneously
KR102430478B1 (en) Method of inspecting a wafer
KR20070099185A (en) Efem used in the apparatus for manufacturing semiconductor substrates
EP2261644B1 (en) Method for judging whether a semiconductor wafer is a non-defective wafer by using a laser scattering method
JPH06194320A (en) Method and equipment for inspecting mirror face substrate in semiconductor manufacturing line and method for manufacturing
KR101695192B1 (en) Automated calibration methodology for vuv metrology system
JP2006270111A (en) Method for inspecting semiconductor device and its equipment
JPH0521561A (en) Method and equipment for detecting foreign matter on mirror wafer and method and equipment for analyzing the foreign matter
TW202036765A (en) A substrate container, a lithographic apparatus and a method using a lithographic apparatus
Florescu et al. Detection and measurement of particulate contaminants
KR100722804B1 (en) Apparatus and method for inspecting a wafer
KR101785069B1 (en) Darkfield illumination device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination