KR20070093672A - Method for forming a pattern and method for forming a floating gate of the non-volatile memory device using the same - Google Patents

Method for forming a pattern and method for forming a floating gate of the non-volatile memory device using the same Download PDF

Info

Publication number
KR20070093672A
KR20070093672A KR1020060023810A KR20060023810A KR20070093672A KR 20070093672 A KR20070093672 A KR 20070093672A KR 1020060023810 A KR1020060023810 A KR 1020060023810A KR 20060023810 A KR20060023810 A KR 20060023810A KR 20070093672 A KR20070093672 A KR 20070093672A
Authority
KR
South Korea
Prior art keywords
etching process
pattern
wet etching
forming
patterns
Prior art date
Application number
KR1020060023810A
Other languages
Korean (ko)
Inventor
정대혁
여인준
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060023810A priority Critical patent/KR20070093672A/en
Publication of KR20070093672A publication Critical patent/KR20070093672A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region

Abstract

A pattern forming method and a method for forming a floating gate in a nonvolatile memory device using the same are provided to prevent the generation of seams at a material layer for forming a pattern by using a wet etching process. First patterns are repeatedly formed on a substrate(100). The first pattern has a sidewall with a negative slope. The first pattern is made of a first material. First opening portions(110a) between the first patterns are filled with a second material with a different etch ratio from that of the first material, so that second patterns are formed on the resultant structure. Pre-second opening portions are formed between the second patterns by removing the first patterns from the resultant structure using a first wet etching process. Second opening portions with vertical sidewalls are formed by removing partially upper sidewalls of the second patterns using a second wet etching process. Third patterns are formed on the resultant structure by filling the second opening portions with a third material.

Description

패턴 형성 방법 및 이를 이용한 불휘발성 메모리 장치의 플로팅 게이트 형성 방법{method for forming a pattern and method for forming a floating gate of the non-volatile memory device using the same}Method for forming a pattern and method for forming a floating gate of the non-volatile memory device using the same

도 1a 내지 도 1f는 본 발명의 일 실시예에 따른 패턴 형성 방법을 설명하기 위한 단면도들이다.1A to 1F are cross-sectional views illustrating a method of forming a pattern according to an embodiment of the present invention.

도 2a 내지 도 2h는 본 발명의 다른 실시예에 따른 불휘발성 메모리 장치의 플로팅 게이트 형성 방법을 설명하기 위한 단면도들이다2A to 2H are cross-sectional views illustrating a method of forming a floating gate in a nonvolatile memory device according to another embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

100, 200 : 반도체 기판 102 : 제1 물질층100 and 200: semiconductor substrate 102: first material layer

104 : 제1 패턴 106 : 제1 개구부104: first pattern 106: first opening

108 : 제2 패턴 110a, 214a : 제1 개구부108: second pattern 110a, 214a: first opening

204 : 하드 마스크용 질화막 210 : 트렌치204: nitride film for hard mask 210: trench

212a : 소자 분리막 패턴 218:플로팅 게이트212a: device isolation layer pattern 218: floating gate

본 발명은 패턴 형성 방법 및 이를 이용한 불휘발성 메모리 장치의 플로팅 게이트 형성 방법에 관한 것으로서, 보다 상세하게는 시임이 생성되는 것을 방지할 수 있는 패턴 방법 및 이를 이용한 불휘발성 메모리 장치의 플로팅 게이트 형성 방법에 관한 것이다. 반도체 메모리 장치는 DRAM(dynamic random access memory) 및 SRAM(static random access memory)과 같이 데이터의 입·출력이 상대적으로 빠른 반면, 시간이 경과됨에 따라 데이터가 소실되는 휘발성(volatile) 메모리 장치와, ROM(read only memory)과 같이 데이터의 입·출력이 상대적으로 느리지만, 데이터를 영구 저장이 가능한 불휘발성(non-volatile) 메모리 장치로 구분될 수 있다. 상기 불휘발성 메모리 장치의 경우, 전기적으로 데이터의 입·출력이 가능한 EEPROM(electrically erasable and programmable ROM) 또는 플래시 메모리에 대한 수요가 늘고 있다. 상기 플래시 메모리 장치는 F-N 터널링(Fowler-Nordheim tunneling) 또는 채널 열전자 주입(channel hot electron injection)을 이용하여 전기적으로 데이터의 입·출력을 제어하는 구조를 갖는다.The present invention relates to a pattern forming method and a floating gate forming method of a nonvolatile memory device using the same, and more particularly, to a pattern method capable of preventing the generation of seams and a floating gate forming method of the nonvolatile memory device using the same. It is about. Semiconductor memory devices, such as dynamic random access memory (DRAM) and static random access memory (SRAM), have relatively fast data input and output, while volatile memory devices lose data over time, and ROM Although data input and output is relatively slow, such as read only memory, it can be classified as a non-volatile memory device that can store data permanently. In the case of the nonvolatile memory device, there is an increasing demand for an electrically erasable and programmable ROM (EEPROM) or flash memory capable of electrically inputting / outputting data. The flash memory device has a structure for electrically controlling input and output of data by using F-N tunneling or channel hot electron injection.

상기 플래쉬 메모리 장치를 회로적 관점에서 살펴보면, n개의 셀 트랜지스터들이 직렬로 연결되어 단위 스트링(string)을 이루고 이러한 단위 스트링들이 비트라인과 접지라인(ground line) 사이에 병렬로 연결되어 있는 NAND형과, 각각의 셀 트랜지스터들이 비트라인과 접지라인 사이에 병렬로 연결되어 있는 NOR형으로 구분할 수 있다. 상기 NOR형은 고속 동작에 유리한 반면, 상기 NAND형은 고집적화에 유리하다.Looking at the flash memory device from a circuit point of view, the NAND type in which n cell transistors are connected in series to form a unit string, and the unit strings are connected in parallel between a bit line and a ground line. Each cell transistor can be classified into a NOR type in which parallel connection is made between a bit line and a ground line. The NOR type is advantageous for high speed operation, while the NAND type is advantageous for high integration.

플래쉬 메모리 셀은 일반적으로 실리콘 기판 상에 형성된 플로팅 게이트를 구비하는 수직 적층형 게이트 구조를 갖는다. 다층 게이트 구조는 전형적으로 하나 이상의 터널 산화막 또는 유전막과, 상기 플로팅 게이트의 위 또는 주변에 형성된 컨트롤 게이트를 포함한다.Flash memory cells generally have a vertically stacked gate structure with floating gates formed on a silicon substrate. The multilayer gate structure typically includes one or more tunnel oxide or dielectric films and a control gate formed on or around the floating gate.

통상적으로, NAND형 플래쉬 메모리 셀의 적층형 게이트 구조는 라인형의 액티브 영역 상에 각각 플로팅 게이트 전극이 구비되어 있다. 상기 플로팅 게이트 전극은 상기 액티브 영역 상에 정확히 얼라인되도록 형성되어야 한다. 그러나, 최근 메모리 셀의 디자인-룰이 점점 더 작아짐에 따라 상기 액티브 영역의 면적이 더욱 감소되고 있으며 상기 플로팅 게이트 전극의 임계치수도 더욱 작아지고 있다. 때문에, 상기 플로팅 게이트 전극과 액티브 영역간의 미스 얼라인 문제가 심각하게 대두되고 있다.Typically, the stacked gate structure of the NAND type flash memory cell is provided with floating gate electrodes on each of the line type active regions. The floating gate electrode should be formed to be exactly aligned on the active region. However, as the design rule of the memory cell becomes smaller and smaller, the area of the active region is further reduced, and the threshold of the floating gate electrode is also smaller. Therefore, the misalignment problem between the floating gate electrode and the active region is seriously raised.

상기 문제를 감소시키기 위해서, 플로팅 게이트를 자기 정렬된 폴리실리콘(self-aligned polysilicon; SAP)으로 형성하는 방법을 사용하고 있다. 이는, 상기 플로팅 게이트가 형성될 영역에 개구부가 형성되도록 하고, 상기 개구부 내에 폴리실리콘을 매립하는 방법으로 진행하는 것이다. In order to reduce the problem, a method of forming a floating gate from self-aligned polysilicon (SAP) is used. In this case, an opening is formed in a region where the floating gate is to be formed, and the method proceeds to embedding polysilicon in the opening.

그러나, 상기 개구부 내에 폴리실리콘을 매립할 시에 상기 폴리실리콘의 높은 스텝커버러지 증착 특성에 의해 개구부의 중심 부위에 폴리실리콘이 채워지지 않는 시임(seam)이 생성될 수 있다. 또한, 상기 개구부는 입구 부위에 비해 저면부가 넓은 네가티브 슬로프를 갖기 때문에, 상기 개구부의 측벽 및 저면을 따라 폴리실리콘이 채워지면서 중심 부위에는 폴리실리콘이 증착되지 않은 상태로 개구부 입구 부위가 막혀 버리는 현상이 발생됨에 따라 상기 시임이 더욱 빈번히 생성되는 것이다.However, when embedding polysilicon in the opening, a seam may be generated in which the polysilicon is not filled in the central portion of the opening due to the high step coverage deposition characteristic of the polysilicon. In addition, since the opening has a negative slope having a wider bottom portion than the inlet portion, polysilicon is filled along the sidewall and the bottom of the opening, and the opening portion of the opening portion is blocked without polysilicon being deposited at the center portion. As it occurs, the seams are generated more frequently.

상기 시임이 발생된 부위에는 후속 공정에 의해 원치않는 산화물이 형성될 수 있으며, 반도체 장치의 특성을 열화시키는 문제가 있다.Undesirable oxides may be formed in the site where the seam is generated by a subsequent process, and there is a problem of deteriorating characteristics of the semiconductor device.

상기와 같은 문제점을 해결하기 위한 본 발명의 제1 목적은 측벽의 프로파일이 수직인 개구부를 형성하여 시임이 생성되는 것을 방지 할 수 있는 패턴 형성 방법을 제공하는데 있다.A first object of the present invention for solving the above problems is to provide a pattern forming method that can prevent the formation of seams by forming an opening with a vertical profile of the side wall.

상기와 같은 문제점을 해결하기 위한 본 발명의 제2 목적은 상기 패턴 형성 방법을 이용하여 시임이 생성되는 것을 방지할 수 있는 불휘발성 메모리 장치의 플로팅 게이트 형성 방법을 제공하는데 있다.A second object of the present invention for solving the above problems is to provide a floating gate forming method of a nonvolatile memory device capable of preventing the generation of seams using the pattern forming method.

상술한 목적을 달성하기 위한 본 발명의 일 실시예에 따른 패턴 구조물 형성 방법은, 기판 상에, 상부의 너비가 하부의 너비보다 넓은 경사진 측벽을 갖는 제1 패턴들을 반복하여 형성하고, 상기 제1 패턴들 사이에 해당하는 제1 개구부에 상기 제1 물질에 대하여 다른 식각율을 갖는 제2 물질을 매립시켜 제2 패턴들을 형성하며, 제1 습식 식각 공정으로 상기 제1 패턴을 제거한다. 이어서, 상기 제2 패턴들 사이에 예비 제2 개구부를 형성한 후에 제2 습식 식각 공정으로 상기 제2 패턴들의 상부 측벽을 부분적으로 제거하여 수직한 측벽을 갖는 제2 개구부를 형성하고, 상기 제2 개구부에 제3 물질을 매립하여 제3 패턴들을 형성한다.According to an aspect of the present invention, there is provided a method of forming a pattern structure, repeatedly forming first patterns having inclined sidewalls having a width of an upper portion greater than a width of a lower portion on a substrate, and A second material having a different etch rate with respect to the first material is buried in the first openings corresponding to the first patterns to form second patterns, and the first pattern is removed by a first wet etching process. Subsequently, after forming the preliminary second openings between the second patterns, the second sidewalls of the second patterns may be partially removed by a second wet etching process to form second openings having vertical sidewalls, and the second openings may be formed. A third material is embedded in the opening to form third patterns.

상기 제1 물질은 질화물을 포함하며, 상기 제2 물질은 산화물을 포함하며, 상기 제1 습식 식각 공정은, 인산 스트립(H3PO4 strip) 공정을 이용한다.The first material includes a nitride, the second material includes an oxide, and the first wet etching process uses a H 3 PO 4 strip process.

또한, 상기 제1 물질은 산화물을 포함하며, 상기 제2 물질은 폴리실리콘을 포함하며, 상기 제1 습식 식각 공정은 HF 또는 LAL Chemical을 이용한다.In addition, the first material includes an oxide, the second material includes polysilicon, and the first wet etching process uses HF or LAL Chemical.

상기 제2 습식 식각 공정은 SC-1(NH4OH:H2O2:H2O)을 이용하며, 상기 제1 습식 식각 공정 및 상기 제2 습식 식각 공정은 인-시튜(in-situ)로 수행된다.The second wet etching process uses SC-1 (NH 4 OH: H 2 O 2 : H 2 O), and the first wet etching process and the second wet etching process are in-situ. Is performed.

상술한 목적을 달성하기 위한 본 발명의 다른 실시예에 따른 불휘발성 메모리 장치의 플로팅 게이트 형성 방법은, 반도체 기판 상에 하드 마스크 패턴을 형성하고, 상기 하드 마스크 패턴을 식각 마스크로 이용하여 기판을 식각하여, 상부의 너비가 하부의 너비보다 넓은 소자 분리용 트렌치를 형성한 후에, 상기 소자 분리용 트렌치를 매립하도록 상기 하드 마스크 패턴에 대하여 다른 식각율을 갖는 산화물을 증착시켜 소자 분리막 패턴을 형성하고, 제1 습식 식각 공정으로 상기 하드 마스크 패턴을 제거하여, 상기 소자 분리막 패턴 사이의 기판을 노출하는 예비 개구부를 형성한다. 이어서, 제2 습식 식각 공정으로 상기 소자 분리막 패턴의 상부의 일부분을 제거하여 수직한 측벽을 갖는 개구부를 형성하며,상기 개구부를 통해 노출된 기판 상에 터널 산화막을 형성하고, 상기 터널 산화막 및 상기 소자 분리막 패턴 상에 플로팅 게이트용 도전층을 형성한 후에, 상기 소자 분리막이 노출되도록 상기 플로팅 게이트용 도전막을 평탄화시켜 플로팅 게이트를 형성한다.According to another aspect of the present invention, there is provided a method of forming a floating gate of a nonvolatile memory device in which a hard mask pattern is formed on a semiconductor substrate, and the substrate is etched using the hard mask pattern as an etching mask. By forming a device isolation trench having an upper width greater than that of a lower width, an oxide having a different etching rate with respect to the hard mask pattern is deposited to fill the device isolation trench to form a device isolation film pattern. The hard mask pattern is removed by a first wet etching process to form a preliminary opening that exposes the substrate between the device isolation layer patterns. Subsequently, a portion of an upper portion of the device isolation layer pattern is removed to form an opening having vertical sidewalls by a second wet etching process, and a tunnel oxide layer is formed on the substrate exposed through the opening, and the tunnel oxide layer and the device are formed. After forming the conductive layer for the floating gate on the separator pattern, the floating gate is formed by planarizing the conductive layer for the floating gate so that the device isolation layer is exposed.

상기 하드 마스크 패턴은 질화물을 포함하며, 상기 제1 습식 식각 공정은 인산 스트립(H3PO4strip) 공정을 이용한다. 또한, 상기 제2 습식 식각 공정은 SC- 1(NH4OH:H2O2:H2O)을 이용하며, 상기 제1 습식 식각 공정 및 상기 제2 습식 식각 공정은 인-시튜로 수행된다.The hard mask pattern may include a nitride, and the first wet etching process may use a phosphate strip (H 3 PO 4 strip) process. In addition, the second wet etching process uses SC-1 (NH 4 OH: H 2 O 2 : H 2 O), and the first wet etching process and the second wet etching process are performed in-situ. .

이와 같이 구성된 본 발명에 따른 패턴 형성 방법 및 이를 이용한 불휘발성 메모리 장치의 플로팅 게이트 형성 방법은 시임 등이 발생되는 것을 미연에 방지할 수 있다.The pattern forming method and the floating gate forming method of the nonvolatile memory device using the same according to the present invention configured as described above can prevent seam or the like from occurring.

이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예들에 따른 패턴 구조물 형성 방법 및 이를 이용한 불휘발성 반도체 장치의 플로팅 게이트 형성 방법에 대하여 상세하게 설명하지만, 본 발명이 하기의 실시예들에 제한되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다. 첨부된 도면에 있어서, 반도체 기판, 층(막), 패턴들 또는 구조물들 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. 본 발명에 있어서, 각 층(막), 영역, 패턴 또는 구조물들이 반도체 기판, 각 층(막), 영역 또는 패턴들의 상에, 상부에 또는 하부에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 패턴 또는 구조물들이 직접 반도체 기판, 각 층(막), 영역 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 패턴 또는 다른 구조물들이 기판 상에 추가적으로 형성될 수도 있다.Hereinafter, a pattern structure forming method and a floating gate forming method of a nonvolatile semiconductor device using the same according to exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings, but the present invention is limited to the following embodiments. Those skilled in the art will appreciate that the present invention may be embodied in various other forms without departing from the spirit of the invention. In the accompanying drawings, the dimensions of semiconductor substrates, layers (films), patterns, or structures are shown to be larger than actual for clarity of the invention. In the present invention, each layer (film), region, pattern, or structure is referred to as being formed on, on or under a semiconductor substrate, each layer (film), region, or patterns. , Means that regions, patterns or structures are directly formed on or below the semiconductor substrate, each layer (film), region or patterns, or other layers (films), other regions, other patterns or other structures are on the substrate. It may be formed additionally.

실시예 1Example 1

도 1a 내지 도 1f는 본 발명의 일 실시예에 따른 패턴 형성 방법을 설명하기 위한 단면도들이다.1A to 1F are cross-sectional views illustrating a method of forming a pattern according to an embodiment of the present invention.

도 1a를 참조하면. 반도체 기판(100) 상에 제1 물질을 포함하는 제1 물질층(102)을 형성한다.Referring to Figure 1A. The first material layer 102 including the first material is formed on the semiconductor substrate 100.

상기 제1 물질은 산화물 또는 질화물을 포함할 수 있다. 이때, 상기 기판 상에는 절연층 또는 도전층이 더 형성되어 있을 수 있다.The first material may comprise an oxide or nitride. In this case, an insulating layer or a conductive layer may be further formed on the substrate.

상기 제1 물질층(102)은 화학 기상 증착(CVD) 공정, 플라즈마 증대 화학 기상 증착(PE-CVD) 공정, 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 공정, 원자층 적층(ALD) 공정, 스퍼터링(sputtering) 공정, 또는 펄스 레이저 증착(PLD) 공정 등을 이용하여 형성된다.The first material layer 102 may include a chemical vapor deposition (CVD) process, a plasma enhanced chemical vapor deposition (PE-CVD) process, a high density plasma chemical vapor deposition (HDP-CVD) process, an atomic layer deposition (ALD) process, and sputtering. It is formed using a sputtering process, a pulsed laser deposition (PLD) process, or the like.

도 1b를 참조하면. 상기 제1 물질층(102)을 식각하여, 상부의 너비가 하부의 너비보다 넓은 경사진 측벽을 가진 제1 개구부(106)를 포함하는 제1 패턴(104)을 반복하여 형성한다.1b. The first material layer 102 is etched to repeatedly form a first pattern 104 including a first opening 106 having an inclined sidewall having a width greater than that of the lower portion.

구체적으로, 상기 제1 물질층(102) 상에 상기 제1 물질층(102)을 선택적으로 노출 시키는 포토레지스트 패턴(도시되지 않음)을 형성한다. 상기 포토레지스트 패턴을 식각 마스크로 하는 식각 공정을 통해 상기 제1 물질층(102)을 식각함으로써, 제1 개구부(106)를 형성한다. 상기 식각 공정의 예로는 플라즈마를 이용하는 건식 식각 공정(dry etching process), 반응성 이온 식각 공정(reactive ion etching process) 등이 있다. 일반적으로, 상기와 같은 이방성 식각 공정을 수행함으로써 형성되는 개구의 측면은 소정의 경사를 갖게 되며, 상기 제1 개구부(106)의 측벽도 상기와 같은 이방성 식각 공정의 특성에 따라 소정의 경사를 갖는다. 즉, 상기 제1개구부(106)는 상부 너비가 하부 너비보다 넓게 형성된다. Specifically, a photoresist pattern (not shown) for selectively exposing the first material layer 102 is formed on the first material layer 102. The first opening 106 is formed by etching the first material layer 102 through an etching process using the photoresist pattern as an etching mask. Examples of the etching process include a dry etching process using a plasma, a reactive ion etching process, and the like. In general, the side surface of the opening formed by performing the anisotropic etching process as described above has a predetermined slope, and the sidewall of the first opening 106 also has a predetermined slope according to the characteristics of the anisotropic etching process as described above. . That is, the first opening 106 has an upper width wider than the lower width.

이어서, 애싱(ashing) 공정 또는 스트립 공정을 통해 상기 포토레지스트 패턴을 제거한다.Subsequently, the photoresist pattern is removed through an ashing process or a strip process.

도 1c를 참조하면. 상기 제1 물질에 대하여 다른 식각율을 갖는 제2 물질을 상기 제1 개구부에 매립하는 제2 패턴(108)을 형성한다.Referring to Figure 1C. A second pattern 108 may be formed to fill the first opening with a second material having an etch rate different from that of the first material.

구체적으로, 상기 제1 패턴(104) 상에 상기 제1 물질과 다른 식각율을 갖는 제2 물질로 상기 제1 개구부(106)를 충분히 매립한다. Specifically, the first opening 106 is sufficiently filled with a second material having an etching rate different from that of the first material on the first pattern 104.

상기 제1 패턴(104)이 질화물로 이루어지는 경우, 상기 제2 패턴(108)은 산화물로 이루어질 수 있다. 또는, 상기 제1 패턴(104)이 산화물을 포함할 경우, 상기 제2 패턴(108)은 폴리실리콘을 포함한다. When the first pattern 104 is formed of nitride, the second pattern 108 may be formed of oxide. Alternatively, when the first pattern 104 includes an oxide, the second pattern 108 includes polysilicon.

구체적으로, 상기 산화물은 USG(Undoped Silicate Glass), O3-TEOS USG(O3-Tetra Ethyl Ortho Silicate Undoped Silicate Glass) 또는 고밀도 플라즈마(High Density Plasma : HDP) 산화막과 같은 갭 매립 특성이 우수한 산화막을 화학 기상 증착(Chemical Vapor Deposition : CVD) 방법에 의해 형성된다.Specifically, the oxide may be formed by chemical vapor deposition of an oxide film having excellent gap filling characteristics such as USG (Undoped Silicate Glass), O3-TEOS USG (O3-Tetra Ethyl Ortho Silicate Undoped Silicate Glass), or High Density Plasma (HDP) oxide film. It is formed by a chemical vapor deposition (CVD) method.

상기 질화막은 실리콘 질화물 또는 실리콘 산질화물 등을 SiH2Cl2 가스, SiH4 가스, NH3 가스등을 이용하는 저압 화학 기상 증착(Low Pressure Chemical Vapor Deposition; LPCVD) 공정 또는 플라즈마 강화 화학 기상 증착(plasma enhanced chemical vapor deposition; PECVD) 공정을 수행하여 형성할 수 있다.The nitride film may be a low pressure chemical vapor deposition (LPCVD) process or plasma enhanced chemical vapor deposition using silicon nitride or silicon oxynitride, such as SiH 2 Cl 2 gas, SiH 4 gas, NH 3 gas, or the like. It may be formed by performing a vapor deposition (PECVD) process.

또한, 상기 폴리실리콘 막은 화학 기상 증착(CVD) 공정, 플라즈마 증대 화학 기상 증착(PE-CVD) 공정, 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 공정, 원자층 적층(ALD) 공정, 스퍼터링(sputtering) 공정, 또는 펄스 레이저 증착(PLD) 공정 등을 이용하여 형성된다.In addition, the polysilicon film is a chemical vapor deposition (CVD) process, plasma enhanced chemical vapor deposition (PE-CVD) process, high density plasma chemical vapor deposition (HDP-CVD) process, atomic layer deposition (ALD) process, sputtering Process, or pulsed laser deposition (PLD) process or the like.

이어서, 상기 제1 패턴(104)의 상부면이 노출되도록 상기 제2 물질층의 상부를 에치백(etch back) 또는 화학 기계적 연마(Chemical Mechanical Polishing : CMP) 공정과 같은 평탄화 공정을 통해 제거함으로써 제2 패턴(108)을 완성한다.Subsequently, the upper portion of the second material layer is removed through a planarization process such as an etch back or chemical mechanical polishing (CMP) process so that the upper surface of the first pattern 104 is exposed. 2 Pattern 108 is completed.

도 1d를 참조하면. 제1 습식 식각 공정으로 상기 제1 패턴(104)을 제거하여 상기 제2 패턴(108) 사이에 예비 제2 개구부(110)를 형성한다.Referring to FIG. 1D. The first pattern 104 is removed by a first wet etching process to form a preliminary second opening 110 between the second patterns 108.

본 발명의 일 실시예에 따르면, 상기 제1 패턴(104)이 질화물을 포함하고, 상기 제2 패턴(108)이 산화물 등을 포함하는 경우에는, 인산 스트립(H3PO4 strip) 공정을 통해 상기 제1 패턴(104)을 선택적으로 제거할 수 있다According to an embodiment of the present invention, when the first pattern 104 includes a nitride and the second pattern 108 includes an oxide or the like, a phosphoric acid strip (H 3 PO 4 strip) process is performed. The first pattern 104 may be selectively removed.

본 발명의 다른 실시예에 따르면, 상기 제1 패턴(104)이 산화물을 포함하고, 상기 제2 패턴(108)은 폴리실리콘을 포함하는 경우에, HF 또는 HF, NH4F 및 DI(탈이온수)를 포함하는 LAL 용액을 이용하여 상기 제1 패턴(104)을 선택적으로 제거할 수 있다According to another embodiment of the present invention, when the first pattern 104 includes an oxide and the second pattern 108 includes polysilicon, HF or HF, NH 4 F and DI (deionized water). The first pattern 104 may be selectively removed using a LAL solution including

상기 공정을 통해 형성되는 예비 제2 개구부는 상부의 개구 폭이 하부의 개구폭보다 넓게 형성된다. The preliminary second opening formed through the process is formed such that the opening width of the upper portion is wider than the opening width of the lower portion.

도 1e를 참조하면, 제2 습식 식각 공정으로 상기 제2 패턴들의 상부 측벽을 부분적으로 제거하여 수직한 측벽을 갖는 제2 개구부(110a)를 형성한다.Referring to FIG. 1E, the second sidewalls 110a having vertical sidewalls may be formed by partially removing the upper sidewalls of the second patterns by a second wet etching process.

상기 제2 습식 식각 공정에는 일반적으로 SC-1(standard cleaning solution)로 알려진 식각액이 사용될 수 있으며, 바람직하게는 NSC-1(new standard cleaning solution)이 사용될 수 있다.An etching solution generally known as a standard cleaning solution (SC-1) may be used for the second wet etching process, and preferably, a new standard cleaning solution (NSC-1) may be used.

상기 NSC-1은 3~10:1:60~200의 몰비를 갖는 NH4OH, H2O2 및 H2O를 포함한다. 특히, 상기 NSC-1은 4:1:95의 몰비를 갖는 NH4OH, H2O2 및 H2O를 포함하여 이루어지는 것이 바람직하다.The NSC-1 includes NH 4 OH, H 2 O 2, and H 2 O having a molar ratio of 3 to 10: 1: 60 to 200. In particular, the NSC-1 preferably comprises NH 4 OH, H 2 O 2 and H 2 O having a molar ratio of 4: 1: 95.

상기 제1 습식 식각 공정 및 상기 제2 습식 식각 공정은 인-시튜로 수행될 수 있다.The first wet etching process and the second wet etching process may be performed in-situ.

상기 제2 습식 식각 공정을 수행하면, 상부에 위치하는 제2 패턴들이 다소 빠르게 제거됨으로서 제2 개구부의 측벽이 수직에 가깝게 변화된다. 그러나, 상기 제2 습식 식각 공정을 보다 과도하게 수행하게 되면, 상기 제2 개구부(110a)가 양의 기울기를 갖는 측벽을 갖도록 형성될 수도 있다. When the second wet etching process is performed, the sidewalls of the second opening are changed to be perpendicular to the vertical direction by removing the second patterns positioned on the upper portion rather quickly. However, when the second wet etching process is excessively performed, the second opening 110a may be formed to have a sidewall having a positive slope.

상기 제2 습식 식각 공정을 통해, 상기 제2 개구부(110a) 내부에 잔류하는 식각 잔여물을 제거할 수 있다. 또한, 상기 제2 습식 식각 공정을 수행하는 동안, 상기 제2 패턴(112) 의 높이가 다소 낮아질 수 있으며, 모서리 부분도 완만하게 식각되어 질 수 있다.Through the second wet etching process, the etching residue remaining in the second opening 110a may be removed. In addition, during the second wet etching process, the height of the second pattern 112 may be slightly lowered, and the edge portion may be gently etched.

도 1f를 참조하면. 제3 물질을 상기 제2 개구부(110a)에 매립하여 제3 패턴(114)을 형성한다.Referring to FIG. 1F. A third material is buried in the second opening 110a to form a third pattern 114.

상기 제2 습식 식각 공정에 의하여 기판과 수직한 측벽을 갖는 상기 제2 개구부를 통해 노출된 반도체 기판 상에 제3 물질을 포함하고 있는 제3 물질층(도시되지 않음)을 형성한다.A third material layer (not shown) including a third material is formed on the semiconductor substrate exposed through the second opening having sidewalls perpendicular to the substrate by the second wet etching process.

이어서, 제3 물질층을 CMP 공정과 같은 평탄화 공정을 수행하여, 상기 제3 패턴(114)을 완성한다. 상기 제2 개구부(110a)의 측벽은 상부의 너비와 하부의 너비가 실질적으로 동일짐에 따라, 상기 제3 패턴(114) 내에 보이드가 발생되는 것을 억제할 수 있다.Subsequently, the third material layer may be planarized, such as a CMP process, to complete the third pattern 114. As the width of the upper portion and the lower portion of the sidewall of the second opening 110a is substantially the same, it is possible to suppress the generation of voids in the third pattern 114.

실시예 2Example 2

도 2a 내지 도 2h는 본 발명의 다른 실시예에 따른 불휘발성 반도체 장치의 플로팅 게이트 형성 방법을 설명하기 위한 단면도들이다.2A to 2H are cross-sectional views illustrating a method of forming a floating gate of a nonvolatile semiconductor device according to another embodiment of the present invention.

도 2a를 참조하면, 반도체 기판(200) 상에 하드 마스크 패턴을 형성한다.Referring to FIG. 2A, a hard mask pattern is formed on a semiconductor substrate 200.

구체적으로, 실리콘 웨이퍼와 같은 반도체 기판(200) 상에 패드 산화막(202)을 형성하고, 상기 패드 산화막(202) 상에 하드 마스크용 질화막(204)을 형성하여 하드 마스크 패턴을 형성한다.Specifically, a pad oxide film 202 is formed on a semiconductor substrate 200 such as a silicon wafer, and a hard mask nitride film 204 is formed on the pad oxide film 202 to form a hard mask pattern.

상기 패드 산화막(102)은 실리콘 산화물로 이루어지며, 열산화(Thermal oxidation) 공정, 화학 기상 증착(Chemical Vapor Deposition; CVD) 공정 등을 통해 형성될 수 있다.The pad oxide layer 102 may be formed of silicon oxide, and may be formed through a thermal oxidation process, a chemical vapor deposition (CVD) process, or the like.

상기 질화막(104)은 실리콘 질화물로 이루어질 수 있으며, SiH2Cl2 가스, SiH4 가스, NH3 가스 등을 이용하는 LPCVD 공정 또는 플라즈마 강화 화학 기상 증 착(plasma enhanced chemical vapor deposition; PECVD) 공정을 통해 형성될 수 있다.The nitride film 104 may be formed of silicon nitride, and may be formed through an LPCVD process using a SiH 2 Cl 2 gas, a SiH 4 gas, an NH 3 gas, or a plasma enhanced chemical vapor deposition (PECVD) process.

도 2b를 참조하면, 이어서, 상기 질화막(204) 상에 상기 질화막(204)을 선택적으로 노출시키는 포토레지스트 패턴(도시되지 않음)을 형성한다. Referring to FIG. 2B, a photoresist pattern (not shown) for selectively exposing the nitride film 204 is formed on the nitride film 204.

상기 포토레지스트 패턴을 식각 마스크로 하는 식각 공정을 통해 질화막 패턴(208)을 형성한다. 상기 식각 공정의 예로는 플라즈마를 이용하는 건식 식각 공정(dry etching process), 반응성 이온 식각 공정(reactive ion etching process) 등이 있다. 일반적으로, 상기와 같은 이방성 식각 공정을 수행함으로써 형성되는 개구의 측면은 소정의 경사를 갖게 되며, 상기 마스크 패턴(208)에 의해 한정되는 제1 개구(206)도 상기와 같은 이방성 식각 공정의 특성에 따라 소정의 경사를 갖는다. 구체적으로, 상기 제1개구(206)는 상부 너비가 하부 너비보다 넓게 형성된다. The nitride film pattern 208 is formed through an etching process using the photoresist pattern as an etching mask. Examples of the etching process include a dry etching process using a plasma, a reactive ion etching process, and the like. In general, the side surface of the opening formed by performing the above anisotropic etching process has a predetermined inclination, and the first opening 206 defined by the mask pattern 208 also has the characteristics of the above anisotropic etching process. Has a predetermined slope. In detail, the first opening 206 has an upper width wider than a lower width.

상기 포토레지스트 패턴은 상기 마스크 패턴(208)을 형성한 후 애싱 공정(ashing process) 및 스트립 공정을 통해 제거된다.The photoresist pattern is removed through an ashing process and a stripping process after forming the mask pattern 208.

이때, 상기 포토레지스트 패턴에 의해 노출되는 기판(W) 부위는 필드 영역이 되고, 상기 포토레지스트 패턴에 의해 마스킹 되는 기판(W) 부위는 액티브 영역이 된다.In this case, the portion of the substrate W exposed by the photoresist pattern becomes a field region, and the portion of the substrate W masked by the photoresist pattern becomes an active region.

이때, 선택적으로 하드 마스크용 질화막(208) 상에 유기 반사 방지막(도시되지 않음)을 더 형성할 수 있다. 상기 유기 반사 방지막은 이후에 수행되는 사진 공정에서 난반사에 의해 포토레지스트 패턴의 측벽 프로파일이 불량해지는 것을 방지하기 위해 막이다. 상기 유기 반사 방지막의 예로는 실리콘산질화막(SiON)일 수 있 다.In this case, an organic antireflection film (not shown) may be further formed on the nitride film 208 for the hard mask. The organic antireflective film is a film for preventing the sidewall profile of the photoresist pattern from being deteriorated by diffuse reflection in a subsequent photographic process. An example of the organic antireflection film may be a silicon oxynitride film (SiON).

도 2c를 참조하면, 상기 하드 마스크 패턴을 식각 마스크로 이용하여 기판(200)을 식각하여, 상부의 너비가 하부의 너비보다 넓은 소자 분리용 트렌치(210)를 형성한다.Referring to FIG. 2C, the substrate 200 is etched using the hard mask pattern as an etch mask to form a device isolation trench 210 having an upper width greater than a lower width.

구체적으로, 상기 마스크 패턴(208)을 식각 마스크로 사용하는 이방성 식각 공정을 수행하여 상기 패드 산화막(202) 및 반도체 기판(200)의 필드영역의 표면 부위를 식각함으로써 반도체 기판(200)을 가로지르는 제1방향으로 소자 분리용 트렌치(210)를 형성한다.Specifically, by performing an anisotropic etching process using the mask pattern 208 as an etch mask, the surface portions of the pad oxide layer 202 and the field region of the semiconductor substrate 200 are etched to cross the semiconductor substrate 200. An isolation trench 210 is formed in the first direction.

이어서, 도시되지 않았지만 선택적으로 상기 트렌치(210) 내부에 열 산화막(도시되지 않음)을 형성할 수 있다. 보다 상세하게 설명하면, 상기 열 산화막은 이전의 건식 식각 공정 시 발생한 표면 데미지(damage)를 큐어링(curing)하기 위해 상기 트렌치(120) 표면을 열 산화시켜 매우 얇은 두께로 상기 트렌치(210) 내부에 형성된다.Subsequently, although not shown, a thermal oxide layer (not shown) may be selectively formed inside the trench 210. In more detail, the thermal oxide layer thermally oxidizes the surface of the trench 120 in order to cure surface damage generated during the dry etching process, and thus, the inside of the trench 210 in a very thin thickness. Is formed.

또한, 상기 열 산화막이 형성되어 있는 상기 트렌치(210)의 내측면과 저면에 수백 의 얇을 두께로 절연막 라이너(도시되지 않음)를 형성할 수 있다. 상기 절연막 라이너는 이후 공정에 의해 상기 트렌치(120) 내에 매립되는 소자 분리막 내부의 스트레스를 감소시키고, 불순물 이온들이 필드 영역 내로 침투하는 것을 방지하기 위해 형성된다. 상기 절연막 라이너는 특정한 식각 조건 하에서 후에 설명될 실리콘 산화막과의 식각 선택비가 높은 물질로 형성되어야 하며, 예컨대, 실리콘 질화물(SiN)로 형성될 수 있다.In addition, an insulating film liner (not shown) may be formed on an inner side surface and a bottom surface of the trench 210 in which the thermal oxide layer is formed to a thickness of several hundreds. The insulating film liner is formed to reduce stress in the device isolation layer embedded in the trench 120 by a subsequent process and to prevent impurity ions from penetrating into the field region. The insulating film liner should be formed of a material having a high etching selectivity with respect to a silicon oxide film, which will be described later, under specific etching conditions. For example, the insulating film liner may be formed of silicon nitride (SiN).

도 2d를 참조하면, 상기 소자 분리용 트렌치(210)를 매립하도록 상기 하드 마스크 패턴에 대하여 다른 식각율을 갖는 산화물을 증착시켜 예비 소자 분리막 패턴(212)을 형성한다.Referring to FIG. 2D, a preliminary device isolation layer pattern 212 is formed by depositing an oxide having a different etching rate with respect to the hard mask pattern to fill the device isolation trench 210.

상기 마스크 패턴(208)에 대하여 다른 식각율을 갖는 산화물과 같은 물질로 상기 트렌치(210)를 매립한다. 구체적으로, 트렌치(210)를 매립하도록 USG(Undoped Silicate Glass), O3-TEOS USG(O3-Tetra Ethyl Ortho Silicate Undoped Silicate Glass) 또는 고밀도 플라즈마(High Density Plasma : HDP) 산화막과 같은 갭 매립 특성이 우수한 산화막을 화학 기상 증착(Chemical Vapor Deposition : CVD) 방법에 의해 증착하여 소자 분리막(도시되지 않음)을 형성한다.The trench 210 is filled with a material such as an oxide having a different etching rate with respect to the mask pattern 208. Specifically, the gap filling characteristics such as Undoped Silicate Glass (USG), O3-TEOS USG (O3-Tetra Ethyl Ortho Silicate Undoped Silicate Glass), or High Density Plasma (HDP) oxide film are excellent to fill the trench 210. The oxide film is deposited by a chemical vapor deposition (CVD) method to form a device isolation film (not shown).

상기 마스크 패턴(208)의 상부면이 노출되도록 상기 소자 분리막의 상부를 에치백(etch back) 또는 화학 기계적 연마(Chemical Mechanical Polishing : CMP) 공정과 같은 평탄화 공정을 통해 제거함으로써 상기 트렌치(210) 내에 소자 분리막으로써 기능하며 반도체 기판(200)의 액티브 영역을 정의하는 예비 소자 분리막 패턴(212)을 완성한다.The upper portion of the isolation layer is removed through a planarization process such as an etch back or chemical mechanical polishing (CMP) process so that the upper surface of the mask pattern 208 is exposed. A preliminary device isolation layer pattern 212 that functions as an isolation layer and defines an active region of the semiconductor substrate 200 is completed.

도 2e를 참조하면, 제1 습식 식각 공정으로 상기 하드 마스크 패턴(208)을 제거함으로써, 상기 소자 분리막 패턴 사이의 기판 (200)을 노출하는 예비 제2개구(214)를 형성한다. Referring to FIG. 2E, a preliminary second opening 214 exposing the substrate 200 between the device isolation layer patterns is formed by removing the hard mask pattern 208 by a first wet etching process.

구체적으로, 상기 마스크 패턴(208) 및 패드 산화막(202)을 제거하여, 예비 소자 분리막 패턴(212)에 의해 정의된 액티브 영역을 노출시키는 예비 제2개구(214)를 형성한다. Specifically, the mask pattern 208 and the pad oxide layer 202 are removed to form a preliminary second opening 214 exposing the active region defined by the preliminary isolation layer pattern 212.

본 발명의 일 실시예에 따른 제1 습식 식각 공정은 인산을 포함하는 식각액을 사용하는 인산 스트립(H3PO4 strip)공정을 통해 상기 마스크 패턴(116)을 제거할 수 있으며, 희석된 불산 용액을 사용하여 상기 패드 산화막(102)을 제거할 수 있다.In the first wet etching process according to an embodiment of the present invention, the mask pattern 116 may be removed through a H3PO4 strip process using an etching solution containing phosphoric acid, and the diluted hydrofluoric acid solution may be used. The pad oxide layer 102 may be removed.

도 2f를 참조하면, 제2 습식 식각 공정으로 상기 예비 소자 분리막 패턴(212)의 상부의 일부분을 제거하여 수직한 측벽을 갖는 제2 개구부(214a)를 형성한다.Referring to FIG. 2F, a portion of an upper portion of the preliminary isolation layer pattern 212 is removed by a second wet etching process to form a second opening 214a having vertical sidewalls.

상기 예비 소자 분리막 패턴(212)의 상부의 일부분을 제거하는 공정은 습식 식각 공정을 통해 수행될 수 있다. 예를 들면, 상기 습식 식각 공정에 사용되는 식각액은 NH4OH, H2O2 및 H2O를 포함할 수 있다. 구체적으로, 상기 습식 식각 공정에는 일반적으로 SC-1로 알려진 식각액이 사용될 수 있으며, 바람직하게는 NSC-1이 사용될 수 있다.The process of removing a portion of the upper portion of the preliminary isolation layer pattern 212 may be performed through a wet etching process. For example, the etchant used in the wet etching process may include NH 4 OH, H 2 O 2 and H 2 O. Specifically, in the wet etching process, an etchant commonly known as SC-1 may be used, and preferably NSC-1 may be used.

상기 세정 공정이 진행 되는 동안에 소자 분리막 패턴(212a)의 측면 부위가 부분적으로 식각되어 상기 제2 개구부(214a)의 너비가 확장되며, 상기 소자 분리막 패턴(212a)의 측면은 실질적으로 수직한 형상 프로파일을 갖는다. 즉, 상기 확장된 제2 개구부(214a)의 상부 너비와 하부 너비는 실질적으로 동일하게 형성된다. During the cleaning process, the side portion of the device isolation layer pattern 212a is partially etched to extend the width of the second opening 214a, and the side surface of the device isolation layer pattern 212a is substantially vertical. Has That is, the upper width and the lower width of the expanded second opening 214a are substantially the same.

또한, 상기 제2 습식 식각 공정으로 상기 소자 분리막 패턴(212a)의 높이가 다소 낮아질 수 있으면, 상기 소자 분리막 패턴(212a)의 모서리가 완만하게 형성되어질 수 있다. 상기 제2 습식 식각 공정을 통해 상기 제2 개구부(214a)내부에 잔류하는 식각 잔여물을 제거할 수 있다.In addition, when the height of the device isolation layer pattern 212a may be slightly lowered by the second wet etching process, edges of the device isolation layer pattern 212a may be smoothly formed. The etching residue remaining in the second opening 214a may be removed through the second wet etching process.

도 2g를 참조하면, 상기 측벽이 수직한 제2 개구부(214a)를 통해 노출된 반도체 기판(200) 상에 터널 산화막(216)을 형성한다. Referring to FIG. 2G, a tunnel oxide layer 216 is formed on the semiconductor substrate 200 exposed through the second opening 214a where the sidewalls are vertical.

상기 터널 산화막(216)으로는 열산화 공정thermal oxidation)을 통해 형성된 실리콘 산화막이 사용될 수 있다. 상기 터널 산화막(216)의 다른 예로는 불소 도핑된 실리콘 산화막, 탄소 도핑된 실리콘 산화막, 저유전율(low-k) 물질막 등이 사용될 수 있다.As the tunnel oxide layer 216, a silicon oxide layer formed through thermal oxidation may be used. As another example of the tunnel oxide layer 216, a fluorine-doped silicon oxide layer, a carbon-doped silicon oxide layer, or a low-k material layer may be used.

상기 저유전율 물질막은 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타플루오르스티렌, 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌, 폴리사이드 수지 등과 같은 유기폴리머로 이루어질 수 있다. 상기 유기 폴리머는 PECVD, HDP-CVD(high density plasma chemical vapor deposition), APCVD(atmospheric pressure chemical vapor deposition), 스핀 코팅(spin coating) 등과 같은 공정들에 의해 형성될 수 있다.The low dielectric constant material film may include polyallyl ether resin, cyclic fluorine resin, siloxane copolymer, polyallyl fluoride resin, polypentafluorostyrene, polytetrafluorostyrene resin, fluorinated polyimide resin, polynaphthalene fluoride, and polyside resin. It may be made of an organic polymer such as. The organic polymer may be formed by processes such as PECVD, high density plasma chemical vapor deposition (HDP-CVD), atmospheric pressure chemical vapor deposition (APCVD), spin coating, and the like.

도 2h를 참조하면, 상기 터널 산화막(216) 및 상기 소자 분리막 패턴(212a) 상에 플로팅 게이트용 도전층(도시되지 않음)을 형성하여 상기 제2 개구부(214a)를 충분하게 매립한다. 상기 도전층은 불순물 도핑된 폴리실리콘으로 이루어질 수 있다. Referring to FIG. 2H, a floating gate conductive layer (not shown) is formed on the tunnel oxide layer 216 and the device isolation layer pattern 212a to sufficiently fill the second opening 214a. The conductive layer may be made of impurity doped polysilicon.

상기 불순물 도핑된 폴리실리콘은 LPCVD 공정 및 불순물 도핑 공정을 통해 형성될 수 있다. 구체적으로 LPCVD 공정을 통해 폴리실리콘층을 형성하는 동안 인시튜 방법으로 불순물 도핑 공정을 동시에 수행함으로써 불순물 도핑된 폴리 실리 콘으로 이루어진 제1도전층(126)을 형성할 수 있다. 이와는 다르게, LPCVD 공정을 통해 폴리실리콘층을 형성하고, 상기 불순물 도핑 공정을 통해 상기 폴리실리콘층을 상기 제1도전층(126)으로 형성할 수도 있다. 상기 불순물 도핑 공정의 예로는 이온 주입(ion implantation) 공정 또는 불순물 확산(impurity diffusion) 공정 등이 있다. The impurity doped polysilicon may be formed through an LPCVD process and an impurity doping process. In detail, the first conductive layer 126 made of impurity doped polysilicon may be formed by simultaneously performing an impurity doping process by an in-situ method while forming the polysilicon layer through the LPCVD process. Alternatively, the polysilicon layer may be formed through the LPCVD process, and the polysilicon layer may be formed as the first conductive layer 126 through the impurity doping process. Examples of the impurity doping process include an ion implantation process or an impurity diffusion process.

상기 제2 개구부(214a)는 상부의 너비와 하부의 너비가 같다. 따라서, 상기 터널 산화막(216) 및 상기 소자 분리막 패턴(212a)상에 상기 도전층을 형성하여 상기 제2 개구부(214a)를 매립하는 동안 보이드가 발생되는 것을 억제할 수 있다. 또한, 상기 공정을 수행함으로써, 고집적 반도체 소자에서도 충분한 소정의 높이를 갖는 소자 분리막을 형성할 수 있다.The second opening 214a has the same width as that of the upper portion. Therefore, it is possible to suppress the generation of voids while filling the second opening 214a by forming the conductive layer on the tunnel oxide film 216 and the device isolation layer pattern 212a. In addition, by performing the above process, it is possible to form a device isolation film having a predetermined predetermined height even in a highly integrated semiconductor device.

상기 도전층의 상부를 CMP 공정과 같은 평탄화 공정을 통해 제거함으로써 터널 산화막(216) 상에 플로팅 게이트(218)를 형성한다. 상기 CMP 공정은 상기 소자 분리막 패턴(214a)의 상부면이 노출되도록 수행되는 것이 바람직하다. The floating gate 218 is formed on the tunnel oxide film 216 by removing the upper portion of the conductive layer through a planarization process such as a CMP process. The CMP process may be performed to expose the top surface of the device isolation layer pattern 214a.

상기와 같은 본 발명의 실시예들에 따르면, 습식 식각 공정을 수행하여 패턴을 형성하기 위한 물질층에 시임 등이 생성되는 문제점을 미연에 방지할 수 있다.또한, 상기와 같은 패턴 형성 방법을 이용한 불휘발성 반도체 장치의 플로팅 게이트 형성 하는 과정에서 시임이 발생하는 것을 최소화 할 수 있다. 따라서, 메모리 반도체 장치의 동작 성능을 개선할 수 있다.According to the embodiments of the present invention as described above, it is possible to prevent the problem that the seam or the like is generated in the material layer for forming a pattern by performing a wet etching process. In addition, using the pattern forming method as described above Seaming can be minimized in the process of forming the floating gate of the nonvolatile semiconductor device. Therefore, the operating performance of the memory semiconductor device can be improved.

상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해 당 기술 분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.As described above, although described with reference to a preferred embodiment of the present invention, those skilled in the art will be able to vary the present invention without departing from the spirit and scope of the invention described in the claims below. It will be appreciated that modifications and variations can be made.

Claims (12)

기판 상에, 상부의 너비가 하부의 너비보다 넓은 경사진 측벽을 갖고 제1 물질로 이루어지는 제1 패턴들을 반복하여 형성하는 단계;Repeatedly forming first patterns of a first material on the substrate, the inclined sidewalls of which a width of the upper part is wider than a width of the lower part; 상기 제1 패턴들 사이에 해당하는 제1 개구부에 상기 제1 물질에 대하여 다른 식각율을 갖는 제2 물질을 매립시켜 제2 패턴들을 형성하는 단계; Forming second patterns by filling a second material having a different etching rate with respect to the first material in a first opening corresponding to the first patterns; 제1 습식 식각 공정으로 상기 제1 패턴을 제거하여, 상기 제2 패턴들 사이에 예비 제2 개구부를 형성하는 단계; Removing the first pattern by a first wet etching process to form a preliminary second opening between the second patterns; 제2 습식 식각 공정으로 상기 제2 패턴들의 상부 측벽을 부분적으로 제거하여, 수직한 측벽을 갖는 제2 개구부를 형성하는 단계; 및Partially removing the upper sidewalls of the second patterns by a second wet etching process to form a second opening having vertical sidewalls; And 상기 제2 개구부에 제3 물질을 매립하여 제3 패턴들을 형성하는 단계를 포함하는 것을 특징으로 하는 패턴 형성 방법.And embedding a third material in the second opening to form third patterns. 제1항에 있어서, 상기 제1 물질은 질화물을 포함하며, 상기 제2 물질은 산화물을 포함하는 것을 특징으로 하는 패턴 형성 방법.The method of claim 1, wherein the first material comprises nitride and the second material comprises an oxide. 제2항에 있어서, 상기 제1 습식 식각 공정은, 인산 스트립(H3PO4 strip) 공정을 이용하는 것을 특징으로 하는 패턴 형성 방법.The method of claim 2, wherein the first wet etching process comprises a phosphoric acid strip (H 3 PO 4 strip) process. 제1항에 있어서, 상기 제1 물질은 산화물을 포함하며, 상기 제2 물질은 폴 리실리콘을 포함하는 것을 특징으로 하는 패턴 형성 방법.The method of claim 1, wherein the first material comprises an oxide and the second material comprises polysilicon. 제4항에 있어서, 상기 제1 습식 식각 공정은 HF 또는 LAL Chemical을 이용하는 것을 특징으로 하는 패턴 형성 방법.The method of claim 4, wherein the first wet etching process uses HF or LAL Chemical. 제1항에 있어서, 상기 제2 습식 식각 공정은 SC-1(NH4OH:H2O2:H2O)을 이용하는 것을 특징으로 하는 패턴 형성 방법.The method of claim 1, wherein the second wet etching process uses SC-1 (NH 4 OH: H 2 O 2: H 2 O). 제1항에 있어서, 상기 제1 습식 식각 공정 및 상기 제2 습식 식각 공정은 인-시튜(in-situ)로 수행되는 것을 특징으로 하는 패턴 형성 방법.The method of claim 1, wherein the first wet etching process and the second wet etching process are performed in-situ. 반도체 기판 상에 하드 마스크 패턴을 형성하는 단계;Forming a hard mask pattern on the semiconductor substrate; 상기 하드 마스크 패턴을 식각 마스크로 이용하여 기판을 식각하여, 상부의 너비가 하부의 너비보다 넓은 소자 분리용 트렌치를 형성하는 단계;Etching the substrate using the hard mask pattern as an etch mask to form a trench for device isolation, the width of which is greater than the width of the lower portion; 상기 소자 분리용 트렌치를 매립하도록 상기 하드 마스크 패턴에 대하여 다른 식각율을 갖는 산화물을 증착시켜 소자 분리막 패턴을 형성하는 단계;Forming an isolation layer pattern by depositing an oxide having a different etching rate with respect to the hard mask pattern to fill the isolation isolation trench; 제1 습식 식각 공정으로 상기 하드 마스크 패턴을 제거하여, 상기 소자 분리막 패턴 사이의 기판을 노출하는 예비 개구부를 형성하는 단계 ;Removing the hard mask pattern by a first wet etching process to form a preliminary opening that exposes a substrate between the device isolation layer patterns; 제2 습식 식각 공정으로 상기 소자 분리막 패턴의 상부의 일부분을 제거하여 수직한 측벽을 갖는 개구부를 형성하는 단계; Removing a portion of the upper portion of the device isolation layer pattern by a second wet etching process to form an opening having vertical sidewalls; 상기 개구부를 통해 노출된 기판 상에 터널 산화막을 형성하는 단계;Forming a tunnel oxide film on the substrate exposed through the opening; 상기 터널 산화막 및 상기 소자 분리막 패턴 상에 플로팅 게이트용 도전층을 형성하는 단계; 및Forming a conductive layer for a floating gate on the tunnel oxide layer and the device isolation layer pattern; And 상기 소자 분리막이 노출되도록 상기 플로팅 게이트용 도전막을 평탄화시켜플로팅 게이트를 형성하는 단계를 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 플로팅 게이트 형성 방법.And forming a floating gate by planarizing the conductive film for the floating gate so that the device isolation layer is exposed. 제8항에 있어서, 상기 하드 마스크 패턴은 질화물을 포함하는 것을 특징으로 하는 불휘발성 메모리 장치의 플로팅 게이트 형성 방법.10. The method of claim 8, wherein the hard mask pattern comprises nitride. 제9항에 있어서, 상기 제1 습식 식각 공정은 인산 스트립(H3PO4 strip) 공정을 이용하는 것을 특징으로 하는 불휘발성 메모리 장치의 플로팅 게이트 형성 방법.10. The method of claim 9, wherein the first wet etching process uses a phosphate strip (H3PO4 strip) process. 제8항에 있어서, 상기 제2 습식 식각 공정은 SC-1(NH4OH:H2O2:H2O)을 이용하는 것을 특징으로 하는 불휘발성 메모리 장치의 플로팅 게이트 형성 방법.The method of claim 8, wherein the second wet etching process uses SC-1 (NH 4 OH: H 2 O 2: H 2 O). 제8항에 있어서, 상기 제1 습식 식각 공정 및 상기 제2 습식 식각 공정은 인-시튜로 수행되는 것을 특징으로 하는 불휘발성 메모리 장치의 플로팅 게이트 형성 방법.10. The method of claim 8, wherein the first wet etching process and the second wet etching process are performed in-situ.
KR1020060023810A 2006-03-15 2006-03-15 Method for forming a pattern and method for forming a floating gate of the non-volatile memory device using the same KR20070093672A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060023810A KR20070093672A (en) 2006-03-15 2006-03-15 Method for forming a pattern and method for forming a floating gate of the non-volatile memory device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060023810A KR20070093672A (en) 2006-03-15 2006-03-15 Method for forming a pattern and method for forming a floating gate of the non-volatile memory device using the same

Publications (1)

Publication Number Publication Date
KR20070093672A true KR20070093672A (en) 2007-09-19

Family

ID=38687834

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060023810A KR20070093672A (en) 2006-03-15 2006-03-15 Method for forming a pattern and method for forming a floating gate of the non-volatile memory device using the same

Country Status (1)

Country Link
KR (1) KR20070093672A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110028971A (en) * 2009-09-14 2011-03-22 삼성전자주식회사 Methods of manufacturing semiconductor device forming two different contact holes for each size by using one photo process
CN113690218A (en) * 2020-05-18 2021-11-23 中国科学院微电子研究所 Semiconductor device, manufacturing method and electronic equipment

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110028971A (en) * 2009-09-14 2011-03-22 삼성전자주식회사 Methods of manufacturing semiconductor device forming two different contact holes for each size by using one photo process
CN113690218A (en) * 2020-05-18 2021-11-23 中国科学院微电子研究所 Semiconductor device, manufacturing method and electronic equipment
CN113690218B (en) * 2020-05-18 2023-11-07 中国科学院微电子研究所 Semiconductor device, manufacturing method and electronic equipment

Similar Documents

Publication Publication Date Title
US6620681B1 (en) Semiconductor device having desired gate profile and method of making the same
US7256091B2 (en) Method of manufacturing a semiconductor device with a self-aligned polysilicon electrode
US6548374B2 (en) Method for self-aligned shallow trench isolation and method of manufacturing non-volatile memory device comprising the same
US6743695B2 (en) Shallow trench isolation method and method for manufacturing non-volatile memory device using the same
JP5068442B2 (en) Manufacturing method of semiconductor device
KR20090067576A (en) Method of filling a trench and method of forming an isolation layer structure using the same
US20090311856A1 (en) Flash memory device having recessed floating gate and method for fabricating the same
JP2004214621A (en) Manufacturing method of flash memory element
KR100539275B1 (en) Method of manufacturing a semiconductor device
KR100766232B1 (en) Non-volatile memory device and manufacturing method of the same
JP2005530357A (en) Floating gate extended with conductive spacer
US20070232019A1 (en) Method for forming isolation structure in nonvolatile memory device
JP4015369B2 (en) Semiconductor device having desirable gate profile and manufacturing method thereof
KR100567624B1 (en) Method of manufacturing a semiconductor device
US7871885B2 (en) Manufacturing method of flash memory device
KR100616193B1 (en) Method for forming gate electrode in non volatile memory device
US6387814B1 (en) Method of fabricating a stringerless flash memory
KR20070093672A (en) Method for forming a pattern and method for forming a floating gate of the non-volatile memory device using the same
KR20070118348A (en) Method of manufacturing a non-volatile memory device
KR20080004945A (en) Method for trench isolation, method of forming a gate structure using the method for trench isolation and method of forming a non-volatile memory device using the method for trench isolation
KR20070039645A (en) Method of forming a floating gate in non-volatile memory device
KR100554835B1 (en) Method of manufacturing a flash device
KR20080054698A (en) Method of manufacturing a non-volatile memory device
KR20060012695A (en) Method of manufacturing a semiconductor device
KR20020095690A (en) Method of manufacturing flash memory device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid