KR20070070487A - Apparatus for transferring a substrate - Google Patents

Apparatus for transferring a substrate Download PDF

Info

Publication number
KR20070070487A
KR20070070487A KR1020050133102A KR20050133102A KR20070070487A KR 20070070487 A KR20070070487 A KR 20070070487A KR 1020050133102 A KR1020050133102 A KR 1020050133102A KR 20050133102 A KR20050133102 A KR 20050133102A KR 20070070487 A KR20070070487 A KR 20070070487A
Authority
KR
South Korea
Prior art keywords
substrate
substrate transfer
gas
transfer chamber
foup
Prior art date
Application number
KR1020050133102A
Other languages
Korean (ko)
Inventor
배종환
황인복
임장빈
김광남
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050133102A priority Critical patent/KR20070070487A/en
Publication of KR20070070487A publication Critical patent/KR20070070487A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Abstract

A substrate transfer apparatus is provided to prevent a gas existing in a front opening unified pod from being diffused outwardly by using a gas suction part. A load port(110) supports a receptacle receiving plural substrates(10). A substrate transfer chamber(120) is located between the load port and a substrate processing module, and has a substrate transfer robot for transferring the substrate and an entrance for the receptacle and the substrate. A gas suction part is provided at the entrance to sucking gas which is leaked between the receptacle and the substrate transfer chamber. The gas suction part has a frame with plural gas sucking holes and a pump connected to the gas sucking holes.

Description

기판 이송 장치{Apparatus for transferring a substrate}Apparatus for transferring a substrate}

도 1은 본 발명의 바람직한 일실시예에 따른 기판 이송 장치를 설명하기 위한 개략적인 구성도이다.1 is a schematic diagram illustrating a substrate transfer apparatus according to a preferred embodiment of the present invention.

도 2는 도 1의 기판 이송 장치의 가스 흡입부를 설명하기 위한 개략적인 사시도이다.FIG. 2 is a schematic perspective view illustrating a gas suction unit of the substrate transport apparatus of FIG. 1.

도 3은 도 1에 도시된 기판 이송 장치를 갖는 기판 가공 장치를 설명하기 위한 개략적인 구성도이다.FIG. 3 is a schematic configuration diagram for explaining a substrate processing apparatus having the substrate transfer apparatus shown in FIG. 1.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

10 : 기판 20 : FOUP10: substrate 20: FOUP

100 : 기판 이송 장치 110 : 로드 포트100: substrate transfer device 110: load port

120 : 기판 이송 챔버 130 : 팬 필터 유닛120: substrate transfer chamber 130: fan filter unit

140 : 흡입부 142 : 프레임140: suction part 142: frame

143 : 흡입홀 144 : 펌프143: suction hole 144: pump

146 : 배출 라인 200 : 기판 가공 챔버146: discharge line 200: substrate processing chamber

210 : 제2기판 이송 챔버 220 : 제2기판 이송 로봇210: second substrate transfer chamber 220: second substrate transfer robot

300 : 로드록 챔버300: load lock chamber

본 발명은 기판 이송 장치에 관한 것으로, 보다 상세하게는 다수의 기판을 수납하는 용기와 기판을 가공하기 위한 기판 가공 장치 사이에서 상기 기판들을 이송하기 위한 기판 이송 장치에 관한 것이다.The present invention relates to a substrate transfer apparatus, and more particularly, to a substrate transfer apparatus for transferring the substrates between a container housing a plurality of substrates and a substrate processing apparatus for processing the substrate.

일반적으로 반도체 장치는 반도체 기판으로 사용되는 실리콘웨이퍼 상에 전기 소자들을 포함하는 전기적인 회로를 형성하는 팹(Fabrication) 공정과, 상기 팹 공정에서 형성된 반도체 장치들의 전기적인 특성을 검사하기 위한 EDS(electrical die sorting) 공정과, 상기 반도체 장치들을 각각 에폭시 수지로 봉지하고 개별화시키기 위한 패키지 조립 공정을 통해 제조된다.In general, a semiconductor device includes a fabrication process for forming an electrical circuit including electrical elements on a silicon wafer used as a semiconductor substrate, and an EDS (electrical) for inspecting electrical characteristics of the semiconductor devices formed in the fabrication process. die sorting) and a package assembly process for encapsulating and individualizing the semiconductor devices with an epoxy resin.

상기 팹 공정은 웨이퍼 상에 막을 형성하기 위한 증착 공정과, 상기 막을 평탄화하기 위한 화학적 기계적 연마 공정과, 상기 막 상에 포토레지스트 패턴을 형성하기 위한 포토리소그래피 공정과, 상기 포토레지스트 패턴을 이용하여 상기 막을 전기적인 특성을 갖는 패턴으로 형성하기 위한 식각 공정과, 웨이퍼의 소정 영역에 특정 이온을 주입하기 위한 이온 주입 공정과, 웨이퍼 상의 불순물을 제거하기 위한 세정 공정과, 상기 막 또는 패턴이 형성된 웨이퍼의 표면을 검사하기 위한 검사 공정 등을 포함한다.The fab process includes a deposition process for forming a film on a wafer, a chemical mechanical polishing process for planarizing the film, a photolithography process for forming a photoresist pattern on the film, and the photoresist pattern using the photoresist pattern. An etching process for forming the film into a pattern having electrical characteristics, an ion implantation process for implanting specific ions into a predetermined region of the wafer, a cleaning process for removing impurities on the wafer, and a process for forming the film or pattern Inspection process for inspecting the surface;

상기와 같은 반도체 기판 가공 공정들은 반도체 기판의 오염을 방지하기 위한 고 진공 상태에서 수행된다. 또한, 반도체 장치의 생산성을 향상시키기 위해 기판 가공 장치는 저 진공 상태로 유지되는 로드락 챔버와, 가공 공정을 수행하기 위 한 공정 챔버를 포함한다. 상기 로드락 챔버는 반도체 기판을 오염 없이 기판 가공 챔버로 이송하기 위하여 기판 이송 챔버와 연결되어 있다.Such semiconductor substrate processing processes are performed in a high vacuum to prevent contamination of the semiconductor substrate. In addition, in order to improve the productivity of the semiconductor device, the substrate processing apparatus includes a load lock chamber maintained in a low vacuum state, and a process chamber for performing a processing process. The load lock chamber is connected with the substrate transfer chamber to transfer the semiconductor substrate to the substrate processing chamber without contamination.

최근, 300mm의 직경을 갖는 반도체 기판의 가공 공정(예를 들면, 증착 공정, 건식 식각 공정 등)을 수행하기 위한 장치는 반도체 기판을 가공하기 위한 기판 가공 장치와, EFEM(equipment front end module)과 같이 반도체 기판을 이송하기 위한 기판 이송 장치와, 기판 가공 장치와 기판 이송 장치 사이에 배치된 로드록 챔버를 포함한다. Recently, an apparatus for processing a semiconductor substrate having a diameter of 300 mm (for example, a deposition process, a dry etching process, and the like) includes a substrate processing apparatus for processing a semiconductor substrate, an equipment front end module (EFEM), A substrate transfer apparatus for transferring the semiconductor substrate as described above, and a load lock chamber disposed between the substrate processing apparatus and the substrate transfer apparatus.

상기 기판 이송 장치는 반도체 기판을 수납하기 위해 전방 개구 통합형 포드(Front Opening Unified Pod; 이하 'FOUP'라 한다)를 로드 포트에 로딩한 후, 상기 로드 포트와 로드록 챔버 사이에 배치되는 기판 이송 챔버의 이송 로봇이 상기 기판을 상기 FOUP와 로드록 챔버 사이에서 이송한다. 상기 FOUP은 상기 로드 포트에 로딩되어 기판 출입구가 위치하는 상기 기판 이송 챔버의 전방 패널에 밀착되고, 상기 FOUP의 도어 오픈시 상기 전방 패널로부터 약간 이격된 후 다시 상기 전방 패널에 밀착된다. 상기 FOUP이 상기 전방 패널로부터 이격될 때, 상기 FOUP 내에 잔류하는 가스가 외부로 확산된다. 상기 가스는 이전 공정의 결과로 상기 FOUP 내에 잔류하는 가스이다. 상기 가스는 작업자들에게 악영향을 미칠 수 있다.The substrate transfer device loads a front opening unified pod (hereinafter referred to as 'FOUP') into a load port to receive a semiconductor substrate, and then the substrate transfer chamber disposed between the load port and the load lock chamber. The transfer robot transfers the substrate between the FOUP and the load lock chamber. The FOUP is loaded into the load port and is in close contact with the front panel of the substrate transfer chamber in which the substrate entrance and exit is located. When the FOUP is spaced apart from the front panel, the gas remaining in the FOUP diffuses outward. The gas is the gas remaining in the FOUP as a result of a previous process. The gas can adversely affect workers.

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 FOUP의 도어 개방시 상기 FOUP 내부에 잔류하는 가스가 외부로 확산되는 것을 방지하기 위한 기판 이송 장치를 제공하는데 있다. An object of the present invention for solving the above problems is to provide a substrate transfer apparatus for preventing the gas remaining inside the FOUP to spread to the outside when the door of the FOUP open.

상기 본 발명의 목적을 달성하기 위한 본 발명의 바람직한 일 실시예에 의하면, 기판 이송 장치는 다수의 기판들을 수용하는 용기를 지지하기 위한 로드 포트 및 상기 로드 포트와 상기 다수의 기판들을 가공하기 위한 기판 가공 장치 사이에 배치되며, 내부에 상기 기판을 이송하기 위한 기판 이송 로봇을 가지며, 상기 용기와의 기판 이송을 위한 출입구를 갖는 기판 이송 챔버를 포함한다. 흡입부는 상기 출입구 부위에 구비되며, 상기 용기와 상기 기판 이송 챔버 사이를 통해 외부로 확산되는 가스를 흡입한다. According to a preferred embodiment of the present invention for achieving the object of the present invention, the substrate transfer device is a load port for supporting a container for receiving a plurality of substrates and a substrate for processing the load port and the plurality of substrates And a substrate transfer chamber disposed between the processing apparatuses, the substrate transfer robot for transferring the substrate therein, the substrate transfer chamber having an entrance and exit for transferring the substrate to the container. The suction part is provided at the entrance and exit part, and sucks gas diffused to the outside through the container and the substrate transfer chamber.

상기 흡입부는 상기 출입구의 둘레를 따라 구비되며, 다수의 가스 흡입홀을 갖는 프레임 및 상기 가스 흡입홀들과 연결되며, 상기 가스를 흡입하기 위한 진공력을 제공하기 위한 펌프를 포함할 수 있다. 상기 용기는 전방 개구 통합형 포드(Front Opening Unified Pod)인 것이 바람직하다.The suction part may be provided along a circumference of the entrance, and may include a frame having a plurality of gas suction holes, a pump connected to the gas suction holes, and providing a vacuum force for sucking the gas. The vessel is preferably a front opening unified pod.

이와 같이 구성된 본 발명에 따른 기판 이송 장치는 상기 흡입부를 이용하여 상기 가스의 확산을 방지하여 작업자의 작업 환경을 쾌적하게 유지할 수 있다.The substrate transfer apparatus according to the present invention configured as described above can prevent the diffusion of the gas using the suction unit to comfortably maintain the working environment of the worker.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 따른 기판 이송 장치에 대해 상세히 설명한다. 하지만, 본 발명이 하기의 실시예들에 한정되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다. 첨부된 도면에 있어서, 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. 본 발명에 있어서, 각 구조물들이 다른 구조물의 " 상에", "상부"에 또는 "하부"에 위치하는 것으로 언급되는 경우에는 각 구조물들이 직접 다른 구조물들 위에 위치하거나 또는 아래에 위치하는 것을 의미하거나, 또 다른 구조물들이 그 사이에 추가적으로 위치할 수 있다. 또한, 각 구조물들이 "제1" 및/또는 "제2"로 언급되는 경우, 이러한 부재들을 한정하기 위한 것이 아니라 단지 각 구조물들을 구분하기 위한 것이다. 따라서, "제1" 및/또는 "제2"는 각 구조물들에 대하여 각기 선택적으로 또는 교환적으로 사용될 수 있다.Hereinafter, a substrate transfer apparatus according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the following embodiments, and those skilled in the art may implement the present invention in various other forms without departing from the technical spirit of the present invention. In the accompanying drawings, the dimensions of the structures are shown in an enlarged scale than actual for clarity of the invention. In the present invention, when each structure is referred to as being located "on", "top" or "bottom" of another structure, it means that each structure is located directly above or below other structures, or However, other structures may additionally be located therebetween. In addition, where each structure is referred to as "first" and / or "second", it is not intended to limit these members but merely to distinguish each structure. Thus, "first" and / or "second" may be used selectively or interchangeably for each structure.

도 1은 본 발명의 바람직한 일실시예에 따른 기판 이송 장치를 설명하기 위한 개략적인 구성도이다.1 is a schematic diagram illustrating a substrate transfer apparatus according to a preferred embodiment of the present invention.

도 1을 참조하면, 상기 기판 이송 장치(100)는 크게 로드 포트(110), 기판 이송 챔버(120), 팬 필터 유닛(130) 및 가스 흡입부(140)를 포함한다.Referring to FIG. 1, the substrate transfer apparatus 100 includes a load port 110, a substrate transfer chamber 120, a fan filter unit 130, and a gas suction unit 140.

상기 로드 포트(110)는 상기 기판 이송 챔버(120)와 연결되며, 상기 용기를 지지한다. 상기 용기로는 FOUP(20)가 사용될 수 있다. 상세히 도시되지는 않았지만, 로드 포트(110)는 FOUP(20)를 지지하고, FOUP(20)를 기판 가공 챔버(120)의 도어(124)에 밀착시키기 위해 이동시킨다.The load port 110 is connected to the substrate transfer chamber 120 and supports the container. As the container, FOUP 20 may be used. Although not shown in detail, the load port 110 supports the FOUP 20 and moves the FOUP 20 to bring it into close contact with the door 124 of the substrate processing chamber 120.

상기 기판 이송 챔버(120)는 상기 로드 포트(110)와 기판 가공 챔버(300) 사이에 배치된다. 상기 기판 이송 챔버(120)의 전방 패널에는 상기와 같이 로트 포트(110)가 구비되며, 상기 기판 이송 챔버(120)의 후방 패널에는 상기 기판 이송 챔버(120)와 상기 기판 가공 챔버(미도시)를 연결하기 위한 로드락 챔버(미도시)가 연결된다. 상기 기판 이송 챔버(120)의 전방 패널 하부에는 FOUP(20)의 도어(22)를 개폐하기 위한 도어 오프너(126)가 배치되어 있다. 상기 도어 오프너(126)는 기판 이송 챔버(120)의 도어(124)의 내측면에 연결되어 있다. 상기 도어 오프너(126)는 기판 이송 챔버(120)의 도어(124)를 상기 FOUP(20)의 도어(22)와 밀착시켜 FOUP(20)을 개방한다.The substrate transfer chamber 120 is disposed between the load port 110 and the substrate processing chamber 300. The lot port 110 is provided in the front panel of the substrate transfer chamber 120 as described above, and the substrate transfer chamber 120 and the substrate processing chamber (not shown) are provided in the rear panel of the substrate transfer chamber 120. A load lock chamber (not shown) for connecting is connected. A door opener 126 for opening and closing the door 22 of the FOUP 20 is disposed below the front panel of the substrate transfer chamber 120. The door opener 126 is connected to the inner side of the door 124 of the substrate transfer chamber 120. The door opener 126 opens the FOUP 20 by bringing the door 124 of the substrate transfer chamber 120 into close contact with the door 22 of the FOUP 20.

상기 도어 오프너(126)에 의해 FOUP(20)의 도어(22)가 개방되면 상기 기판 이송 챔버(120)와 FOUP(20)는 기판 이송 챔버(120)의 개구(120a)를 통해 연결된다. 또한, FOUP(20)의 내부에 수납된 기판들(10)에 대한 위치 정보를 확인하기 위한 맵핑(mapping)이 수행된다.When the door 22 of the FOUP 20 is opened by the door opener 126, the substrate transfer chamber 120 and the FOUP 20 are connected through the opening 120a of the substrate transfer chamber 120. In addition, mapping is performed to confirm positional information on the substrates 10 accommodated in the FOUP 20.

상기 FOUP(20)이 개방될 때, 상기 FOUP(20)은 상기 전방 패널로부터 약간 이격된 후 다시 상기 전방 패널에 밀착된다. 이때, 상기 FOUP(20)의 내부에 잔류하는 가스가 외부로 확산된다. 상기 가스는 상기 기판(10)에 대한 선행 공정 후 상기 FOUP(20)에 잔류하는 가스이다. 예를 들면, 상기 가스를 이온 주입 공정 후 상기 FOUP(20)에 잔류하는 가스이다. 상기 이온 주입 공정 후의 잔류 가스는 고약한 냄새로 인해 작업자에 악영향을 미친다.When the FOUP 20 is opened, the FOUP 20 is brought into close contact with the front panel after being slightly separated from the front panel. At this time, the gas remaining inside the FOUP 20 is diffused to the outside. The gas is the gas remaining in the FOUP 20 after a preceding process for the substrate 10. For example, the gas is a gas remaining in the FOUP 20 after the ion implantation process. The residual gas after the ion implantation process adversely affects the worker due to the bad smell.

상기 가스 흡입부(140)는 상기 FOUP(20)의 도어(22) 개방시 상기 FOUP(20)과 상기 기판 이송 챔버(120)의 전방 패널 사이를 통해 외부로 확산되는 상기 가스를 흡입한다. The gas suction unit 140 sucks the gas diffused to the outside through the front panel of the substrate transfer chamber 120 and the FOUP 20 when the door 22 of the FOUP 20 is opened.

도 2는 도 1의 기판 이송 장치의 가스 흡입부를 설명하기 위한 개략적인 사시도이다.FIG. 2 is a schematic perspective view illustrating a gas suction unit of the substrate transport apparatus of FIG. 1.

도 2를 참조하면, 상기 가스 흡입부(140)는 프레임(142), 펌프(144) 및 연결 라인(146)을 포함한다. Referring to FIG. 2, the gas suction unit 140 includes a frame 142, a pump 144, and a connection line 146.

상기 프레임(142)은 사각 형태를 가지며, 상기 전방 패널의 외측면에 상기 개구(120a)의 둘레를 따라 구비된다. 상기 프레임(142)의 내측면에는 다수의 가스 흡입구(143)가 구비된다. 상기 가스 흡입구들(143)은 일정한 간격으로 배치될 수 있다. 상기 가스 흡입구들(143)은 상기 프레임(142)의 내부에서 서로 연결된다. 따라서 상기 FOUP(20) 내부의 잔류 가스를 상기 가스 흡입구들(143)이 흡입한다. 그러므로 상기 FOUP(20)이 상기 기판 이송 챔버(120)의 전방 패널과 약간 이격되더라도 상기 가스가 외부로 확산되는 것을 방지할 수 있다.The frame 142 has a rectangular shape and is provided along the circumference of the opening 120a on the outer surface of the front panel. The inner surface of the frame 142 is provided with a plurality of gas inlet 143. The gas inlets 143 may be disposed at regular intervals. The gas inlets 143 are connected to each other inside the frame 142. Therefore, the gas inlets 143 suck the residual gas inside the FOUP 20. Therefore, even if the FOUP 20 is slightly spaced apart from the front panel of the substrate transfer chamber 120, it is possible to prevent the gas from spreading to the outside.

상기 펌프(144)는 상기 펌핑에 의해 상기 가스 흡입구들(143)을 통해 상기 가스를 흡입할 수 있는 진공력을 제공한다. 상기 펌프(144)로는 저진공 펌프가 사용되는 것이 바람직하다. 상기 저진공 펌프의 예로는 드라이 펌프(dry pump), 기계식 로터리 펌프(rotary oil sealed mechanical pump), 섭션 펌프(sorption pump), 벤츄리 펌프(venturi pump) 또는 부스터 펌프(booster pump) 등이 있다. The pump 144 provides a vacuum force capable of sucking the gas through the gas inlets 143 by the pumping. As the pump 144, a low vacuum pump is preferably used. Examples of the low vacuum pump include a dry pump, a rotary oil sealed mechanical pump, a suction pump, a venturi pump, or a booster pump.

상기에서는 잔류가스의 흡입을 위해 펌프(144)가 사용되는 것으로 설명되었지만, 상기 잔류 가스를 흡입할 수 있는 어떠한 장치가 사용되어도 무방하다.Although the pump 144 is described as being used for the suction of the residual gas above, any device capable of sucking the residual gas may be used.

상기 연결 라인(143)은 상기 펌프(144)와 상기 프레임(142)을 연결한다. 상기 연결 라인(143)은 상기 프레임(142) 내부에서 서로 연결된 가스 흡입구들(143)과 연결된다. 따라서, 상기 가스는 상기 가스 흡입구(143)에서 흡입되고, 상기 연결 라인(143)을 따라 이송된 후 상기 펌프(144)를 통해 배출된다.The connection line 143 connects the pump 144 and the frame 142. The connection line 143 is connected to the gas inlets 143 connected to each other inside the frame 142. Accordingly, the gas is sucked in the gas inlet 143, is transported along the connection line 143, and then discharged through the pump 144.

상기 기판 이송 챔버(120)의 바닥 패널(128)에는 다수의 관통공(128a)이 형성되어 있으며, 상기 다수의 관통공(128a)을 통해 기판 이송 챔버(120)의 내부로 공급된 청정한 공기가 배출된다.A plurality of through holes 128a are formed in the bottom panel 128 of the substrate transfer chamber 120, and clean air supplied into the substrate transfer chamber 120 through the plurality of through holes 128a is provided. Discharged.

상기 기판 이송 챔버(120)의 내부에는 기판들(10)을 이송하기 위한 이송 로봇(122)이 배치되어 있다. 상기 기판 이송 챔버(120)의 타측 패널에는 이송 로봇(122)을 수평 방향으로 이동시키기 위한 구동부가 설치되어 있고, 상기 이송 로봇(122)은 구동부에 연결되어 있다. 그러나, 이송 로봇(122)은 기판 이송 챔버(120)의 바닥 패널(128) 상에 배치될 수도 있다.The transfer robot 122 for transferring the substrates 10 is disposed in the substrate transfer chamber 120. The other panel of the substrate transfer chamber 120 is provided with a driver for moving the transfer robot 122 in the horizontal direction, the transfer robot 122 is connected to the drive unit. However, the transfer robot 122 may be disposed on the bottom panel 128 of the substrate transfer chamber 120.

상기 이송 로봇(122)으로는 수평 회전이 가능한 수평 다관절 로봇암을 가지며, 수직 운동이 가능한 로봇이 사용될 수 있으며, 상기 수평 다관절 로봇암에는 기판(10)을 파지하기 위한 진공압이 제공될 수 있다.The transfer robot 122 may have a horizontal articulated robot arm capable of horizontal rotation, and a robot capable of vertical movement may be used. The horizontal articulated robot arm may be provided with a vacuum pressure for holding the substrate 10. Can be.

상기 팬 필터 유닛(130)은 팬(132)과 필터(134)를 포함한다. 상기 팬(132)은 상기 기판 이송 챔버(120)의 상부에 구비되며, 회전 구동에 의해 상기 기판 이송 챔버(120) 내부로 공기를 제공한다. 상기 필터(134)는 상기 팬(132)의 하방에 구비되어 상기 팬(132)에 의해 제공되는 공기에 포함된 이물질을 여과한다. 즉, 상기 팬 필터 유닛(130)은 공기를 여과하여 기판 이송 챔버(120)의 내부로 공급한다. 이때, 팬 필터 유닛(130)으로부터 공급되는 청정한 공기의 유량은 바닥 패널(128)의 관통공들(128a)을 통해 배출되는 청정한 공기의 유량보다 크게 유지되어 기판 이송 챔버(120)의 내부 압력을 항상 외부의 압력보다 높은 양압으로 유지시킨다.The fan filter unit 130 includes a fan 132 and a filter 134. The fan 132 is provided above the substrate transfer chamber 120, and provides air into the substrate transfer chamber 120 by rotational driving. The filter 134 is provided below the fan 132 to filter foreign matter contained in the air provided by the fan 132. That is, the fan filter unit 130 filters the air and supplies the inside of the substrate transfer chamber 120. At this time, the flow rate of the clean air supplied from the fan filter unit 130 is maintained to be greater than the flow rate of the clean air discharged through the through holes 128a of the bottom panel 128 to increase the internal pressure of the substrate transfer chamber 120. Always maintain a positive pressure above the external pressure.

도 3은 도 1에 도시된 기판 이송 장치를 갖는 기판 가공 장치를 설명하기 위한 개략적인 구성도이다.FIG. 3 is a schematic configuration diagram for explaining a substrate processing apparatus having the substrate transfer apparatus shown in FIG. 1.

도 3을 참조하면, 반도체 기판(W)을 가공하는 공정을 수행하는 기판 가공 장 치는 로드록 챔버(300)를 통해 기판 이송 장치와 연결되어 있다. 예를 들면, 상기 기판 가공 장치는 반도체 기판(W) 상에 막을 형성하기 위한 증착 공정, 반도체 기판(W) 상에 형성된 막을 전기적 특성을 갖는 패턴으로 형성하기 위한 건식 식각 공정 등을 수행할 수 있다.Referring to FIG. 3, a substrate processing apparatus that performs a process of processing a semiconductor substrate W is connected to a substrate transfer apparatus through a load lock chamber 300. For example, the substrate processing apparatus may perform a deposition process for forming a film on the semiconductor substrate W, a dry etching process for forming a film formed on the semiconductor substrate W in a pattern having electrical characteristics, and the like. .

도 3에 도시된 바에 의하면, 기판 이송 장치와 기판 가공 장치 사이에는 한 쌍의 로드록 챔버(300)가 배치되며, 기판 가공 장치는 반도체 기판(W)들을 가공하기 위한 다수의 공정 챔버들(200)과, 한 쌍의 로드록 챔버(300)와 다수의 공정 챔버들을 연결하는 제2기판 이송 챔버(210)와, 상기 반도체 기판(W)들을 이송하기 위한 제2기판 이송 로봇(220)을 포함한다. 예를 들면, 상기 기판 가공 장치는 기판(10) 상에 막을 형성하기 위한 증착 공정, 기판(10) 상에 형성된 막을 전기적 특성을 갖는 패턴으로 형성하기 위한 건식 식각 공정 등을 수행할 수 있다.As shown in FIG. 3, a pair of load lock chambers 300 are disposed between the substrate transfer apparatus and the substrate processing apparatus, and the substrate processing apparatus includes a plurality of process chambers 200 for processing the semiconductor substrates W. As shown in FIG. ), A second substrate transfer chamber 210 connecting the pair of loadlock chambers 300 and the plurality of process chambers, and a second substrate transfer robot 220 for transferring the semiconductor substrates W. do. For example, the substrate processing apparatus may perform a deposition process for forming a film on the substrate 10, a dry etching process for forming a film formed on the substrate 10 in a pattern having electrical properties, and the like.

로드록 챔버(300)는 기판 이송 챔버(100)와 기판 가공 챔버(200) 사이에 위치한다. 기판 이송 챔버(100) 내부에 위치한 기판 이송 로봇(20)은 FOUP(20)으로부터 이송한 기판(10)을 로드록 챔버(300)로 이송한다. 상기 로드록 챔버(300)는 상기 기판(10)을 지지하며, 상기 제2기판 이송 로봇(220)이 상기 기판(10)들을 한 매씩 기판 가공 챔버(200)로 이송하는 통로의 기능을 한다.The loadlock chamber 300 is located between the substrate transfer chamber 100 and the substrate processing chamber 200. The substrate transfer robot 20 located inside the substrate transfer chamber 100 transfers the substrate 10 transferred from the FOUP 20 to the load lock chamber 300. The load lock chamber 300 supports the substrate 10 and functions as a passage through which the second substrate transfer robot 220 transfers the substrates 10 one by one to the substrate processing chamber 200.

제2기판 이송 로봇(220)은 상기 로드록 챔버(300)에 위치한 기판(10)을 소정의 가공 공정이 수행되는 기판 가공 챔버(200)로 이동시킨다. 자세하게 도시되어 있지는 않지만, 상기 제2기판 이송 로봇(220)으로 구동력을 제공하기 위하여 제2기판 이송 로봇(220)은 구동부와 연결되어 있다.The second substrate transfer robot 220 moves the substrate 10 located in the load lock chamber 300 to the substrate processing chamber 200 where a predetermined processing process is performed. Although not shown in detail, the second substrate transfer robot 220 is connected to the driving unit to provide a driving force to the second substrate transfer robot 220.

상기와 같은 구성 요소들에 대한 추가적인 상세 설명은 도 1 및 도 2 도시된 기판 이송 장치와 관련하여 이미 설명된 것들과 유사하므로 생략하기로 한다.Further details of the above components are similar to those already described with respect to the substrate transfer apparatus shown in FIGS. 1 and 2 and will be omitted.

상술한 바와 같이, 본 발명의 바람직한 실시예에 따른 기판 이송 장치는 가스 흡입부를 이용하여 FOUP의 도어 개방시 상기 FOUP의 내부에 잔류하는 가스가 외부로 확산되는 것을 방지한다. 따라서 상기 가스가 작업자에게 악영향을 미치는 것을 방지할 수 있다. 즉, 작업자가 작업 환경을 향상시킬 수 있다.As described above, the substrate transfer apparatus according to the preferred embodiment of the present invention prevents the gas remaining inside the FOUP from diffusing to the outside when the door of the FOUP is opened by using the gas suction unit. Therefore, it is possible to prevent the gas from adversely affecting the worker. That is, the worker can improve the working environment.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

Claims (3)

다수의 기판들을 수용하는 용기를 지지하기 위한 로드 포트;A load port for supporting a container containing a plurality of substrates; 상기 로드 포트와 상기 다수의 기판들을 가공하기 위한 기판 가공 장치 사이에 배치되며, 내부에 상기 기판을 이송하기 위한 기판 이송 로봇을 가지며, 상기 용기와의 기판 이송을 위한 출입구를 갖는 기판 이송 챔버; 및A substrate transfer chamber disposed between the load port and a substrate processing apparatus for processing the plurality of substrates, the substrate transfer robot having a substrate transfer robot for transferring the substrate therein and having an entrance and exit for transferring the substrate to the container; And 상기 출입구 부위에 구비되며, 상기 용기와 상기 기판 이송 챔버 사이를 통해 외부로 확산되는 가스를 흡입하기 위한 흡입부를 포함하는 것을 특징으로 하는 기판 이송 장치.And a suction part provided at the entrance and exit portion, and configured to suck gas diffused to the outside through the container and the substrate transfer chamber. 제1항에 있어서, 상기 흡입부는 상기 출입구의 둘레를 따라 구비되며, 다수의 가스 흡입홀을 갖는 프레임; 및According to claim 1, The suction unit is provided along the circumference of the entrance, the frame having a plurality of gas suction holes; And 상기 가스 흡입홀들과 연결되며, 상기 가스를 흡입하기 위한 진공력을 제공하기 위한 펌프를 포함하는 것을 특징으로 하는 기판 이송 장치.And a pump connected to the gas suction holes and providing a vacuum force for sucking the gas. 제1항에 있어서, 상기 용기는 전방 개구 통합형 포드(Front Opening Unified Pod)인 것을 특징으로 하는 기판 이송 장치.2. The substrate transport apparatus of claim 1, wherein the vessel is a front opening unified pod.
KR1020050133102A 2005-12-29 2005-12-29 Apparatus for transferring a substrate KR20070070487A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050133102A KR20070070487A (en) 2005-12-29 2005-12-29 Apparatus for transferring a substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050133102A KR20070070487A (en) 2005-12-29 2005-12-29 Apparatus for transferring a substrate

Publications (1)

Publication Number Publication Date
KR20070070487A true KR20070070487A (en) 2007-07-04

Family

ID=38505782

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050133102A KR20070070487A (en) 2005-12-29 2005-12-29 Apparatus for transferring a substrate

Country Status (1)

Country Link
KR (1) KR20070070487A (en)

Similar Documents

Publication Publication Date Title
US11081379B2 (en) Load port operation in electronic device manufacturing apparatus, systems, and methods
KR100702844B1 (en) Load-lock chamber and equipment for manufacturing semiconductor device used the same
KR20030007014A (en) A substrate receiving container, a substrate carrier system, a storage apparatus and a gas substitution method
US8631756B2 (en) Apparatus for processing substrate and method of maintaining the apparatus
JP2017045836A (en) Substrate processing device
KR100819114B1 (en) Substrate transfer robot and substrate processing apparatus including the same
US11075094B2 (en) Substrate processing apparatus
US20040165973A1 (en) Apparatus and method for processing wafers
KR20070070487A (en) Apparatus for transferring a substrate
US20210323756A1 (en) Substrate container system
JP2019091753A (en) Load port device
KR100612421B1 (en) System for transferring substrates
CN109564887B (en) Load port and wafer transfer method
KR20060066415A (en) Apparatus for transferring a substrate
KR20060127294A (en) Side storage chamber having function of fume remove
JP2001223195A (en) Sheet-type substrate washing method and device, and substrate washing system
JP7025873B2 (en) Board processing equipment
KR20230047714A (en) Substrate transfer apparatus
KR20070070482A (en) Apparatus for storing a wafer used in a semiconductor fabrication equipment
KR20080058690A (en) Apparatus for treating substrates
CN116364585A (en) Cleaning device and apparatus for processing substrate
WO2019159468A1 (en) Substrate processing apparatus
TW202322257A (en) System for transferring wafer substrate, method for reducing relative humidity and method for reducing airflow
KR20070033495A (en) Exhausting Apparatus
KR20200027229A (en) Nozzle pad for supplying gas and apparatus for supplying gas for wafer container comprising the same

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination