KR20070020410A - Methods for exposing patterns and emulating masks in optical maskless lithography - Google Patents

Methods for exposing patterns and emulating masks in optical maskless lithography Download PDF

Info

Publication number
KR20070020410A
KR20070020410A KR1020067016674A KR20067016674A KR20070020410A KR 20070020410 A KR20070020410 A KR 20070020410A KR 1020067016674 A KR1020067016674 A KR 1020067016674A KR 20067016674 A KR20067016674 A KR 20067016674A KR 20070020410 A KR20070020410 A KR 20070020410A
Authority
KR
South Korea
Prior art keywords
slm
mirror
partially coherent
coherent light
reflection coefficient
Prior art date
Application number
KR1020067016674A
Other languages
Korean (ko)
Other versions
KR100864391B1 (en
Inventor
토르비에른 산드스트룀
한스 마르틴손
Original Assignee
마이크로닉 레이저 시스템즈 에이비
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크로닉 레이저 시스템즈 에이비 filed Critical 마이크로닉 레이저 시스템즈 에이비
Priority to KR1020067016674A priority Critical patent/KR100864391B1/en
Publication of KR20070020410A publication Critical patent/KR20070020410A/en
Application granted granted Critical
Publication of KR100864391B1 publication Critical patent/KR100864391B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70233Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 발명은 광학 마스크리스 리소그래피 (OML) 에 관한 것이다. 특히, 본 발명은 OML에 마스크와 위상 시프트 마스크 기술 사이의 인식가능한 관계를 제공하는 것에 관한 것이다.FIELD OF THE INVENTION The present invention relates to optical maskless lithography (OML). In particular, the present invention relates to providing a recognizable relationship between a mask and a phase shift mask technique in an OML.

광학 마스크리스 리소그래피, 위상 시프트 마스크 Optical Maskless Lithography, Phase Shift Masks

Description

광학 마스크리스 리소그래피에서 패턴을 노광하고 마스크를 에뮬레이팅하는 방법{METHODS FOR EXPOSING PATTERNS AND EMULATING MASKS IN OPTICAL MASKLESS LITHOGRAPHY}METHODS FOR EXPOSING PATTERNS AND EMULATING MASKS IN OPTICAL MASKLESS LITHOGRAPHY}

본 발명은 광학 마스크리스 리소그래피 (OML; Optical Maskless Lithography) 에 관한 것이다. 특히, 본 발명은 OML에 마스크와 위상 시프트 마스크 기술 사이의 인식가능한 관계를 제공하는 것에 관한 것이다.FIELD OF THE INVENTION The present invention relates to optical maskless lithography (OML). In particular, the present invention relates to providing a recognizable relationship between a mask and a phase shift mask technique in an OML.

본 명세서에 개시된 발명과 유사한 위상 시프트 마스크 기술의 유형에 대한 일반적인 배경에 대해, 2004년 3월 12일자로 http://www.reed- electronics.com/semiconductor/index.asp?layout=articlePrint&articleID=CA319210에서 액세스 가능한 Wilhelm Maurer의 "Application of Advanced Phase-Shift Masks" 라는 명칭의 아티클을 참조하였다.For a general background on the type of phase shift mask technology similar to the invention disclosed herein, http://www.reed-electronics.com/semiconductor/index.asp?layout=articlePrint&articleID=CA319210 dated March 12, 2004. Reference is made to an article entitled "Application of Advanced Phase-Shift Masks" by Wilhelm Maurer, which is accessible from.

무어의 법칙은 가격은 낮아지면서 컴퓨터 파워는 기하급수적으로 증가하는 것을 보장한다. 프로세싱 파워의 이 동적인 성장은 반도체 디바이스 제조가 석유의 와일드 캣 (wild cat) 과 같은, 모험적인 사업이 될 수도 있다는 생각을 하게 했을 것이다. 정반대가 사실이다. 제조 배치 (batch) 들은 매우 비싸고 제조 프로세스가 아주 작은 실수에 민감하기 때문에, 반도체 디바이스 제조는 보수적 인 사업이다. 새로운 장비에 대한 품질 주기 (qualification cycle) 및 표준과 오래된 장비의 개조는 오랜 시간이 소요되며 까다롭다. 제품으로 출시되기 전까지 작은 변화라도 광범위하게 검출된다.Moore's law ensures that computer power increases exponentially with lower prices. This dynamic growth in processing power would have led to the idea that semiconductor device manufacturing could be an adventurous business, such as a wild cat in oil. The exact opposite is true. Fabrication device manufacturing is a conservative business because fabrication batches are very expensive and the fabrication process is sensitive to very small mistakes. Qualification cycles for new equipment and retrofitting standards and old equipment are time consuming and demanding. Even small changes are widely detected until they are released to the product.

공동으로 양도된 출원은, 이들 중 다수가 중복되는 발명을 가지며, 마스크를 제작하도록 적절히 구성된 SLM-기반 시스템을 기술하였다. SLM 기술을 칩의 직접 기록에 적응시키기 위해 추가적인 작업이 수행되었다. 그러나, 수납 주기 (acceptance cycle) 가 가장 난제이다.The co-assigned application has described an SLM-based system, many of which have overlapping inventions and are suitably configured to fabricate masks. Additional work was done to adapt SLM technology to the chip's direct write. However, the acceptance cycle is the most difficult.

위상 시프트 패턴과 OPC 피쳐를 갖는 레티클을 포함하여, 종래의 레티클로 생성된 패턴을 에뮬레이팅하는 SLM 기반 시스템을 도입할 기회가 발생한다. 마스크에 의해 생성된 패턴의 작고 이해가능한 차이를 갖는 SLM으로부터의 직접 패턴을 생성하는 것은 새로운 시스템의 사용자 신뢰 및 속도 수용을 향상시킬 가능성이 있다. 또한 작은 배치의 원형 제작으로부터의 직접 경로에 종래 레티클에 의해 큰 배치를 압형하는 직접 기록을 제공할 수 있다. 보다 바람직하게는, 더 쉽게 구성 및 제어되고, 더 탄력적이고 투명한 컴포넌트와 시스템을 제공할 수도 있다.Opportunities arise for introducing SLM-based systems that emulate patterns generated with conventional reticles, including reticles with phase shift patterns and OPC features. Creating a direct pattern from the SLM with a small and understandable difference in the pattern generated by the mask has the potential to improve user confidence and speed acceptance of the new system. It is also possible to provide a direct record of compacting large batches by conventional reticles on the direct path from small batch prototypes. More desirably, it may provide components and systems that are more easily configured and controlled and are more flexible and transparent.

발명의 요약Summary of the Invention

본 발명은 광학 마스크리스 리소그래피 (OML) 에 관한 것이다. 특히, 본 발명은 마스크와 위상 시프트 마스크 기술에 대한 인식가능한 관계를 OML에 제공하는 것에 관한 것이다. 본 발명의 특정 양태를 청구의 범위, 상세한 설명 및 도면에 설명한다.FIELD OF THE INVENTION The present invention relates to optical maskless lithography (OML). In particular, the present invention relates to providing OML with a recognizable relationship to mask and phase shift mask techniques. Specific aspects of the invention are described in the claims, the description and the drawings.

도면의 간단한 설명Brief description of the drawings

도 1은 광학 마스크리스 리소그래피 (OML) 이미지 생성 시스템의 개략도이다.1 is a schematic diagram of an optical maskless lithography (OML) image generation system.

도 2는 웨이퍼가 스탬프마다 마이크로 스텝으로 OML에서 이용되는 짧은 펄스 길이로 일정한 속도로 스캐닝되는 것을 도시한다.2 shows that the wafer is scanned at a constant rate with a short pulse length used in the OML in microsteps per stamp.

도 3은 OML 시스템 아키텍쳐의 개략도이다.3 is a schematic diagram of an OML system architecture.

도 4는 미러의 캘리브레이션 측정의 결과를 나타낸다.4 shows the result of the calibration measurement of the mirror.

도 5는 투영 광학 장치의 예비 광학 설계를 나타낸다.5 shows a preliminary optical design of the projection optical device.

도 6은 미러 틸트 배열의 예를 도시한다.6 shows an example of a mirror tilt arrangement.

도 7은 130, 200, 400, 600 및 1200 nm 피치에서 60nm 라인에 대한 레지스트의 결과적인 일반적인 프로세스 윈도우를 도시한다.7 shows the resulting general process window of resist for 60 nm lines at 130, 200, 400, 600 and 1200 nm pitch.

도 8은 60 nm 컨택트 홀의 2개의 가공상 (aerial image) 이다.8 is two aerial images of 60 nm contact holes.

도 9는 비트맵의 1차원 디지털 필터링을 도시한다.9 illustrates one-dimensional digital filtering of a bitmap.

도 10은 마스크리스 툴 (tool) 과 마스크 라이터의 유사한 기능 및 캐스케이드에서의 스캐너를 도시한다.10 shows a scanner in a cascade and similar functionality of a maskless tool and a mask writer.

도 11a 및 도 11b는 레티클로부터 코너의 가공상 시뮬레이션을 도시한다.11A and 11B show on-machine simulation of corners from a reticle.

도 12a 및 도 12b는 이중 쌍극자 분해의 가공상 시뮬레이션을 도시한다.12A and 12B show processing simulations of double dipole decomposition.

도 13의 3개의 그래프는 6% 감쇠된 PSM 레티클, 온 그리드 SLM 이미지 및 오프 그리드 SLM 이미지를 사용하는 ED 윈도우들을 도시한다.The three graphs of FIG. 13 show ED windows using a 6% attenuated PSM reticle, on grid SLM image and off grid SLM image.

도 14a 및 도 14b는 투과 레티클과 마이크로 미러 SLM으로부터의 니어 필드 (near-field) 파면을 도시한다.14A and 14B show near-field wavefronts from the transmission reticle and the micro mirror SLM.

도 15는 2D 변조 및 필터링으로서 회절 SLM 이미지의 기능을 보는 한 방식을 도시한다.Figure 15 illustrates one way of looking at the function of the diffractive SLM image as 2D modulation and filtering.

도 16은 몇몇 SLM에서 사용되는 교차 행 레이아웃을 도시한다.16 shows a cross row layout used in some SLMs.

도 17a 내지 17d는 복소 평면에서의 위상 변조 미러 타입 및 탄도를 도시한다.17A-17D show the phase modulation mirror type and trajectory in the complex plane.

도 18a 내지 도 18d는 다양한 데이터 경로를 도시한다.18A-18D illustrate various data paths.

도 19는 SLM과 레티클을 사용하는 위상 에지와 트림 마스크를 통해 35 nm 트랜지스터 게이트를 프린트하는 방법의 개략도를 나타낸다.19 shows a schematic diagram of a method of printing a 35 nm transistor gate through a phase edge and trim mask using SLMs and reticles.

도 20은 65 nm 및 45 nm 라인 폭을 갖는 크롬리스 위상 리소그래피 (Chromeless Phase Lithography) 반고립 라인의 프린팅을 도시한다.20 shows the printing of chromeless phase lithography semi-isolated lines with 65 nm and 45 nm line widths.

도 21a 내지 도 21d는 디지털 필터링을 구현하기 위해 계산된 룩업테이블 (LUT) 을 그래프로 나타낸다 (도면 번호 22 내지 34는 사용하지 않음).21A-21D graphically show a lookup table (LUT) calculated to implement digital filtering (Figs. 22-34 are not used).

도 22a 및 도 22b는 본 발명에 따른 오프 그리드 보정 필터의 실시형태를 도시한다.22A and 22B show an embodiment of an off grid correction filter in accordance with the present invention.

도 23은 그레이 픽셀 및 다크 픽셀에 대한 결과 LUT 함수를 도시한다.Figure 23 shows the resulting LUT function for gray pixels and dark pixels.

도 24a 및 도 24b는 신규한 오프 그리드 필터로 인해 계산되는 향상을 도시한다.24A and 24B show the improvement calculated due to the novel off grid filter.

도 27a 및 도 27b는 본 발명에 따른 오프 그리드 보정 필터의 다른 실시형태를 도시한다.27A and 27B show another embodiment of an off grid correction filter in accordance with the present invention.

도 28a는 진폭 투과 변조된 픽셀을 갖는 SLM을 도시한다.28A shows an SLM with an amplitude transmissive modulated pixel.

도 28b는 바이너리 마스크로부터의 이상적인 패턴을 도시한다.28B shows the ideal pattern from the binary mask.

도 29는 에지 상의 픽셀 및 에지 외부의 픽셀에 대한 룩업 테이블을 도시한다.29 shows a lookup table for pixels on the edge and pixels outside the edge.

도 30은 조명 테이블과 그리드 필터 (오프 그리드 필터) 의 성능 비교를 도시한다.30 shows a performance comparison of an illumination table and a grid filter (off grid filter).

도 31a는 패턴의 보상되지 않은 에지를 도시한다.31A shows the uncompensated edge of the pattern.

도 31b는 패턴의 보상된 에지를 도시한다.31B shows the compensated edges of the pattern.

도 32a는 진폭 투과 변조 픽셀을 갖는 SLM을 도시한다.32A shows an SLM with amplitude transmission modulated pixels.

도 32b는 바이너리 마스크로부터 이상적인 패턴을 도시한다. 32B shows the ideal pattern from the binary mask.

상세한 설명details

다음의 상세한 설명은 도면을 참조한다. 바람직한 실시형태를 본 발명을 예증하기 위해 설명하지만, 그 범위를 한정하기 위한 것이 아니며, 그 범위는 청구의 범위에 의해서 정의된다. 당업자들은 다음의 설명에서 다양한 균등한 변화를 인식할 것이다.DETAILED DESCRIPTION The following detailed description refers to the drawings. Preferred embodiments are described to illustrate the invention, but are not intended to limit the scope thereof, which scope is defined by the claims. Those skilled in the art will recognize various equivalent changes in the following description.

도입Introduction

로우-볼륨 실행을 위해, 광학 마스크리스 리소그래피 (OML) 는 계속 증가하는 레티클 비용에 기인한 마스크계 리소그래피용으로 매력적인 대안을 제공한다. 주조공장 및 ASIC 팹 (fab) 들은, 특히 작은 시리즈의 제조에서, 레티클이 제조비용 증가의 많은 부분이 되고 있다는 것을 알고 있다. 광학 마스크리스 리소그래피는 기존 팹 기술과 호환가능한 프로세스를 유지하면서 비용의 효과적인 대안을 제공한다.For low-volume execution, optical maskless lithography (OML) provides an attractive alternative for mask based lithography due to ever-increasing reticle costs. Foundries and ASIC fabs know that reticles are a significant part of the increase in manufacturing costs, especially in the production of small series. Optical maskless lithography provides a cost-effective alternative while maintaining a process compatible with existing fab technologies.

65 nm 노드와 호환가능한 해상도에 대해 193 nm의 파장과 0.93 NA를 갖는 광학 마스크리스 스캐너를 달성할 수 있다. 5 wph (300 nm) 의 스루풋이 바람직하다.Optical maskless scanners with wavelengths of 193 nm and 0.93 NA can be achieved for resolutions compatible with 65 nm nodes. A throughput of 5 wph (300 nm) is preferred.

마스크-라이터의 SIGMA 라인을 위해 Micronic에 의해 개발된 공간 광 변조기 (SLM) 및 데이터 경로 기술은 일반 레티클과 유사한 이미징 및 광학 특성을 갖는 컴퓨터-제어되는 레티클을 제공한다. 제안된 광학 마스크리스 스캐너는 ASML TWINSCAN 플랫폼을 갖는 일련의 다수의 SLM들과 결합하고, 팹에서 광학 프로세스 투명성을 보장하기 위한 193 nm 기술을 사용한다. 레티클 스테이지 및 인프라구조는 한 세트의 SLM과 거의 250 GPixels/sec를 제공할 수 있는 데이터 전달 시스템으로 구성되는 이미지 생성 서브시스템으로 대체된다. 새로이 설계된 광학 열은 0.93의 최대 NA를 가지며, 종래 스캐너에서 사용가능한 모든 조명 모드에 대한 지원을 포함하여 종래 리소그래피 스캐너의 ASML의 TWINSCAN 시리즈와 호환가능하게 한다.Spatial light modulators (SLM) and data path technology developed by Micronic for the SIGMA line of mask-writers provide computer-controlled reticles with imaging and optical properties similar to conventional reticles. The proposed optical maskless scanner combines a series of multiple SLMs with ASML TWINSCAN platform and uses 193 nm technology to ensure optical process transparency in the fab. The reticle stage and infrastructure are replaced by an image generation subsystem consisting of a set of SLMs and a data delivery system capable of delivering nearly 250 GPixels / sec. The newly designed optical train has a maximum NA of 0.93 and is compatible with ASML's TWINSCAN series of conventional lithography scanners, including support for all illumination modes available in conventional scanners.

마스크리스 리소그래피 접근법은 높은 데이터 볼륨을 요구한다. 전자빔과 다르게, 광학 마스크리스 리소그래피는 고유의 물리적 스루풋 제한이 없다. SLM 패턴 생성 기술은 스루풋 스케일링에 적합하다. 입력 파일로부터 래스터라이저 (rasterizer) 및 SLM을 통해 레지스트의 이미지패턴으로의 변환 경로를 다수의 SLM을 동시에 사용함으로써 병렬로 할 수 있다. 랜덤 패턴에 대해서 그 문제가 만만치 않지만, 웨이퍼 상의 반복된 스캐너 필드의 성질은 문제를 단순화한 다.Maskless lithography approaches require high data volumes. Unlike electron beams, optical maskless lithography has no inherent physical throughput limitations. SLM pattern generation techniques are well suited for throughput scaling. The conversion path from the input file to the image pattern of the resist through rasterizer and SLM can be done in parallel by using multiple SLMs simultaneously. For the random pattern the problem is not trivial, but the nature of the repeated scanner field on the wafer simplifies the problem.

광학 마스크리스 스캐너와 종래 스캐너 사이의 이미지 형성 기술의 큰 공통점은 그 종류와 시스템 둘 다에서 동일한 레벨의 이미징 성능을 나타낼 것으로 기대된다는 것이다. 이미지 생성 프로세스는 마스크 기반 리소그래피로부터 기존의 강화 기술 (예를 들면, OPC) 을 채택하여 제조가 램프업 함에 따라 마스크리스로부터 마스크 기반 대량 생산으로의 변화를 용이하게 한다. 아래의 테이블은 OML 툴의 한 실시형태에 대한 예비 시스템 사양을 나타낸다.The great commonality of imaging techniques between optical maskless scanners and conventional scanners is that they are expected to exhibit the same level of imaging performance in both their types and systems. The image generation process employs existing enhancement techniques (eg, OPC) from mask-based lithography to facilitate the transition from maskless to mask-based mass production as manufacturing ramps up. The table below shows a preliminary system specification for one embodiment of an OML tool.

파라미터 사양     Parameter specification

PO 인터페이스 PO interface

PO 개구 수치 0.7 내지 0.93     PO aperture number 0.7 to 0.93

PO 배율 267×     PO magnification 267 *

사용가능한 초점심도 (uDOF) ±0.1 ㎛     Usable Depth of Field (uDOF) ± 0.1 μm

웨이퍼 면에서의 픽셀 크기 30 nm      Pixel size 30 nm at wafer side

스루풋Throughput

300 mm 웨이퍼; 125 노광, 16×32mm, 30mJ/㎠ 도우즈 5 wph      300 mm wafers; 125 exposures, 16 × 32mm, 30mJ / ㎠ dose 5 wph

200 mm 웨이퍼; 58 노광, 16×32mm, 30mJ/㎠ 도우즈 10 wph     200 mm wafers; 58 exposure, 16 × 32mm, 30mJ / ㎠ dose 10 wph

레티클로서As a reticle 마이크로  Micro 미러mirror 사용 use

광학 마스크리스 리소그래피는 종래 (즉, 마스크 기반) 포토리소그래피 스캐너를 레티클 대신, 실시간으로 마스크 패턴을 생성하는데 사용되는 고정된 어레이의 멀티플 마이크로 기계 SLM과 결합하도록 노력한다.Optical maskless lithography seeks to combine conventional (ie mask-based) photolithography scanners with a fixed array of multiple micromechanical SLMs used to generate mask patterns in real time instead of reticles.

도 1은 광학 마스크리스 이미지 발생 시스템의 개략도를 제공한다. SLM 패턴 발생기의 양태가 상기 명시한 참고문헌에 개시된다. 노광될 워크피스는 스테이지 (112) 에서 처리된다. 그 스테이지의 위치는 한 쌍의 간섭계 (113) 와 같은 정확한 포지셔닝 디바이스에 의해 제어된다.1 provides a schematic diagram of an optical maskless image generation system. Aspects of the SLM pattern generator are disclosed in the references cited above. The workpiece to be exposed is processed at stage 112. The position of the stage is controlled by an accurate positioning device, such as a pair of interferometers 113.

워크피스는 한층의 레지스트 또는 다른 노광 감지 재료를 갖는 마스크일 수도 있거나 또는 직접 기록을 위해 한 층의 레지스트 또는 다른 노광 감지 재료를 갖는 집적 회로일 수도 있다. 제 1 방향에서, 그 스테이지는 계속적으로 이동한다. 다른 방향, 통상적으로 제 1 방향에 수직한 방향에서, 그 스테이지는 천천히 또는 단계적으로 움직여서, 여러 줄의 스탬프가 워크피스에서 노광된다. 이 실시형태에서, 플래시 명령 (108) 이 레이저 펄스를 생성하는 펄스형 엑시머 레이저 소스 (107) 에서 수신된다. 이 레이저 펄스는 깊은 자외선 (DUV) 또는 극자외선 (EUV) 스펙트럼 범위일 수도 있다. 이 레이저 펄스는 빔 컨디셔너 또는 균질기 (homogenizer) 에 의해 조명광 (106) 으로 변환된다.The workpiece may be a mask with one layer of resist or another exposure sensing material or may be an integrated circuit with one layer of resist or another exposure sensing material for direct writing. In the first direction, the stage moves continuously. In another direction, typically in a direction perpendicular to the first direction, the stage moves slowly or stepwise so that several rows of stamps are exposed on the workpiece. In this embodiment, a flash command 108 is received at a pulsed excimer laser source 107 that generates a laser pulse. This laser pulse may be in the deep ultraviolet (DUV) or extreme ultraviolet (EUV) spectral range. This laser pulse is converted into illumination light 106 by a beam conditioner or homogenizer.

빔 스플리터 (105) 는 적어도 일부의 조명광을 SLM (104) 으로 보낸다. 펄스는 단 20 ns의 길이 등으로 짧고, 따라서 임의의 스테이지 이동도 플래시 동안 동결된다. SLM (104) 은 데이터스트림 (101) 에 응답하고, 데이터 스트림 (101) 은 패턴 래스터라이저 (102) 에 의해 프로세스된다. 일 구성에서, SLM은 각각 16 ㎛×16 ㎛인 2048×512 개의 미러를 가지며, 80 nm×80 nm의 투영된 이미지를 갖는다. 다른 구성에서, SLM은 훨씬 더 작은 투영된 이미지를 갖는 8 ㎛×8 ㎛인 미러를 갖는다. 그것은 각각의 스토리지 노드 상부에 0.5 미크론으로 형성된 마이크로 미케니컬 미러를 갖는 CMOS 아날로그 메모리를 포함한다.Beam splitter 105 sends at least some illumination light to SLM 104. The pulse is short, such as only 20 ns in length, so that any stage movement is frozen during flash. SLM 104 is responsive to data stream 101, and data stream 101 is processed by pattern rasterizer 102. In one configuration, the SLM has 2048 × 512 mirrors, each 16 μm × 16 μm, and has a projected image of 80 nm × 80 nm. In another configuration, the SLM has a mirror of 8 μm × 8 μm with a much smaller projected image. It includes CMOS analog memory with micromechanical mirrors formed at 0.5 microns on top of each storage node.

스토리지 노드와 미러 사이의 정전기력은 미러를 동작시킨다. 디바이스는 정반사성 반사가 아닌 회절 모드로 동작하고, 1/4의 파장 (248 nm에서 62 nm 또는 193 nm에서 48 nm) 만큼만 미러를 빗나가서 완전히 온 (fully on) 상태로부터 완전히 오프 (fully off) 상태로 간다. 정밀 어드레스 그리드를 생성하기 위해 미러는 온,오프 및 63 중간값으로 구동한다. 패턴은 SLM 칩의 수백만 이미지로부터 서로 스티치된다. 플래싱 및 스티칭은 초당 1000 내지 4000 스탬프의 속도로 진행한다. 스티칭 및 다른 에러를 감소시키기 위해서, 패턴은 오프셋 그리드 및 필드로 2 내지 4번 기록된다. 또한, 필드는 에지를 따라 혼합된다.The electrostatic force between the storage node and the mirror drives the mirror. The device operates in diffraction mode, not specular reflection, and deflects the mirror by only a quarter of the wavelength (62 nm at 248 nm or 48 nm at 193 nm) and is fully off from fully on. Going to The mirror drives on, off and 63 intermediate values to create a fine address grid. Patterns are stitched together from millions of images of SLM chips. Flashing and stitching proceeds at a rate of 1000 to 4000 stamps per second. To reduce stitching and other errors, patterns are written 2-4 times with offset grids and fields. In addition, the fields are mixed along the edges.

미러는 개별적으로 캘리브레이션된다. 엑시머 광에 민감한 CCD 카메라가 마지막 렌즈 아래의 이미지와 동등한 위치의 광학 경로에 위치된다. SLM 미러는 공지된 연속 전압을 통해 구동되고 카메라에 의해 응답이 측정된다. 캘리브레이션 기능이 각 미러에 대해 결정되고, 라이팅 동안 그레이-스케일 데이터의 실시간 보정에 사용된다. 그레이 스케일 이미지로 래스터라이즈되며, 그레이 레벨은 데이터 경로에서, 벡터 포맷 패턴이 4 라이팅 패스에서 각각의 픽셀의 도우즈 레벨에 대응된다. 이 이미지는 그 후 이미지 프로세싱을 사용해서 프로세싱될 수 있다. 마지막 스텝은 SLM에 대한 전압을 구동하기 위한 이미지로 변환하는 것이다. 이미지 프로세싱 기능은 프로그램가능 로직을 사용해서 실시간으로 수행된다. 관련 특허 출원에 개시된 다양한 스텝을 통해서, 래스터라이저 패턴 데이터는 SLM (104) 을 구동하는데 사용되는 값 (103) 으로 변환된다.The mirrors are individually calibrated. A CCD camera sensitive to excimer light is placed in the optical path at a position equivalent to the image under the last lens. The SLM mirror is driven through a known continuous voltage and the response is measured by the camera. A calibration function is determined for each mirror and used for real-time correction of gray-scale data during writing. Rasterized into a gray scale image, where the gray level corresponds to the dose level of each pixel in the four lighting passes in a vector format pattern. This image can then be processed using image processing. The final step is to convert the voltage to the SLM into an image to drive. Image processing functions are performed in real time using programmable logic. Through various steps disclosed in the related patent application, the rasterizer pattern data is converted into the value 103 used to drive the SLM 104.

이 구성에서, SLM은 회절 모드 마이크로미러 디바이스이다. 다양한 마이크로미터 디바이스가 당업계에 개시되었다. 다른 구성에서, 조명광은 LCD 어레이 또는 마이크로미케니컬 셔터와 같은 마이크로 셔터 디바이스를 통해 전달될 수 있다.In this configuration, the SLM is a diffraction mode micromirror device. Various micrometer devices have been disclosed in the art. In another configuration, the illumination light can be delivered through a micro shutter device such as an LCD array or a microchemical shutter.

OML은 Micronic의 SIGMA 마스크 라이터에서 사용되는 1 MPixel SLM 기술의 확장에 기초해서 일련의 SLM을 사용한다. SLM은 SLM 앞의 광학 시스템을 통해 펄스된 엑시머 레이저 소스에 의해 조사되고, 그것은 웨이퍼 상에 SLM의 축소 이미지를 투영시킨다. OML 툴에서, 각각의 SLM 픽셀은 8 ㎛×8 ㎛ 틸트 미러이다. 모든 미러가 평평 (즉, 이완) 할 때, SLM 표면은 미러로서 동작하고 모든 광을 투영 광학장치를 통해 정반사성으로 반사한다. 이것은 대응하는 레티클의 명확한 영역에 대응한다. 미러가 완전히 틸트되었을 때, 표면은 평평하지 않고 광은 투영 광학장치의 정지부의 외부로 회절됨으로써 손실된다; 따라서, 웨이퍼 상에 어두운 영역이 생성된다. 중간 틸트 위치는 광의 일부를 투영 광학장치로 반사할 것이고, 즉, 그레이 영역이 생성된다.OML uses a series of SLMs based on an extension of the 1 MPixel SLM technology used in Micronic's SIGMA mask writer. The SLM is irradiated by an excimer laser source pulsed through an optical system in front of the SLM, which projects a reduced image of the SLM onto the wafer. In the OML tool, each SLM pixel is an 8 μm × 8 μm tilt mirror. When all the mirrors are flat (ie relaxed), the SLM surface acts as a mirror and reflects all the light specularly through the projection optics. This corresponds to a specific area of the corresponding reticle. When the mirror is fully tilted, the surface is not flat and light is lost by diffraction out of the stop of the projection optics; Thus, dark areas are created on the wafer. The intermediate tilt position will reflect some of the light to the projection optics, ie a gray region is created.

SLM 칩은 반사 LCD 디바이스의 CMOS 회로 및 컴퓨터 TFT 스크린의 회로와 기능적으로 유사한 CMOS 회로로 이루어진다. 픽셀 셀은 스토리지 캐패시터 및 트랜지스터를 포함하여 스토리지 노드가 아날로그 전압으로 충전되고 절연되도록 한다. 픽셀은 정규 매트릭스 어드레싱에 의해, 즉, 모든 열과 행을 스캐닝하고 각각에 아날로그 전압을 가함으로써 새로운 프레임의 로딩 동안 차례대로 어드레스된다. 그 영역은 동시에 스캔되는 많은 수의 로드 존으로 분할되어, 전체 칩이 250 msec 내에 리로딩된다. The SLM chip consists of CMOS circuits that are functionally similar to the CMOS circuits of reflective LCD devices and the circuits of computer TFT screens. Pixel cells include storage capacitors and transistors to allow storage nodes to be charged and isolated from analog voltages. The pixels are addressed in turn during the loading of a new frame by regular matrix addressing, ie by scanning all columns and rows and applying an analog voltage to each. The area is divided into a large number of load zones that are scanned simultaneously, so that the entire chip is reloaded within 250 msec.

픽셀 셀에서, 스토리지 노드는 미러의 하부 전극에 접속된다. 정전기력은 미러를 끌어당겨 틸트되도록 한다. 정확한 각도는 아날로그 전압과 만곡 힌지 (flexure hinge) 의 강성 사이의 균형에 의해 결정되고, 즉, 그 디바이스는 아날로그 액션을 가지며 로딩된 전압은 틸트를 매우 작은 증가량으로 제어할 수 있다. 실제 해상도는 구동 전압을 제공하는 DAC에 의해 제한된다.In the pixel cell, the storage node is connected to the bottom electrode of the mirror. The electrostatic force pulls the mirror and tilts it. The exact angle is determined by the balance between the analog voltage and the stiffness of the flex hinge, ie the device has an analog action and the loaded voltage can control the tilt in a very small increment. Actual resolution is limited by the DAC providing the drive voltage.

직관적으로, 틸팅 미러가 웨이퍼 상에 상 이미지를 생성할 것처럼 보인다. 상 이미지는 초점 범위를 통해 스캔될 때 아티팩트 (artifact) 를 생성하는 것으로 알려져있다. 그러나, 이런 경우, 작은 사이즈의 미러는 상 정보에 높은 공간 빈도를 제공한다. 따라서, 실제적으로 모든 상 정보가 투영 렌즈 (109 내지 111) 의 유한 구경 (finite aperture; 110) 에 의해 제거된다. (유한 구경은 또한 푸리에 스탑으로 지칭될 수도 있다). 그 결과는 완전하게 진폭 변조된 웨이퍼 표면에서의 이미지이고 따라서 레티클로부터의 이미지와 동일한 방식으로 행동한다. 특히, SLM 상의 미러의 행이 방향을 교차하며 틸트되기 때문에, 텔레센트릭 (telecentric) (즉, 초점을 통한 라인의 가로 이동) 효과가 없다.Intuitively, the tilting mirror appears to produce an image image on the wafer. Image images are known to produce artifacts when scanned through a focus range. In this case, however, the small size mirror provides a high spatial frequency for the image information. Thus, practically all the image information is removed by the finite aperture 110 of the projection lenses 109 to 111. (Finite aperture may also be referred to as a Fourier stop). The result is an image on the wafer surface that is completely amplitude modulated and therefore acts in the same way as the image from the reticle. In particular, since the rows of mirrors on the SLM are tilted across the direction, there is no telecentric (ie transverse movement of the line through the focus) effect.

현대의 비트맵 기반 마스크 라이터에서, 픽셀에 의해 생성된 그리드가 그레이 스케일링에 의해 세분화된다. 반드시 직관적인 것은 아니지만, 회절 마이크로 미러가 구동되어 유사한 가상의 그리드 기능을 생성할 수 있다는 것은 수많은 시뮬레이션에 의해서 증명되었고 실제적으로 SIGMA 마스크 라이터에 의해 증명되었다. 래스터라이저는 프린트될 피쳐에 의해 커버된 픽셀의 영역에 의존해서 64 레벨의 픽셀값을 출력하고, 픽셀값은 미러 틸트 각도로 변환된다. 그 결과의 가상 어드레스 그리드는 단일 패스에서 30/64 nm이다. 2개의 패스로 그리드는 30/128 nm = 0.23 nm로 더 세분화될 수 있다. 이는 시스템을 실제로 "그리드리스 (gridless)"로 만들기에 충분히 작다. 1.0, 1.25, 0.5 또는 0.25로 설정한 임의의 입력 그리드는 0.23 nm에 가장 가깝게 라운딩된다. 최대 라운드 오프 에러는 0.12 nm이고, 라운드 오프 에러는 균등하게 분포된다. 임계치수 (CD) 균일도에 대한 그 결과의 분포는 무시가능한 0.28 nm (3σ) 이다. 또한, 그리드 스냅핑 (snapping) 또는 위신호 (aliasing) 효과를 관찰할 수 없다.In modern bitmap based mask writers, the grid generated by the pixels is subdivided by gray scaling. While not necessarily intuitive, the fact that the diffractive micromirror can be driven to produce similar virtual grid functions has been demonstrated by numerous simulations and in practice by the SIGMA mask writer. The rasterizer outputs 64 levels of pixel values depending on the area of the pixel covered by the feature to be printed, and the pixel values are converted to mirror tilt angles. The resulting virtual address grid is 30/64 nm in a single pass. In two passes the grid can be further subdivided into 30/128 nm = 0.23 nm. This is small enough to actually make the system "gridless". Any input grid set to 1.0, 1.25, 0.5 or 0.25 rounds closest to 0.23 nm. The maximum round off error is 0.12 nm and the round off errors are evenly distributed. The resulting distribution of critical dimension (CD) uniformity is 0.28 nm (3σ) negligible. Also, grid snapping or aliasing effects cannot be observed.

SLM계 이미지 생성 시스템은 관련된 도량형, 일렉트로닉스 및 소프트웨어로 레티클 스테이지 및 레티클 핸들러를 대체한다. 미러 어레이로의 이미지 데이터의 로딩을 레이저 펄스의 점화로 동기화하고, 웨이퍼 스테이지 포지셔닝함으로써, 패턴을 웨이퍼 상에 프린트한다. 당연히, 미러 어레이는 고정된 투영 그리드를 형성한다. 그레이 스케일링은 1 나노미터 미만 (sub nanometer) 의 증가로 라인폭과 라인 위치를 제어하는데 사용된다. 이는 광의 일부만이 투과하도록 "오프"와 "온" 사이의 중간 상태에 픽셀을 위치시킴으로써 달성할 수 있다. 좋은 패턴 적합도 (fidelity) 와 위치를 얻기 위해서, 웨이퍼 상에 투영되는 픽셀의 크기는 최소 CD의 대략 절반이어야 한다. 8 ㎛×8 ㎛ 픽셀로, 투영 시스템은 200 내지 300배의 인수만큼 픽셀을 축소한다. 궁극적인 스탬프 사이즈는 SLM에 가까운 렌즈 엘리먼트의 최대 사이즈에 의해서 한정된다.SLM-based image generation systems replace reticle stages and reticle handlers with related metrology, electronics, and software. The pattern is printed onto the wafer by synchronizing the loading of the image data into the mirror array with the firing of the laser pulses and positioning the wafer stage. Naturally, the mirror array forms a fixed projection grid. Gray scaling is used to control line width and line position in increments of sub nanometers. This can be accomplished by placing the pixel in an intermediate state between "off" and "on" so that only a portion of the light is transmitted. In order to obtain good pattern fidelity and position, the size of the pixel projected onto the wafer should be approximately half of the minimum CD. With 8 μm × 8 μm pixels, the projection system reduces pixels by a factor of 200 to 300 times. The ultimate stamp size is limited by the maximum size of the lens element close to the SLM.

높은 스루풋을 달성하기 위해서, OML 툴은 종래 리소그래피 스캐너의 30 내 지 50 펄스에 비해 스탬프당 오직 2펄스에서 풀 도우즈 (full dose; 즉, 단위 면적당 에너지) 를 전달한다. 작은 필드 크기 때문에, 실제 레이저 파워는 상당히 낮다. 데이터 경로는 펄스 대 펄스에 대한 부분적인 보상을 달성할 수 있지만, 매우 좋은 펄스 대 펄스 에너지 안정성을 갖는 레이저는 도우즈 제어 요건을 충족시키는 것을 돕는다.To achieve high throughput, the OML tool delivers a full dose (ie energy per unit area) at only 2 pulses per stamp, compared to 30 to 50 pulses of a conventional lithography scanner. Because of the small field size, the actual laser power is quite low. The data path can achieve partial compensation for pulse-to-pulse, but lasers with very good pulse-to-pulse energy stability help to meet dose control requirements.

웨이퍼가 일정 속도로 스캐닝되는 동안, 짧은 펄스 길이가 OML에서 이용되고, 도 2에 도시한 바와 같이 스탬프마다 마이크로 스텝하는 것을 시스템에 더 유사하게 한다. 따라서 스티칭 품질이 중대한 성능 이슈이고, 층간 오버레이 및 층내 정렬이 극히 중요하다. 도면에서, 다이 (205) 의 패턴 데이터가 스트라이프 (210) 로 나뉜다. 스트립이 일련의 SLM에 의해 프린트될 수 있다. 스트라이프는 어레이 내의 SLM (232) 에 의한 프린팅에 대응하는 마이크로 스트라이프 (220) 로 나뉠 수 있다. 어레이 (230) 의 SLM이 데이터와 함께 로딩된다. 마이크로 샷 (242, 246, 248) 및 마이크로 스트라이프 (220) 를 제조하기 위한 SLM (232) 의 로딩은 이상적인 패턴 데이터 (242) 와 함께 시작한다. 캘리브레이션, 보정 및 중첩 조정이 적용되고 (243), 프로듀싱 데이터 (244) 가 SLM으로 전송된다. 웨이퍼는 어레이의 모든 SLM에 걸쳐 스탬프와 스트라이프의 순서 (250) 를 제어함으로써 프린트된다.While the wafer is being scanned at a constant speed, short pulse lengths are used in the OML, making microstepping per stamp more similar to the system as shown in FIG. Stitching quality is therefore a significant performance issue, and interlayer overlay and intralayer alignment are extremely important. In the figure, the pattern data of die 205 is divided into stripes 210. The strip can be printed by a series of SLMs. The stripe can be divided into microstripes 220 corresponding to printing by the SLMs 232 in the array. SLMs in array 230 are loaded with data. Loading of the SLM 232 to manufacture the micro shots 242, 246, 248 and the microstripes 220 begins with the ideal pattern data 242. Calibration, correction and overlap adjustments are applied 243 and producing data 244 is sent to the SLM. The wafer is printed by controlling the order 250 of stamps and stripes across all SLMs in the array.

OMLOML 서브시스템 개요 Subsystem Overview

OML에 대한 설계 결정은 스루풋 및 CD 균일도와 상관관계가 있다. 스루풋은 픽셀 사이즈, 하나의 플래시내 픽셀의 수 및 SLM 프레임 레이트에 의해 결정 되고, 한편 해상도는 픽셀 사이즈 및 광학 설계에 의해 1차적으로 영향을 받는다. 바이너리 파라미터는 SLM당 픽셀의 수, 스테이지 속도, 데이터 플로우 등을 포함한다.Design decisions for OML correlate with throughput and CD uniformity. Throughput is determined by pixel size, number of pixels in one flash and SLM frame rate, while resolution is primarily influenced by pixel size and optical design. Binary parameters include the number of pixels per SLM, stage speed, data flow, and the like.

기존 ASML TWINSCAN 플랫폼 상의 광학 마스크리스 스캐너를 통합하는 것은 몇몇 서브 시스템을 개조하는 것을 의미한다. 가장 현저하게, 레티클 스테이지 (간섭계 포함) 및 레티클 핸들러가 시스템으로부터 제거된다. 이들 레티클 모듈은 모든 필요한 데이터 경로 드라이브 일렉트로닉스 및 SLM의 사용을 지원하여 필요한 마스크 패턴을 다이나믹하게 형성하는데 필요한 패턴 프로세싱 소프트웨어와 함께 미리 정의된 패턴의 멀티플 SLM으로 구성된, 멀티 SLM 어레이 (MSA) 모듈로 대체된다. 또한, 레이저, 조명 시스템 및 투영 광학장치가 OML의 유일한 광학 요건을 충족시키기 위해서 특별히 설계된다.Integrating an optical maskless scanner on an existing ASML TWINSCAN platform means retrofitting some subsystems. Most notably, the reticle stage (including interferometer) and the reticle handler are removed from the system. These reticle modules support the use of all necessary data path drive electronics and SLMs, replacing them with multiple SLM array (MSA) modules consisting of multiple SLMs in predefined patterns with pattern processing software needed to dynamically form the required mask patterns. do. In addition, lasers, illumination systems and projection optics are specifically designed to meet the unique optical requirements of the OML.

따라서, 메인 시스템의 형태 및 기능의 변화는 통상적으로 그 정도가 작음에도 불구하고 다른 서브 시스템에 영향을 미칠 것이다. 예를 들면, 레지스트의 노광이 오직 2회의 레이저 샷에서만 수행되기 때문에 도우즈 제어는 변해야하며, 레티클 스테이지 대신에 SLM의 활성을 조정하도록 동기화가 변경되어야 한다.Thus, changes in form and function of the main system will typically affect other subsystems, even though they are small. For example, because the exposure of the resist is performed only in two laser shots, the dose control must be changed, and the synchronization must be changed to adjust the activity of the SLM instead of the reticle stage.

도 3은 시스템 아키텍쳐 및 시스템으로의 메이저 모듈과 종래 ASML TWINSCAN 사이의 변화의 정도를 개략적으로 나타내고, 기능적 및/또는 구조적 변화를 요구하는 아이템 뿐만 아니라 OML 툴에 유일한 아이템들을 구별한다. 이미지 생성 시스템 및 광학 경로와 함께 많은 부분의 아키텍쳐가 재사용될 수 있다. 이미지 생성 (310) 시스템이 SIGMA 결과로부터 개조된다. SIGMA 결과가 단일 SLM으로 사용되기 때문에, 멀티-SLM 어레이는 전체가 새것이다. SIGMA 결과로의 기능적 및/또는 구조적 변화를 이미지 생성의 잔류 서브시스템에 대해 나타낸다.3 schematically shows the degree of change between a major module into a system architecture and a system and a conventional ASML TWINSCAN, and distinguishes items that are unique to OML tools as well as items that require functional and / or structural changes. Many parts of the architecture can be reused with image generation systems and optical paths. The image generation 310 system is adapted from the SIGMA results. Since the SIGMA results are used as a single SLM, the multi-SLM array is entirely new. Functional and / or structural changes to the SIGMA results are shown for the residual subsystem of image generation.

이미지 생성 서브시스템Image generation subsystem

이미지 생성 서브시스템은 광학 마스크리스 스캐너의 코어 기능을 정의하며, SLM 유닛, 구동 일렉트로닉스 및 데이터 경로로 이루어진다. 구조적으로, 비록 결과의 이미지 적합도 및 오버레이에 대한 구체적인 개선 뿐만 아니라 훨씬 더 높은 스루풋을 수용하기 위해서 확장되더라도 그것은 SIGMA 마스크 라이터의 이미지 생성 서브시스템과 매우 유사하다. SLM은 반사형 틸팅 미러의 VLSI MOEM 어레이이고, 각각은 반사된 강도를 변조하고 그 회로 또는 부분과 같은 기하학적 2D 패턴이 생성되도록 조합되어 상 변화를 유도할 수 있다. 각각의 미러의 사이즈가 몇 미크론이기 때문에, 중요한 피쳐를 프린트하기 위해 웨이퍼 상의 픽셀의 사이즈를 감소시키기 위한 강한 축소 프로젝터를 사용하는 것이 필수적이다. SLM과 구동 일렉트로닉스의 일 실시형태에 대한 사양들이 아래 표에 제공된다.The image generation subsystem defines the core functionality of the optical maskless scanner and consists of the SLM unit, drive electronics and data path. Structurally, it is very similar to the SIGMA mask writer's image generation subsystem, although it is expanded to accommodate even higher throughput as well as specific improvements to the resulting image fit and overlay. The SLM is a VLSI MOEM array of reflective tilting mirrors, each of which can be combined to induce a phase change by modulating the reflected intensity and producing a geometric 2D pattern such as its circuit or portion. Since each mirror is several microns in size, it is essential to use a strong reduction projector to reduce the size of the pixels on the wafer to print important features. Specifications for one embodiment of SLM and drive electronics are provided in the table below.

파라미터parameter 사양 Specification

미러 사이즈 8 ㎛×8 ㎛     Mirror size 8 μm × 8 μm

어레이 사이즈 2048×5120     Array size 2048 × 5120

프레임 레이트 ≥4kHz     Frame rate ≥4 kHz

구동 전압 <10V      Drive voltage <10V

아날로그 레벨의 수(캘리브레이션) 64     Number of analog levels (calibration) 64

이상적으로 단일의 큰 어레이 (single massive array) 의 미러를 갖는 PO의 전체 대상 면을 패킹할 수 있지만, 그러한 디바이스는 현재의 MEMS 기술을 넘어서는 것이다. 따라서, 소망하는 스루풋을 달성하기 위해 필요한 수의 픽셀을 제공하기 위해서 일련의 멀티플 SLM을 병렬로 사용할 필요가 있다. 멀티-SLM 어레이 (MSA) 의 상이한 SLM으로부터의 픽셀들은 함께 스티치되어 모션 제어와 그레이 스케일링 기법의 조합을 사용하여 웨이퍼 면 상에 밀착된 이미지를 형성한다. 웨이퍼 스테이지는 계속해서 움직이고, SLM 사이의 에지를 따라 한 세트의 중첩 픽셀로 프린팅하면서 별개의 SLM 이미지를 함께 스티칭한다. 레이아웃은 2개의 중첩 레이저 펄스를 갖는 패턴의 이동을 완료하도록 구성된다. 펄스들 사이에 스템프와 픽셀 그리드를 치환하는 것은 잔여 그리드와 SLM 아티팩트를 평균내는 것으로, 그에 의해 그리드와 SLM 칩 구조의 임의의 외형을 환원시킨다.Ideally one could pack the entire target side of a PO with a single large array of mirrors, but such devices go beyond current MEMS technology. Thus, there is a need to use a series of multiple SLMs in parallel to provide the required number of pixels to achieve the desired throughput. Pixels from different SLMs of a multi-SLM array (MSA) are stitched together to form a tight image on the wafer surface using a combination of motion control and gray scaling techniques. The wafer stage continues to move and stitch together separate SLM images while printing with a set of overlapping pixels along the edges between the SLMs. The layout is configured to complete the movement of the pattern with two overlapping laser pulses. Replacing the stamp and pixel grids between the pulses averages the residual grid and SLM artifacts, thereby reducing any appearance of the grid and SLM chip structure.

미러 대 미러 균일도에 대한 요건은 엄격한 제조 허용오차에 의해서만 달성될 수 있는 것보다 더 높다. 각각의 미러의 미소한 차이는 필름 두께의 변화, 만곡 힌지의 임계치수 변화 등으로부터 야기된다. 유도 전압에 대한 디스플레이스먼트 각도에서의 각각의 픽셀의 응답은 샷별로 기초한 비트맵 데이터에 적용된 캘리브레이션 맵으로 캘리브레이션되고 보정되어야한다. 임의의 불량 픽셀에 대한 보상뿐만 아니라 스티칭에 대한 그레이 스케일링도 이 맵에 구체화된다. OML 툴은 SLM 픽셀의 장기 드리프트를 수용하기 위해 SLM을 인시추 (in-situ) 로 캘리브레이션한다. 큰 볼륨의 픽셀 및 픽셀의 투영 이미지가 서브 해상도라는 사실 때문에, 캘리브레이션은 픽셀의 그룹을 관찰하고 그룹이 변하는 강도 레벨에서 균일한 강도를 제공하도록 함으로써 이루어진다. 도 4는 SIGMA 7100의 SLM 에서의 미러의 캘리브레이션의 결과를 나타낸다. 캘리브레이션 전후 SLM의 8×8 어레이 (64 픽셀) 의 플랫 그레이에서의 가공상이다. 캘리브레이션의 레벨링 효과가 명백하다.The requirement for mirror-to-mirror uniformity is higher than can only be achieved by strict manufacturing tolerances. Minor differences in each mirror result from changes in film thickness, changes in critical dimensions of the curved hinges, and the like. The response of each pixel at the displacement angle to the induced voltage must be calibrated and corrected with the calibration map applied to the bitmap data based on a shot-by-shot basis. Gray scaling for stitching as well as compensation for any bad pixels are embodied in this map. OML tools calibrate SLM in-situ to accommodate long-term drift of SLM pixels. Due to the fact that large volumes of pixels and projection images of pixels are sub-resolution, calibration is accomplished by observing groups of pixels and allowing the groups to provide uniform intensity at varying intensity levels. 4 shows the results of calibration of the mirror in the SLM of SIGMA 7100. It is the processing image in flat gray of 8x8 array (64 pixels) of SLM before and after calibration. The leveling effect of the calibration is obvious.

데이터 경로Data path

아날로그 구동 일렉트로닉스와 함께 데이터 경로는 데이터를 약 250 GPixels/sec의 예상 데이터 전송 속도로 MSA에 전송한다. 패턴 데이터를 프린트될 SLM 이미지로 변환하는 스텝은 다음과 같다:Together with analog-driven electronics, the data path transfers data to the MSA at an expected data rate of about 250 GPixels / sec. The steps for converting the pattern data into an SLM image to be printed are as follows:

! 패턴 입력: 실행 시작시, 사용자는 마스크 파일 (예를 들면, GDSⅡ 또는 OASIS) 을 프린트될 다이에 대한 모든 패턴을 포함하는 광학 마스크리스 스캐너로 업로드할 것이다. 래스터라이저는 입력 데이터 스트림의 OPC 보정으로, 실제 레티클에 가능한한 가까운 SLM으로부터의 광학 이미지를 생성하기에 최적화된다. 서브 해상도 OPC 피쳐가 SLM에 의해 정확하게 표현되고, 웨이퍼 상에 생성된 이미지는 레티클로부터의 이미지와 거의 동일하다. 또는, OPC 보정은 실시간으로 데이터 스트림에 도입될 수 있다. ! Pattern entry: At the start of the run, the user will upload a mask file (eg GDSII or OASIS) to an optical maskless scanner that contains all the patterns for the die to be printed. The rasterizer is OPC correction of the input data stream, optimized to produce optical images from the SLM as close as possible to the actual reticle. Sub-resolution OPC features are represented accurately by the SLM, and the image created on the wafer is almost identical to the image from the reticle. Alternatively, OPC correction may be introduced to the data stream in real time.

! 분할 (Fracturing): 실행에 앞서, 패턴 데이터는 멀티 SLM 어레이 레이아웃에 대응하는 단편으로 분할되고, 웨이퍼 상에 패턴을 재생시키기 위한 라이팅 및 스티칭 방법을 통해 연속된다. 이 데이터는 분할되어 각각의 사이드에 작은 중첩 보더를 생성하고 분할된 이미지가 노광 동안 스티치되도록한다. ! Fracturing: Prior to execution, the pattern data is divided into fragments corresponding to the multi SLM array layout and continued through writing and stitching methods for reproducing the pattern on the wafer. This data is split to create small overlapping borders on each side and to cause the split image to be stitched during exposure.

! 래스터라이제이션 : 실행 동안, 각 SLM에 대한 적절한 이미지 분할이 이미지를 나타내는 픽셀값의 비트맵으로 변환된다. 래스터라이제이션 스텝은 적절 한 피쳐 사이즈 및 위치를 유지하면서 픽셀 그리드 상에 이상적인 이미지의 프로세싱과 피지컬 디바이스 상에 적절한 이미지 적합도를 보장하기 위해 보정 및 개별 미러 캘리브레이션의 적용 또한 포함한다. ! Rasterization : During execution, the proper image segmentation for each SLM is converted to a bitmap of pixel values representing the image. The rasterization step also includes the application of corrections and individual mirror calibrations to ensure the proper processing of the ideal image on the pixel grid and the proper image fit on the physical device while maintaining the proper feature size and position.

! 데이터 라이트: 각각의 SLM에 대한 래스터라이즈된 패턴이 레이저 및 웨이퍼 스테이지와 동시에 SLM으로 전달되어 패턴이 적절한 펄스의 레이저 플래시 동안 SLM 상에 확립된다. ! Data write: The rasterized pattern for each SLM is delivered to the SLM simultaneously with the laser and wafer stages so that the pattern is established on the SLM during the laser flash of the appropriate pulse.

극히 높은 데이터 플로우 레이트와 복잡한 패턴이 재생된다면, 데이터 완전성이 데이터 경로의 극히 중요한 면이다. 소프트웨어 개발 동안, 회귀 테스트가 이전의 버젼의 출력에 대해 비교하는데 사용될 수 있다.If extremely high data flow rates and complex patterns are reproduced, data integrity is an extremely important aspect of the data path. During software development, regression tests can be used to compare against the output of previous versions.

데이터 완전성의 제 2 양태는 큰 데이터 볼륨의 큰 데이터 볼륨의 저장 및 전송의 비트 에러를 피하는 것이다. 이는 표준법에 의해 수행되고, 데이터 경로의 대부분이 비동기 모드로 동작하기 때문에, 에러는 그 에러가 어떠한 손상을 주기 전에 검출된다. 대부분의 경우, 올바른 데이터는 재전송 또는 재생성될 수 있다. 시스템은 모든 에러를 플래그하고, 구체적인 종류의 에러에서 취해지는 액션을 구체화하도록 구성될 수 있다 (예를 들면, 작업 중단, 다이 중단, 다이 자동 보정 또는 로그 파일에서 전위적으로 깨진 다이 마크).A second aspect of data integrity is to avoid bit errors in storage and transmission of large data volumes and large data volumes. This is done by standard methods, and since most of the data paths operate in asynchronous mode, errors are detected before the error does any damage. In most cases, the correct data can be retransmitted or regenerated. The system can be configured to flag all errors and to specify actions to be taken on specific kinds of errors (eg, interruption of work, die interruption, die autocalibration or potentially broken die marks in log files).

마지막으로, 데이터 경로의 높은 용량은 고준위 병렬 전자 아키텍쳐의 사용을 통해 달성된다. 병렬 시스템의 단점은 통계적으로 높은 모듈 고장의 위험이다. 따라서 모듈 진단에 특히 주의를 해서 임의의 하드웨어 문제가 일찍 검출된다. 이러한 원리 및 예방으로, 데이터 경로는 수율 손실에 큰 기여를 하지 않는다.Finally, high capacity of the data path is achieved through the use of high level parallel electronic architectures. The disadvantage of parallel systems is the statistically high risk of module failure. Therefore, particular attention should be paid to module diagnostics to detect any hardware problems early. With this principle and prevention, data paths do not contribute much to yield loss.

조명light

스캐너의 직접 기록을 위한 조명 시스템 (도 3의 320) 은 스캐너용과 매우 상이하며 SIGMA에서 사용된 조명 시스템으로부터 상당히 변경된다. 전체 광학 필드의 작은 부분만이 액티브 픽셀을 가지고 있으므로, 조명 시스템은 대상 필드의 액티브 픽셀을 조명만 하도록 설계되어야 한다. 2 펄스 프린팅에 대한 개조는 OML에 대한 레이저 요건에 영향을 미친다. 전력 요구량은 종래 스캐너의 약 1/10이고, 첫째 이유는 필드 사이즈가 크게 감소하는 것과 비교적 낮은 스루풋 때문이다. 레이저의 반복 속도는 SLM의 리프레시 속도와 일치한다. 4kHz 레이저가 사용될 수 있다. 1%3σ의 펄스 대 펄스 안정성이 유용하고, 이것은 도유즈 균일성을 위해 30 내지 50 펄스의 평균 펄스를 사용하는 종래의 리소그래피 레이저보다 약 10배 우수하다. 또는, 더 큰 평균 도우즈를 전달하는데 추가 펄스가 사용될 수 있고, 이전의 패스로부터 올바른 도우즈 에러로 설정될 수 있다. 이들 대안은 도우즈 제어를 향상시킬 수 있고, 이들은 스루풋을 감소시킨다.The illumination system for the direct recording of the scanner (320 in FIG. 3) is very different from that for the scanner and varies considerably from the illumination system used in SIGMA. Since only a small portion of the total optical field has active pixels, the illumination system should be designed to only illuminate the active pixels of the target field. Modifications to 2-pulse printing affect the laser requirements for OML. The power requirement is about one tenth of that of a conventional scanner, and the first reason is the large decrease in field size and the relatively low throughput. The repetition rate of the laser matches the refresh rate of the SLM. 4kHz laser can be used. Pulse-to-pulse stability of 1% 3σ is useful, which is about 10 times better than conventional lithography lasers using average pulses of 30-50 pulses for dose uniformity. Alternatively, additional pulses can be used to deliver a larger average dose and can be set to the correct dose error from the previous pass. These alternatives can improve dose control, which reduces throughput.

레이저 펄스 타이밍 에러 (예를 들면, 지터) 는 오버레이 성능에 충격을 줄 수 있다. 종래의 스캐너에서, 웨이퍼와 레티클 스테이지는 동기화되어 실행되고, 레이저 타이밍과 펄스 길이는 패턴 위치에 큰 영향을 미치지 못한다. 광학 마스크리스 리소그래피에서, SLM 어레이는 노광 동안 "정지상태"이며, 즉, 이미지는 웨이퍼 스테이지의 속도로 스캐닝한다. 300 mm/sec 오더의 웨이퍼 스테이지 스피드에 대해서, 30 nsec 레이저 타이밍 지터는 9 nm 위치 에러를 발생시키고, 이 는 일부 애플리케이션에 대해서는 허용될 수 없다. 이 손상 효과는 일정 웨이퍼 스테이지 스피드에 대해 일정하고, 따라서 오버레이에 대해서는 걱정하지 않아도 되지만, 펄스의 지속은 이미지의 손상을 초래한다. 또한, X/Y 비대칭의 비교적 짧은 펄스 지속으로부터 손상의 효과는 데이터 경로에서 쉽게 보정된다.Laser pulse timing errors (eg, jitter) can impact overlay performance. In conventional scanners, the wafer and reticle stages are run in synchronization, and laser timing and pulse length do not have a significant effect on the pattern position. In optical maskless lithography, the SLM array is "still" during exposure, that is, the image scans at the speed of the wafer stage. For a wafer stage speed of 300 mm / sec order, 30 nsec laser timing jitter produces a 9 nm position error, which is not acceptable for some applications. This damaging effect is constant for a certain wafer stage speed, and so there is no need to worry about the overlay, but the duration of the pulse causes damage to the image. In addition, the effect of damage from the relatively short pulse duration of X / Y asymmetry is easily corrected in the data path.

아래 표는 바람직한 레이저 특성을 요약한다.The table below summarizes the preferred laser characteristics.

파라미터 사양 Parameter specification

파장 193.368 nm     Wavelength 193.368 nm

대역폭 10 pm     Bandwidth 10 pm

정적 범위 193.33 내지 193.45 nm     Static Range 193.33 to 193.45 nm

Rep 레이트(최대) ≥4kHz     Rep rate (max) ≥4 kHz

전력 ≥5W     Power ≥5W

펄스 에너지 ≤10 mJ     Pulse energy ≤10 mJ

펄스 길이 ≤20 ns     Pulse length ≤20 ns

펄스 에너지 안정성 <1%3σ     Pulse Energy Stability <1% 3σ

펄스 지터 <5 nsec     Pulse jitter <5 nsec

도우즈 측정은 각 펄스의 강도를 트래킹하기 위해 조명 시스템의 센서를 사용한다. 드롭된 펄스 또는 큰 펄스 대 펄스 안정성은 툴 성능에 상당한 영향을 줄 수 있기 때문에, 그러한 검출기를 이용한 파워 트래킹은 몇몇 펄스에 대해 평균을 내는 OML 스캐너에서 유용하다. 드랍된 펄스는 각 싱크 펄스가 대응하는 에너지 리딩을 가지며, 툴 소프트웨어가 각각의 펄스에 대한 유효 검출기 리딩을 쉽 게 확인하도록 검출기를 동기화와 결합시킴으로써 쉽게 검출할 수 있다. ASML 스캐너에서 사용되는 193 nm 조명 에너지 검출기는 펄스당 에너지를 트래킹한다. 이들 검출기는 웨이퍼 사이에서 웨이퍼 스테이지 상의 에너지 검출기로 캘리브레이션되고, 차례로 제거가능한 마스터 검출기를 갖는 글로벌 표준으로 주기적으로 참조된다.Dose measurements use sensors in the illumination system to track the intensity of each pulse. Since dropped pulses or large pulse-to-pulse stability can have a significant impact on tool performance, power tracking with such detectors is useful in OML scanners that average for several pulses. Dropped pulses can be easily detected by combining the detector with synchronization so that each sink pulse has a corresponding energy reading and the tool software easily identifies the effective detector reading for each pulse. The 193 nm illumination energy detector used in ASML scanners tracks energy per pulse. These detectors are calibrated between the wafers with energy detectors on the wafer stage, which in turn is referenced periodically to a global standard with a removable master detector.

조명 광학 설계 개념은 조명 동질성을 제공하기 위한 멀티플 콘덴서와 함께 퓨필 (pupil) 과 필드 한정을 제공하는 멀티-어레이 설계에 기초한다. 이 개념은 PML이 종래 스캐너와 동일한 조명 프로파일 및 시그마 세팅을 생성하도록 한다. 멀티-SLM 어레이 설계의 이점은 다음을 포함한다.The illumination optical design concept is based on a multi-array design that provides pupil and field confinement with multiple capacitors to provide illumination homogeneity. This concept allows the PML to generate the same illumination profile and sigma settings as conventional scanners. Advantages of a multi-SLM array design include the following.

! 필드 한정-이 설계는 필드-한정 엘리먼트 (FDE) 를 고려해서, 멀티-SLM 어레이내 SLM의 액티브 미러 부분만이 조명된다. 멀티-SLM 어레이에 대한 광학 필드 영역의 작은 부분만이 액티브 픽셀을 포함하기 때문에, 이것은 시스템의 미광 (stray light) 특성을 개선하고 저전력을 고려하기 위해 필요하다.! Field Defining-This design takes into account field-defining elements (FDEs), so that only the active mirror portion of the SLM in the multi-SLM array is illuminated. Since only a small portion of the optical field region for a multi-SLM array contains active pixels, this is necessary to improve the stray light characteristics of the system and to consider low power.

! 퓨필 편광 지원- 우선 미래의 리소그래피 세대로의 확장성을 위해, 멀티-SLM 어레이 설계는 울트라 하이-NA 시스템에서의 특정 피쳐를 향상시키기 위한 퓨필의 편광을 고려한다.! Pupil Polarization Support- First, for scalability to future lithography generations, multi-SLM array designs consider pupil polarization to enhance certain features in ultra high-NA systems.

투영 광학장치Projection optics

투영 광학장치 (320) 서브시스템 중에서, Calibration Optics & Metrology는 SIGMA에서 사용된 서브시스템과 매우 상이하다. 빔스플리팅 큐브 (526) 를 갖는 카타디옵트릭 설계 형태 (catadioptric design form) 는 차세대 요건으로의 잠 재적인 확장가능성 뿐만 아니라 65 nm 노드에 대한 광학 적합성 때문에 OML에 유용한 설계로 확인되었다. 이 설계는 사용된 유리의 양을 감소시키고, CaF2의 상당한 양을 요구하지 않는다. 투영 광학장치에 대한 예비 광학 설계를 도 5에 도시한다. 조명 시스템 (520), 멀티-SLM 어레이 (512), 투영 광학장치 (530) 및 웨이퍼 스테이지 (540) 를 도시한다.Among the projection optics 320 subsystems, Calibration Optics & Metrology are very different from the subsystems used in SIGMA. The catadioptric design form with beamsplitting cube 526 has been identified as a useful design for OML because of its optical scalability to 65 nm nodes as well as potential scalability to next generation requirements. This design reduces the amount of glass used and does not require a significant amount of CaF 2 . A preliminary optical design for the projection optics is shown in FIG. 5. Illumination system 520, multi-SLM array 512, projection optics 530, and wafer stage 540 are shown.

멀티-Multi- SLMSLM 어레이 Array

기계적인 탑재 및 각 SLM의 전기적 및 광학적 패키징은 멀티-SLM 어레이의 설계의 일부이다. SLM의 액티브 부분들 사이의 공간의 정확한 제어가 개별 SLM의 이미지 사이의 적절한 스티칭을 달성하는데 필요하기 때문에, 패키징은 소망하는 SLM 레이아웃을 수용하도록 설계되어야 한다.Mechanical mounting and electrical and optical packaging of each SLM are part of the design of the multi-SLM array. Since accurate control of the space between the active portions of the SLM is necessary to achieve proper stitching between the images of the individual SLMs, the packaging must be designed to accommodate the desired SLM layout.

웨이퍼 상에 직접 프린트하기 위한 SLM 기술의 확장은 유일한 난제를 제시한다. 스루풋에 대한 시스템 상세사항은 2-펄스 프린팅을 제공하기 위한 요건과 함께, 프린트될 레이저 플래시 당 60개 이하 MPixels 을 필요로 한다. 4kHz 동작에서, 각각의 SLM은 일련의 2048×5120 개 액티브 미러로 구성된다고 가정하면, 6개 SLM이 투영 광학장치의 대상 면에 필요하다. SLM 앞의 최대 실현가능한 렌즈 직경에 대한 제한은, 프린팅 동안 개별 SLM 이미지의 적절한 스티칭을 보장하기 위한 패키징 및 스페이싱 요건은 광학 필드의 SLM의 레이아웃에 영향을 미친다.The extension of SLM technology to print directly on the wafer presents a unique challenge. System details on throughput require up to 60 MPixels per laser flash to be printed, along with the requirements to provide two-pulse printing. In 4kHz operation, assuming that each SLM consists of a series of 2048 x 5120 active mirrors, six SLMs are required for the target surface of the projection optics. The limitation on the maximum feasible lens diameter before the SLM is that the packaging and spacing requirements to ensure proper stitching of the individual SLM images during printing affect the layout of the SLM of the optical field.

광학, 패키징 및 서비스 이슈를 만족하기 위한 멀티플 SLM의 구성은 광학적, 전기적 및 기계적 상충관계를 나타낸다. 또한, 전기적 설계는 데이터를 SLM 각 각에 4 kHz 리프레시 레이트로 기록하기 위해 250 GPixels/sec를 초과하는 데이터 전송 속도를 지원한다. 현재 SLM 설계가 온-보드 (on-board) 디지털/아날로그 변환을 포함하지 않기 때문에, 각 SLM은 아날로그 신호로 구동된다. 따라서, 각 SLM은 1000개 이하 DAC 및 칩 옆의 증폭기 및 증폭기를 구동시키기 위한 2000개 이하의 콕스 (coax) 전기 와이어를 필요로 한다. 필요한 데이터 레이트 뿐만 아니라 접속의 완전한 볼륨은 열 방산 및 신뢰성 이슈를 증가시킨다.The construction of multiple SLMs to meet optical, packaging and service issues presents optical, electrical and mechanical tradeoffs. The electrical design also supports data rates in excess of 250 GPixels / sec to write data at 4 kHz refresh rate for each SLM. Since current SLM designs do not include on-board digital / analog conversion, each SLM is driven by an analog signal. Thus, each SLM requires up to 1000 DACs and up to 2000 coax electrical wires to drive the amplifiers and amplifiers next to the chip. In addition to the required data rate, the full volume of the connection increases heat dissipation and reliability issues.

이미징Imaging 성능 시뮬레이션 Performance simulation

KLA Tencor의 Prolith 1.7, Sigma-C로부터의 Solid-C v.6.2 및 ASML MaskTools의 LithoCruiser와 같은 복잡한 시뮬레이션 패키지가 종래 리소그래피용 성능 시뮬레이션을 수행하는데 상업적으로 이용가능하다. 이들 툴은 래스터라이제이션 모듈이나 OML의 SLM 이미징 특성을 다루기 위한 능력과 현재 통합되지 않는다. 이미징 성능의 분석을 위해 상업적 시뮬레이션은 미싱 함수 (missing function) 를 제공하는 Matlab의 쉘 (shell) 로부터 구동되었다. 더욱 사용자에게 친근한 시뮬레이션 인프라구조의 개발이 바람직하다.Complex simulation packages such as KLA Tencor's Prolith 1.7, Solid-C v.6.2 from Sigma-C and LithoCruiser from ASML MaskTools are commercially available to perform performance simulations for conventional lithography. These tools are not currently integrated with the rasterization module or the ability to handle the SLM imaging characteristics of OML. For the analysis of imaging performance, commercial simulations were run from the shell of Matlab, which provided a missing function. It is desirable to develop a more user friendly simulation infrastructure.

코어 가공상 분석 엔진을 갖는 OML 이미징 성능 시뮬레이터가 유망하다. 이와 결합하여, 커스텀 Matlab 스크립트는 임의의 2-D 패턴 (예를 들면, 라인, 컨택, SRAM 셀 등) 을 픽셀 틸트의 어레이로 래스터라이즈하고 픽셀 그리드를 통해 그리고 펄스를 통해 래스터라이즈된 이미지를 나열하는데 필수적인 계산에 코어 Prolith 엔진에 의해 분석된 각 그리드/펄스 시퀀스를 제공한다. 틸트된 미러는 통상적으로 10 이상의 영역으로 분할되며, 그들의 각각은 평탄하고 틸팅 미러의 대응하는 영역에 대해 평균의 위상인 위상을 갖는다. 7 영역 이상은 선형적으로 변하는 위상을 갖는 플랫 미러의 양호한 근사값을 제공한다는 것이 발견되었다. 이 작업을 위해 미러는 이상적, 즉 균일한 100% 반사도를 가지며 평탄하다고 가정되었고, 그들 사이의 슬릿으로부터의 반사가 없으며, 데이터 패스에 의해 결정된 편향에 정확하게 동작된다. 각각의 그리드/펄스 연속은 재결합되고 분석되어 주어진 조명 및 PO 조건 하에서 그 패턴의 최후 성능을 예측한다. 시뮬레이션은 현실적이도록 의도되었고, 고-NA, 벡터 편광되지 않은 광으로 수행되었다. 대부분의 결과는 가공상 시뮬레이션에 기초한다. 현상된 레지스트 프로파일이 도시된 곳에, 사용된 레지스트 모델은 TOK6063에 대한 최선의 추정치 모델이고, 그것은 템피 (Tempe) 의 ASML 기술 개발 센터에서 다른 작업을 위해 사용되었다. 광학 기기는 이상적이라고 가정되며, 조명 장치 세팅 시 수차 및 에러가 없다. OML 이미징 성능 시뮬레이터로부터의 예비 결과는 유사한 6% 감쇠 위상 시프트 마스크 (Att-PSM) 와 비교할 때 임계 치수, 콘트라스트 및 NILS 에서 양호한 상관관계를 나타낸다.OML imaging performance simulators with core on-engine analysis engines are promising. In combination, the custom Matlab script rasterizes any 2-D pattern (e.g., lines, contacts, SRAM cells, etc.) into an array of pixel tilts and lists the rasterized images through the pixel grid and through the pulses. Each grid / pulse sequence analyzed by the core Prolith engine is provided for the calculations necessary to do so. The tilted mirror is typically divided into 10 or more regions, each of which is flat and has a phase that is averaged with respect to the corresponding region of the tilting mirror. It has been found that more than seven regions provide a good approximation of flat mirrors with linearly varying phases. For this task the mirrors were ideally assumed to be flat, with uniform 100% reflectivity, no reflections from the slits between them, and to operate exactly with the deflection determined by the data path. Each grid / pulse sequence is recombined and analyzed to predict the final performance of the pattern under given lighting and PO conditions. The simulation was intended to be realistic and was performed with high-NA, vector unpolarized light. Most of the results are based on machining simulations. Where the developed resist profile is shown, the resist model used is the best estimate model for TOK6063, which was used for other work at the ASML Technical Development Center in Tempe. The optics are assumed to be ideal and there are no aberrations and errors in setting the lighting device. Preliminary results from the OML imaging performance simulator show good correlation in critical dimensions, contrast and NILS when compared to similar 6% attenuated phase shift masks (Att-PSM).

도 6은 스캐터 바 OPC (622, 624) 를 갖는 60 nm CD 라인 패턴 (610) 을 생성하기 위한 미러 틸트 구성의 예를 도시한다. 적용된 알고리즘은 라인 (610) 및 스캐터 바 (622, 624) 를 미러 틸트 세팅으로 바꾼다. 도면은 미러 (636) 의 2 행 (632, 634) 을 나타낸다. 그레이 세이드는 미러 틸트의 결과로서 국소적인 위상 변화를 나타낸다.6 shows an example of a mirror tilt configuration to produce a 60 nm CD line pattern 610 with scatter bars OPCs 622, 624. The applied algorithm converts line 610 and scatter bars 622, 624 to mirror tilt settings. The figure shows two rows 632, 634 of the mirror 636. Gray shades show local phase changes as a result of mirror tilt.

미러 틸트는 SLM 픽셀 그리드에 대한 피쳐의 위치에 의존한다. 제 2 패 스에서, 그리드에 대한 피쳐 위치가 변한다. 2 패스의 합은 도 7로부터 명백한 것보다 더 대칭이다. 도면은 130, 200, 400, 600 및 1200 nm 피치에서의 60 nm 라인에 저항하는 결과의 일반적인 프로세스 윈도우를 도시한다. 노출 허용도 (EL) 는 최선의 초점에서 9.1% 노출 허용도를 갖는 초점심도 (DOF) 에 의존한다. 8% 노출 허용도는 0.085 미크론 DOF에 대응한다.The mirror tilt depends on the position of the feature with respect to the SLM pixel grid. In the second pass, the feature position with respect to the grid is changed. The sum of the two passes is more symmetrical than is apparent from FIG. 7. The figure shows a typical process window resulting in resistance to 60 nm lines at 130, 200, 400, 600 and 1200 nm pitch. The exposure tolerance (EL) depends on the depth of focus (DOF) with a 9.1% exposure tolerance at the best focus. The 8% exposure tolerance corresponds to 0.085 micron DOF.

도 8은 투영된 SLM 픽셀 그리드에 대해 2개의 상이한 위치에서 130 nm 피치의 60 nm 컨택트 홀의 2개의 가공상을 도시한다. 위의 사진은 제 1 그리드 위치에서 제로 피쳐 (zero features) 를 나타내고, 아래 사진은 미러 그리드에 대해 20 nm 시프트를 나타낸다. 이미지는 Diagonal Quasar (0.97/08,150) 0.93 NA로 생성되었다. 이들 이미지로부터 결론지을 수 있는 바와 같이, 픽셀 그리드 효과는 하술하는 디지털 필터 및 래스터라이징 알고리즘을 적용함으로써 감소될 수 있다.8 shows two machined phases of 60 nm contact holes of 130 nm pitch at two different positions for the projected SLM pixel grid. The photo above shows zero features at the first grid position and the photo below shows a 20 nm shift with respect to the mirror grid. Images were generated with Diagonal Quasar (0.97 / 08,15 0 ) 0.93 NA. As can be concluded from these images, the pixel grid effect can be reduced by applying the digital filter and rasterizing algorithm described below.

래스터라이징Rasterizing 알고리즘의 전개 Algorithm evolution

인접하지 않은 이미징 시스템의 래스터라이제이션은 원리적으로 단순하다: 소망하는 패턴을 픽셀 그리드로 오버레이하고 각각의 픽셀에 피쳐 (노광된 피쳐라고 가정) 로 커버된 픽셀의 분율인 그레이 값을 할당한다. 이것은 모든 픽셀값이 한 영역을 나타내기 때문에 영역 비트맵이라고 불린다. 이 래스터라이제이션은 레이저 스캐닝 패턴 생성기 (PG) 와 입자 빔 PG 에서도 유용하다. 픽셀이 광학 시스템의 회절 제한 스폿에 비해 작지 않다면, 비선형 보정이 적용될 필요가 있을 수도 있다. 비선형 함수는 음파 광학 변조기와 같은 변조기에서 비선형에 대해 보정할 수도 있다.Rasterization of non-adjacent imaging systems is simple in principle: overlay a desired pattern with a pixel grid and assign each pixel a gray value, which is the fraction of pixels covered with a feature (assuming it is an exposed feature). This is called an area bitmap because every pixel value represents an area. This rasterization is also useful in laser scanning pattern generators (PGs) and particle beams PG. If the pixel is not small compared to the diffraction limit spot of the optical system, nonlinear correction may need to be applied. The nonlinear function may be corrected for nonlinearity in a modulator such as a sonic optical modulator.

그러나, 이 접근법은 부분적 간섭성 광에 대해 올바르게 프린트하지 않는다. 영역 비트맵은 비선형 함수에 의해서 변조기의 어레이로부터 소망하는 강도의 강도 비트맵으로 변형되어야 한다. 비선형 함수는 제 1 원칙으로부터 계산될 수 있거나, 또는 전용 실험에서 측정될 수 있다. 비선형 함수는 조명 테이블이라고 지칭된다. 조명 테이블을 사용하는 래스터라이제이션으로, SLM 시스템 프린트는 대략 k1=0.5 까지 패턴의 CD를 보정한다. 이것은 마스크 라이터에 대해 동작하지만, 약 0.2의 k1값까지의 마스크리스 툴 프린팅 라인에 대해서, 조명 테이블 접근은 적절하지 않다.However, this approach does not print correctly for partially coherent light. The area bitmap must be transformed from the array of modulators to the intensity bitmap of the desired intensity by a nonlinear function. The nonlinear function can be calculated from the first principle or can be measured in a dedicated experiment. Nonlinear functions are called lighting tables. In rasterization using an illumination table, the SLM system print corrects the CD of the pattern to approximately k1 = 0.5. This works for a mask writer, but for maskless tool printing lines up to a k1 value of about 0.2, the illumination table approach is not appropriate.

근접한 분석은 라인폭이 조명 테이블법에 의해 정확해진다 해도, 이미지 로그 슬로프는 그리드에 관련된 에지의 위치에 의존한다는 것을 나타낸다. 그리드 위치에 위치하는 에지에서, 하나의 픽셀은 완전히 온이고 에지의 다른 쪽의 픽셀은 완전히 오프이므로, SLM은 이상적인 진폭 마스크에 매우 가깝게 프린트한다. 하지만 에지가 절반의 픽셀로 디스플레이 된다면, 에지는 그리드 위치들 사이에 존재할 것이고, 중간값을 갖는 클리어와 다크 사이의 중간 픽셀이 존재할 것이다. 이것은 저대역 통과 필터로 기능한다. 결과는 이미지가 오프 그리드 위치에서 저대역통과 필터링되고 온 그리드에서는 그렇지 않다는 것이다. 그리드에 걸친 변화 효과는 약 10%의 에지 선예도의 일반적인 손실로 2중 또는 4중 패스 프린팅에 의해 보상된다. 이것은 4 패스를 기록하는 마스크 라이터에 대해 허용가능할 수도 있지만, 마스크리스 리소그래피 또는 오직 2 패스에 대해서는 원치 않는 결과를 유발한다. 웨이퍼 리소그래피에서, 피쳐는 해상도 한계에 가깝게 프린트되고 CD 직선성이 훼손될 수도 있다. 피쳐는 거의 소실되고 레지스트 프로세스의 고 콘트라스트에 의해 원상복귀된다. 이 이미징 방식에서, 그리드와 함께 변하는 이미지 로그 슬로프는 원치않는 CD 변화를 유발한다. CD 대 그리드는 하나의 피치에 대해 캘리브레이션될 수 있지만, 다른 피치들 또는 피쳐 타입에 대해 실패할 것이다. 더 정교한 래스터라이제이션이 필요하다.Close analysis shows that the image log slope depends on the position of the edge relative to the grid, even if the line width is corrected by the illumination table method. At the edge located at the grid position, the SLM prints very close to the ideal amplitude mask because one pixel is completely on and the other pixel on the edge is completely off. However, if an edge is displayed with half the pixels, then the edge will be present between the grid positions, and there will be an intermediate pixel between clear and dark with the median. This functions as a low pass filter. The result is that the image is lowpass filtered at off grid locations and not at on grids. The effect of change across the grid is compensated by double or quad pass printing with a typical loss of edge sharpness of about 10%. This may be acceptable for a mask writer writing four passes, but may cause undesirable results for maskless lithography or only two passes. In wafer lithography, features are printed close to the resolution limit and CD linearity may be compromised. The feature is almost lost and reinstated by the high contrast of the resist process. In this imaging scheme, the image log slope that changes with the grid causes unwanted CD changes. The CD to grid can be calibrated for one pitch but will fail for other pitches or feature type. More sophisticated rasterization is needed.

도 9는 비트맵의 1차원 디지털 필터링을 나타낸다. 가장 가까운 행 (910) 은 행 래스터라이즈된 비트맵이다. 행 (910) 뒤 (920) 에, 오프 그리드 에지를 강화시키기 위해 필터링된 비트맵을 도시한다. 뒤로부터 2번째 (930) 는 모든 에지를 강화시키는 필터이고, 뒤에 있는 행 (940) 은 행들 (920 및 930) 의 조합이다: 그리드를 제거하고 모든 에지를 동시에 강화하는 필터이다. 검은 그림자 (예를 들면 943) 로 표시된 음의 값은 정규 이미지 프로세싱에서 존재하지 않는다. 여기서, 그것들은 음의 복소수 진폭을 생성하는 미러 틸트로 동작한다.9 illustrates one-dimensional digital filtering of a bitmap. The nearest row 910 is a row rasterized bitmap. After row 910, 920 is shown a filtered bitmap to enhance the off grid edge. The second from the back 930 is a filter that enhances all edges, and the row 940 that follows is a combination of rows 920 and 930: a filter that removes the grid and simultaneously enhances all edges. Negative values indicated by black shadows (eg 943) do not exist in normal image processing. Here they operate with mirror tilts that produce negative complex amplitudes.

그리드를 통한 변화에 대해 개발된 해결책은 그리드 필터, 영역 비트맵에서 동작하는 디지털 필터이다. 디지털 필터링은 많은 것을 할 수 있지만, 그 기능은 무엇보다도 이미지 내의 그리드의 가시성을 제거하는 것이다. 그렇게 하기 위해, 커넬 (kernel) 유도가 영역 비트맵과 관련되지만, 중간 픽셀값, "그레이" 픽셀 (예를 들면, 912) 을 갖는 픽셀의 이웃만 그렇다. 근접한 의미로 회선 (convolution) 으로서의 설명을 해야한다. 어두운쪽 상의 가장 가까운 이웃은 어두워지고 (923), 밝은측 상의 것은 밝아진다 (921). 얼마나 어둡고 밝은지는 중간 픽셀 값에만 의존하는 것이 아니라 조명 모드에도 의존한다. 다수의 파라미터는 실제 광학 세팅에 대해 계산된다. 이들 파라미터는 광범위하고 쉽게 계산된다. 하지만 최인접 이웃을 사용하는 대신, 필터를 2차 인접 이웃으로 확장하거나 또는 훨씬 더 큰 커넬을 사용할 수도 있다. 알고리즘을 튜닝하는 것은 픽셀 사이즈와 이미지 품질 사이의 상충관계를 바꾼다. 2개의 픽셀 아래의 피쳐는 좋은 적합도로 프린트될 수 있다. 해상도는 광학 시스템에 의해 제한된다. 파라미터가 튜닝될 때, 온 그리드 또는 오프 그리드의 에지의 에지 민감도는 동일하다. 알고리즘은 피쳐의 타입에 놀랄 만큼 둔감한 것으로 보인다. 하나의 세팅은 대부분 피쳐에 대해 거의 올바르게 동작하는 것으로 보이고, 필터가 패턴에 작은 보정만을 가한다는 사실에 의해 설명될 수 있다.The solution developed for change through the grid is a grid filter, a digital filter operating on an area bitmap. Digital filtering can do a lot, but its function is, among other things, to remove the visibility of the grid in the image. To do so, kernel derivation is associated with the area bitmap, but only with neighbors of pixels having an intermediate pixel value, a "gray" pixel (eg, 912). It should be described as a convolution in a close sense. The nearest neighbor on the dark side is darkened (923), and the bright one on the bright side is brightened (921). How dark and bright depends not only on the intermediate pixel values, but also on the lighting mode. Many parameters are calculated for the actual optical settings. These parameters are extensive and easily calculated. However, instead of using the nearest neighbor, you can extend the filter to the second neighbor or use a much larger kernel. Tuning the algorithm changes the tradeoff between pixel size and image quality. Features below two pixels can be printed with good fit. Resolution is limited by the optical system. When the parameter is tuned, the edge sensitivity of the edge of the on grid or off grid is the same. The algorithm seems surprisingly insensitive to the type of feature. One setting seems to work almost correctly for most features, and can be explained by the fact that the filter only makes small corrections to the pattern.

도 21a 내지 21f는 디지털 필터링을 수행하기 위해 계산된 룩업 테이블 (LUT) 의 그래프를 나타낸다. LUT들은 특정한 광학 세팅 (파장, 조명기, 개구 수치), 픽셀 특성 (사이즈 및 사용된 "음의 블랙" 의 양) 및 필터에 포함된 이웃들의 갯수에 대해 계산된다. 그것들은 각각의 픽셀에 대해서 개별적이고, 각 픽셀에서의 그레이 레벨의 변화를 중간 픽셀의 그레이 레벨의 함수로 나타낸다. 브라이트 픽셀 LUT 및 다크 픽셀 LUT를 엔트리가 그레이 픽셀값이 아니고, 보상될 픽셀과 에지 픽셀 사이의 그레이 값의 차이인 하나의 단일 LUT로 결합하는 것이 가능하다. 예를 들면, LUT는 193 nm의 파장, 0.93의 개구 수치, 0.6, 0.8 또는 0.99의 조명기 시그마, 30 nm의 픽셀 사이즈 및 0, -6% (-0.245 진폭에 대응) 또는 -1 (180° 위상 시프트에 대응) 의 위상 시프트된 영역의 다크에서의 반사도에 대해 계산될 수도 있다. LUT (21a) 가 도 11 및 도 12의 계산에 사용되었다. LUT (21b) 는 도 19의 계산에 사용되었다. LUT (21c) 는 도 13의 계산에 사용되었다. LUT (21d 및 21f) 는 그레이 픽셀, 다크 픽셀 또는 브라이트 픽셀이 일정하게 유지되고 이웃 픽셀이 조정되는 경우를 나타낸다.21A-21F show graphs of lookup tables (LUTs) calculated to perform digital filtering. LUTs are calculated for a particular optical setting (wavelength, fixture, aperture number), pixel characteristics (size and amount of "negative black" used), and the number of neighbors included in the filter. They are individual for each pixel and represent the change in gray level at each pixel as a function of the gray level of the intermediate pixel. It is possible to combine the bright pixel LUT and the dark pixel LUT into one single LUT whose entry is not the gray pixel value, but the difference in gray value between the pixel to be compensated and the edge pixel. For example, the LUT has a wavelength of 193 nm, aperture numerical value of 0.93, fixture sigma of 0.6, 0.8 or 0.99, pixel size of 30 nm and 0, -6% (corresponding to -.245 amplitude) or -1 (180 ° phase) May be calculated for the reflectivity at the dark of the phase shifted region). LUT 21a was used in the calculations of FIGS. 11 and 12. LUT 21b was used in the calculation of FIG. LUT 21c was used in the calculation of FIG. The LUTs 21d and 21f represent cases where gray pixels, dark pixels or bright pixels remain constant and neighboring pixels are adjusted.

LUT는 화이트, 그레이 및 블랙 SLM 미러로 표현된 1차원 에지 및 이상적인 레티클/마스크로 표현되는 동일한 에지의 푸리에 변환을 비교함으로써 계산된다. 푸리에 변환의 차이는 에지 부근의 몇몇 픽셀의 그레이값이 변하게 함으로써 최소화된다. 최소화에 포함된 픽셀의 수는 LUT 곡선의 형상에 영향을 줄 것이다. 푸리에 변환의 차이는 모든 공간 빈도에 대해 NA/λ*(1+σ) 까지 최소화되고, σ는 조명기에서 부분적 간섭성 팩터이다. 이것은 에지가 하나의 픽셀 상부를 단계별로 이동할 때 반복되고, 픽셀 그리드에 대해 정렬되기 시작한 후 다시 그리드에 대해 정렬될 때까지 전체 픽셀을 지나친다. 에지 위치는 면적 커버리지 값 (0 내지 1) 에 대응하고, 이것은 에지 픽셀 그레이 값이다. 다크 영역에서 0이 아닌 투과의 경우, 예를 들면 6% 위상 시프팅의 경우, LUT에 대한 엔트리로 사용된 그레이 값은 단순히 진폭 반사도 범위 (-sqrt(0.06) 내지 1 사이) 에 직선으로 스케일링된 면적 커버리지 (0 내지 1) 이다. 모든 픽셀의 조정 범위는 시그마의 증가, NA의 증가, 픽셀 사이즈의 증가 시프터/다크 영역에서의 투과도의 증가 및 파장의 감소에 따라 증가한다.The LUT is calculated by comparing the Fourier transforms of the one-dimensional edges represented by the white, gray and black SLM mirrors and the same edges represented by the ideal reticle / mask. The difference in the Fourier transform is minimized by varying the gray values of some pixels near the edges. The number of pixels involved in the minimization will affect the shape of the LUT curve. The difference in the Fourier transform is minimized to NA / λ * (1 + σ) for all spatial frequencies, and σ is the partial coherence factor in the illuminator. This is repeated as the edges step over one pixel and begin to align with respect to the pixel grid and then pass the entire pixel until it is aligned with the grid again. The edge position corresponds to the area coverage value (0 to 1), which is an edge pixel gray value. For nonzero transmission in the dark region, for example 6% phase shifting, the gray value used as the entry for the LUT is simply scaled linearly in the amplitude reflectance range (between -sqrt (0.06) and 1). Area coverage (0 to 1). The adjustment range of all pixels increases with increasing sigma, increasing NA, increasing pixel size, increasing transmittance in the shifter / dark area, and decreasing wavelength.

도 22a 및 도 22b는 오프 그리드 보정 필터의 실시형태를 도시한다. 이 오프 그리드 필터는 영역 비트맵 상의 래스터라이제이션 동안 동작하고 그레이 픽셀을 검출하고 증가시키고 다크 픽셀 이웃을 음의 블랙으로 낮춘다. 픽셀 값은 그레이 픽셀에 대한 것과 다크 픽셀에 대한 것의 2개의 룩업 테이블로 바뀌고, 노광 이전에 예비 계산된다. 도 22a는 왼쪽부터 다크 픽셀 P2, 그레이 픽셀 P1 및 라이트 픽셀을 포함하는 보상되지 않은 에지를 도시한다. 픽셀 P1의 보상되지 않은 그레이 값은 P1*=LUT1(P1) 및 P2*=LUT2(P2)에 따라 보상된 그레이 값 P1* 및 P2*를 결정하고, LUT1 및 LUT2는 2개의 상이한 룩업 테이블이다. 보상 후, 도 22b에서, 보상된 그레이 픽셀 P1*의 그레이 레벨이 증가하였고 보상된 다크 픽셀 P2*의 그레이 레벨은 그레이 레벨 0 아래로 떨어졌다.22A and 22B show an embodiment of an off grid correction filter. This off grid filter operates during rasterization on the area bitmap and detects and increases gray pixels and lowers dark pixel neighbors to negative black. The pixel value is changed into two lookup tables, one for gray pixels and one for dark pixels, and is pre-calculated prior to exposure. FIG. 22A shows an uncompensated edge comprising dark pixels P2, gray pixels P1 and light pixels from left. The uncompensated gray value of pixel P1 determines the compensated gray values P1 * and P2 * according to P1 * = LUT1 (P1) and P2 * = LUT2 (P2), where LUT1 and LUT2 are two different lookup tables. After compensation, in FIG. 22B, the gray level of the compensated gray pixel P1 * increased and the gray level of the compensated dark pixel P2 * fell below gray level zero.

이 실시형태에서, LUT는 예를 들어, 등적의 MATLAB linspace 함수를 사용해서 n개의 스텝에서 하나의 픽셀에 대해 움직이는 무한한 에지로 계산된다. 각각의 공칭 에지 위치 (면적 커버리지에 대응) 에 대해서, 기준 레벨에서의 위치 및 이미지 로그 슬로프가 에지가 온 그리드일 때와 비교된다. 기준 레벨이 온 그리드의 패턴에 대해서 결정된다. LUT는 반복적으로 계산된다. LUT에 대한 초기값은 다음과 같다:In this embodiment, the LUT is calculated with infinite edges moving for one pixel in n steps using, for example, the equal MATLAB linspace function. For each nominal edge position (corresponding to area coverage), the position at the reference level and the image log slope are compared with when the edge is on grid. The reference level is determined for the pattern of the on grid. LUT is calculated repeatedly. The initial value for the LUT is:

LUT1(1:n,1)=linspace(0,1,n)LUT1 (1: n, 1) = linspace (0,1, n)

LUT1(1:n,2)=linspace(0,1,n)LUT1 (1: n, 2) = linspace (0,1, n)

LUT2(1:n,1)=linspace(0,1,n)LUT2 (1: n, 1) = linspace (0,1, n)

LUT2(1:n,2)=a*x^2-a*x,x=linspace(0,1,n),LUT2 (1: n, 2) = a * x ^ 2-a * x, x = linspace (0,1, n),

여기서, a=0.217*4, 즉 최대 음의 블랙*4 또는 그 외Where a = 0.217 * 4, i.e. the largest negative black * 4 or else

LUT는 다음에 따라 픽셀 P1과 P2에 적용된다.The LUT is applied to pixels P1 and P2 according to the following.

P1*=LUT1(P1,2)P1 * = LUT1 (P1,2)

P2*=LUT2(P1,2)P2 * = LUT2 (P1,2)

그 다음 가공상이 계산된다. 각각의 n 스텝들에서 보정 조건은 위치 및 ILS에 대해 다음과 같이 계산된다.The machining phase is then calculated. At each n steps the correction condition is calculated as follows for the position and the ILS.

Corr_pos=nominal_position/real_positionCorr_pos = nominal_position / real_position

Corr_ILS=ILS_reference/ILS_realCorr_ILS = ILS_reference / ILS_real

위치 또는 ILS가 최적화되는지 여부에 따라 LUT1 또는 LUT2 중 하나가 업데이트된다.Depending on the location or whether the ILS is optimized, either LUT1 or LUT2 is updated.

LUT1_new(P1,2)=LUT1(P1,2)*Corr_posLUT1_new (P1,2) = LUT1 (P1,2) * Corr_pos

LUT2_new(P1,2)=LUT2(P1,2)*Corr_ILSLUT2_new (P1,2) = LUT2 (P1,2) * Corr_ILS

하나의 수렴 기준이 달성되면, LUT를 픽셀 P1과 P2에 적용하는 단계로부터 반복되고 양자 모두의 조건이 달성될 때까지 나머지에 맞추어 최적화한다.Once one convergence criterion is achieved, the LUT is repeated from applying the pixels P1 and P2 and optimized for the rest until both conditions are achieved.

도 23은 결과의 LUT 함수를 도시한다. P1에 대한 LUT1은 그래프에서 최상의 라인이다. P2에 대한 LUT2는 틸팅 마이크로미러에 의해 얻을 수 있는 최대 음의 블랙 진폭에 거의 도달한다.23 shows the LUT function of the result. LUT1 for P1 is the best line in the graph. LUT2 for P2 almost reaches the maximum negative black amplitude that can be achieved by the tilting micromirror.

오프 그리드 필터의 이 실시형태에 기인한 개선된 계산을 도 24a 및 도 24b, 도 25a 및 도 25b, 및 도 26a 및 도 26b에 도시한다. 이 결과를 계산하는데 사용된 파라미터의 일부는 90 nm 고밀도 L/S; 고리모양의 조명 0.7/0.9; 2 nm 메시그 리드: 30 nm 픽셀 사이즈; 13 퓨필 메시 포인트; 및 NA 0.92925925925926이었다. 도 24a 및 도 24b는 배치 에러 대 그리드 시프트를 도시한다. 도 24a에서, 0의 최소 배치 에러는 0, 15 또는 30 nm의 그리드 시프트에 대응하고, 조명 테이블 LUT를 사용한다. 이 실시형태의 오프 그리드 보정 필터로, 0 내지 30 nm의 범위 전체에 걸쳐 그리드 시프트에 관계없이 배치 에러가 거의 없다. 도 25a 및 도 25b에서, 다크와 라이트 사이의 의도된 경계의 반대쪽들 간에 얻어지는 콘트라스트를 조명 테이블 LUT에 대한 그리드 시프트에 대해 다시 그래프화 (25a) 하고, 이 실시형태의 오프 그리드 보정 필터에 대해 다시 그래프 (25b) 로 나타낸다. 마지막으로, 정규화된 이미지 로그 슬로프를 조명 테이블 LUT (26a) 에 대한 그리드 시프트와 이 실시형태 (26b) 의 오프 그리드 보정 필터에 대해 플로팅하였다. 당업자는 정규화된 이미지 로그 슬로프가 피쳐 사이즈로 정규화되고 노광 폭에 비례하는 경향이 있다는 것을 이해할 것이다. 파라미터를 60 nm 고밀도 L/S 및 15 퓨필 메시 포인트로 변경하는 것은 이 도면에서 일부 곡선의 형상을 변화시키지만, 일반적으로 오프 그리드 필터의 이 실시형태의 성능을 확인한다.Improved calculations due to this embodiment of the off grid filter are shown in FIGS. 24A and 24B, 25A and 25B, and 26A and 26B. Some of the parameters used to calculate this result include 90 nm high density L / S; Annular illumination 0.7 / 0.9; 2 nm mesh lead: 30 nm pixel size; 13 pupil mesh points; And NA 0.92925925925926. 24A and 24B show placement error versus grid shift. In FIG. 24A, the minimum placement error of zero corresponds to a grid shift of 0, 15 or 30 nm and uses an illumination table LUT. With the off grid correction filter of this embodiment, there is almost no placement error regardless of the grid shift over the range of 0 to 30 nm. 25A and 25B, the contrast obtained between opposite sides of the intended boundary between dark and light is again graphed 25a for grid shift for the illumination table LUT and again for the off grid correction filter of this embodiment. It is shown by the graph 25b. Finally, the normalized image log slope was plotted against the grid shift for illumination table LUT 26a and the off grid correction filter of this embodiment 26b. Those skilled in the art will appreciate that normalized image log slopes are normalized to feature size and tend to be proportional to the exposure width. Changing the parameters to 60 nm high density L / S and 15 pupil mesh points changes the shape of some curves in this figure, but generally confirms the performance of this embodiment of an off grid filter.

다른 실시형태의 동작을 도 27a 및 도 27b에 도시한다. 오프 그리드 필터의 이 버전은 영역 비트맵 상에서 직접 동작하고 조명 테이블 LUT를 대체한다. 동작 동안, 에지가 검출되고, 에지 픽셀과 2개의 이웃하는 픽셀이 변경된다. 픽셀값은 각 픽셀의 룩업 테이블인 3개의 룩업 테이블과 함께 변한다. 룩업 테이블은 노광 이전에 예비 계산된다. 도 27a 및 도 27b에서, P1 (그레이 픽셀), P2 (다크 픽셀), 및 P3 (광 픽셀) 이 그들의 영역 비트맵 그레이 레벨, 즉, 영역 커버리지에 대해 그래프된다. 픽셀 1의 보상되지 않은 그레이 값인 P1은 다음에 따라서 보상된 그레이값 P1*, P2* 및 P3*을 결정한다.The operation of another embodiment is shown in Figs. 27A and 27B. This version of the off grid filter operates directly on the area bitmap and replaces the lighting table LUT. During operation, an edge is detected and the edge pixel and two neighboring pixels are changed. The pixel value changes with three lookup tables, the lookup table for each pixel. The lookup table is precomputed prior to exposure. In Figures 27A and 27B, P1 (gray pixels), P2 (dark pixels), and P3 (light pixels) are graphed for their area bitmap gray level, i.e. area coverage. P1, the uncompensated gray value of pixel 1, determines the compensated gray values P1 *, P2 * and P3 * according to the following.

P1*=LUT1(P1)P1 * = LUT1 (P1)

P2*=LUT2(P1)P2 * = LUT2 (P1)

P3*=LUT3(P1)P3 * = LUT3 (P1)

여기서, LUT1, LUT2 및 LUT3은 3개의 상이한 룩업 테이블이다.Where LUT1, LUT2 and LUT3 are three different lookup tables.

LUT는 SLM으로부터의 푸리에 변환 (FT) 과 투영 광학장치 퓨필 상부의 완전한 바이너리 또는 위상 시프팅 마스크의 차이를 실질적으로 최소화함으로써 계산된다.The LUT is calculated by substantially minimizing the difference between the Fourier transform (FT) from the SLM and the complete binary or phase shifting mask on top of the projection optics pupil.

SLM의 정렬된 픽셀로부터의 방사를 프로젝트하는 것으로부터의 푸리에 변환과 투영 광학장치 퓨필 상의 완전한 바이너리 마스크 또는 위상 시프팅 마스크 사이의 차이를 실질적으로 최소화하는 에지 오프셋 보정 필터는 하나, 둘 셋 또는 그 이상의 픽셀을 사용하여 수행될 수도 있다.Edge offset correction filters that substantially minimize the difference between the Fourier transform from projecting the emission from the SLM's aligned pixels and the complete binary mask or phase shifting mask on the projection optics pupil are one, two, three or more. It may also be performed using pixels.

도 28a는 왼쪽으로, 폭 w(1+gl)을 갖는 피쳐를 갖는 SLM을 도시하며, 여기서 w는 픽셀 폭이고 gl은 범위 [0,1] 내에 있다. 픽셀들은 음의 값을 가질 수 있는 진폭 투과로 모델링된다. a, b 및 c는 이상적인 경우에 비해 회절 패턴 차이를 최소화하는데 사용되는 파라미터들이다. 보완적인 도 28b는 바이너리 마스크로부터 이상적인 패턴을 도시한다. 피쳐는 SLM 케이스에서와 동일한 폭, w*(1+gl)을 갖는다. FT에서의 차이의 실수부와 허수부, FT_SLM(fx,a,b,c,gl)-FT_ideal(fx,gl)는 범위 [-NA(1+σ)/λ, NA(1+σ)/λ]에서 모든 fx에 대해 최소화 된 g의 각각의 값이다. NA는 투영 광학장치의 개구 수치이고, σ는 조명의 간섭의 정도이다.28A shows the SLM with features having a width w (1 + gl) to the left, where w is pixel width and gl is in range [0,1]. The pixels are modeled with amplitude transmission, which can have negative values. a, b and c are parameters used to minimize the diffraction pattern difference compared to the ideal case. Complementary FIG. 28B shows an ideal pattern from a binary mask. The feature has the same width, w * (1 + gl) as in the SLM case. The real and imaginary parts of the difference in FT, FT_SLM (fx, a, b, c, gl) -FT_ideal (fx, gl) ranges in the range [-NA (1 + σ) / λ, NA (1 + σ) / [lambda]] is the respective value of g minimized for all fx. NA is the numerical aperture of the projection optics, and sigma is the degree of interference of illumination.

FT_SLM=w*sinc(w*fx)*(1+a+(gl+b)*exp(-i*2*π*w*fx)+c*exp(-i*4*π*wfx))FT_SLM = w * sinc (w * fx) * (1 + a + (gl + b) * exp (-i * 2 * π * w * fx) + c * exp (-i * 4 * π * wfx))

FT_ideal=w*sinc(w*fx)+gl*w*sinc(gl*w*fx)*exp(-i*π*w*fx(1+gl))FT_ideal = w * sinc (w * fx) + gl * w * sinc (gl * w * fx) * exp (-i * π * w * fx (1 + gl))

F_min=(FT_SLM-FT_ideal)/(w*sinc(w*fx)=F_min = (FT_SLM-FT_ideal) / (w * sinc (w * fx) =

=a+= a +

+b*exp(-i*2*π*w*fx)++ b * exp (-i * 2 * π * w * fx) +

+c*exp(-i*4*π*w*fx)++ c * exp (-i * 4 * π * w * fx) +

+gl*exp(-i*2*π*w*fx)-+ gl * exp (-i * 2 * π * w * fx)-

-gl*sinc(gl*w*fx)sinc(w*fx)*exp(-i*π*w*fx(1+gl))-gl * sinc (gl * w * fx) sinc (w * fx) * exp (-i * π * w * fx (1 + gl))

상기 방정식 시스템은 매트릭스 형태, A*x=h 로 다시 기록될 수 있다. 중복 결정된 선형 방정식 시스템 A(fx)*[a,b,c]=h(fx,gl) 은 최소 제곱법으로 풀 수 있다.The equation system can be written back in matrix form, A * x = h. The overlapping linear equation system A (fx) * [a, b, c] = h (fx, gl) can be solved by least squares.

도 29에서, 결과의 계산은 λ=193nm, w=30nm, NA=0.93, σ=0.96에 대해 그래프로 나타낸다. 바닥 라인은 LUT2=c를 나타낸다. 중간 라인은 LUT1=b를 나타낸다.In FIG. 29, the calculation of the result is graphically plotted for λ = 193 nm, w = 30 nm, NA = 0.93, σ = 0.96. Bottom line shows LUT2 = c. The middle line shows LUT1 = b.

도 30에서, 그리드 필터의 이 실시형태의 애플리케이션은 절반 피치 60 nm를 갖는 고밀도 라인 및 공간에서 수행되었다. 결과는 조명 테이블 LUT보다 더 작은 CD 범위, 더 작은 PE 범위, 더 높은 콘트라스트, 더 작은 콘트라스트 범위, 더 높은 NILS 및 더 작은 NILS 범위이다.In FIG. 30, the application of this embodiment of the grid filter was performed in high density lines and spaces with half pitch 60 nm. The result is a smaller CD range, smaller PE range, higher contrast, smaller contrast range, higher NILS and smaller NILS range than the lighting table LUT.

그리드 필터의 이 실시형태는 바이너리 마스크뿐만 아니라 약한 위상 시프팅 및 강한 위상 시프팅 (크롬리스 위상 리소그래피 (CPL)) 을 포함하는 위상 시프팅 마스크를 포함하도록 연장될 수 있다. 도 31 및 도 32는 도 27 및 도 28과 동일한 방법으로 도시하고, 기준 레티클로부터의 이상적인 패턴 및 SLM은 둘 다 폭w*(1+gl) 을 갖는 피쳐를 가지며, 여기서 w는 픽셀 폭이고, g은 범위 [0,1]에 있고, gld는 gl*(1-d)+d와 동일하고, 즉 gld는 범위 [d,1]로 스케일링된 g과 동일하다. 이 경우, 피쳐의 영역 외부의 투과는 0이 아니지만, 대신 진폭은 크기 d를 가지며, 이것은 -1부터 밝은 영역에서의 투과도보다 낮은 어떤 값까지도 가질 수 있다. 따라서, 그것은 바이너리 마스크에서와 같이 0, 위상 시프트 마스크에서와 같이 -1 내지 0, 또는 CPL에서와 같이 -1일 수 있다. SLM의 푸리에 변환, 완전한 위상 시프팅 레티클 및 최소화될 차이를 나타내는 대응하는 식은 다음과 같다:This embodiment of the grid filter can be extended to include a binary mask as well as a phase shifting mask including weak phase shifting and strong phase shifting (chromeless phase lithography (CPL)). 31 and 32 show in the same manner as FIGS. 27 and 28, wherein the ideal pattern from the reference reticle and the SLM both have features with width w * (1 + gl), where w is pixel width, g is in the range [0,1] and gld is equal to gl * (1-d) + d, ie gld is equal to g scaled to the range [d, 1]. In this case, the transmission outside the region of the feature is not zero, but instead the amplitude has a magnitude d, which can range from -1 to any value lower than the transmission in the bright region. Thus, it can be 0 as in a binary mask, -1 to 0 as in a phase shift mask, or -1 as in CPL. The corresponding equations representing the Fourier transform, complete phase shifting reticle, and the difference to be minimized of the SLM are:

FT_SLM=w*sinc(w*fx)*(1+a-d+(gld+b-d)*exp(-i*2*π*w*fx)+c*exp(-i*4*π*w*fx))+d*δ(fx)FT_SLM = w * sinc (w * fx) * (1 + a-d + (gld + bd) * exp (-i * 2 * π * w * fx) + c * exp (-i * 4 * π * w * fx)) + d * δ (fx)

FT_ideal=(1-d)*w*sinc(w*fx)+(1-d)*gl*w*sinc(gl*w*fx)*exp(-i*π*w*fx(1+gl))+d*δ(fx)FT_ideal = (1-d) * w * sinc (w * fx) + (1-d) * gl * w * sinc (gl * w * fx) * exp (-i * π * w * fx (1 + gl )) + d * δ (fx)

F_min=(FT_SLM-FT_ideal)/(w*sinc(w*fx)=F_min = (FT_SLM-FT_ideal) / (w * sinc (w * fx) =

=a+= a +

+b*exp(-i*2*π*w*fx)++ b * exp (-i * 2 * π * w * fx) +

+c*exp(-i*4*π*w*fx)++ c * exp (-i * 4 * π * w * fx) +

+(gld-d)*exp(-i*2*π*w*fx)-+ (gld-d) * exp (-i * 2 * π * w * fx)-

(1-d)*gl*sinc(gl*w*fx)/sinc(w*fx)*exp(-i*π*fx(1+gl)),(1-d) * gl * sinc (gl * w * fx) / sinc (w * fx) * exp (-i * π * fx (1 + gl)),

여기서 δ(fx)는 디랙 (dirac) 델타 함수이다.Where δ (fx) is the dirac delta function.

상술한 바와 같이, 상기 식들은 바이너리, 약한 위상 시프팅 및 강한 위상 시프팅 (CPL) 에 적용된다. SLM과 그리드 필터가 교차 개구 위상 시프팅 마스크 (AAPSM) 의 성능을 에뮬레이팅하는데 사용될 때, 상기 식은 직접 적용될 수 없다. AAPSM에 대해, 반대 위상을 갖는 마스크에서의 밝은 영역은 개별적으로 처리되어야하고, 그 결과의 픽셀값은 서로 가산된다. 0 위상을 갖는 영역은 주변의 어두운 영역과 함께 바이너리 마스크로부터 단순히 처리될 수 있으며, 그에 대응하는 세팅이 사용되어야 한다. 180°위상을 갖는 밝은 영역은 주위의 어두운 영역과 함께 바이너리 마스크로부터와 동일하게 처리될 수 있지만, 단순히 음의 투과를 갖는다.As mentioned above, the above equations apply to binary, weak phase shifting and strong phase shifting (CPL). When the SLM and grid filter are used to emulate the performance of the cross aperture phase shifting mask (AAPSM), the above equation cannot be applied directly. For AAPSM, bright areas in masks with opposite phases must be processed separately, and the resulting pixel values are added together. Areas with zero phase can simply be processed from the binary mask along with the surrounding dark areas, and corresponding settings should be used. Bright areas with 180 ° phase can be treated the same as from binary masks with surrounding dark areas, but simply have negative transmission.

도 29에서, 결과의 계산은 λ=193 nm, w=30 nm, NA=0.93, σ=0.96 및 d-√(0.06)=-0.245에 대해 그래프로 나타냈다. d의 값은 6% 감쇠된 위상 시프트 마스크에 대응한다. 최상 라인은 LUT3=a를 나타낸다. 최하 라인은 LUT2=c를 나타낸다. 중간 라인은 LUT1=b를 나타낸다. 보상되지 않은 에지 픽셀의 값 P1은 범위 [d,1] 에 있다.In FIG. 29, the calculation of the results is graphically shown for λ = 193 nm, w = 30 nm, NA = 0.93, σ = 0.96 and d-√ (0.06) =-0.245. The value of d corresponds to a 6% attenuated phase shift mask. The top line shows LUT3 = a. The bottom line shows LUT2 = c. The middle line shows LUT1 = b. The value P1 of the uncompensated edge pixel is in the range [d, 1].

내장형 Built-in 레티클Reticle

도 10은 마스크리스툴 (1030) 과 마스크 라이터 (1010) 및 스캐너 (1020) 의 유사한 기능을 단계적으로 나타낸다. 명백할 수도 있는 이미지는 문자의 뜻대 로 취해져야 한다. 입력 측으로부터, 툴은 마스크 라이터 (1032) 이다. 출력 툴로부터는 스캐너 (1036) 이다. 입력 및 출력 인터페이스는 내장되지 않은 기계의 입력 및 출력 인터페이스와 거의 동일하다.10 shows step by step similar functions of the maskless tool 1030 and the mask writer 1010 and the scanner 1020. Images that may be obvious should be taken as the meaning of the text. From the input side, the tool is a mask writer 1032. From the output tool is a scanner 1036. The input and output interfaces are almost identical to the input and output interfaces of machines that are not built in.

내장형 마스크 라이터 (1032) 는 데이터를 변환하여 내장형 레티클 (1035) 을 생성하며, 그것은 SLM에 의해 생성된 이미지이며, SLM 표면 자체는 아니다. 시스템의 OPC 특성의 대부분은 광학 투영 시스템 및 조명 시스템으로부터 시작된다. 그것들을 보정하기 위해, 1 미크론 이상의 범위의 이미지를 분석한다. 일부 추가 OPC 효과가 마스크 (1015), 가장 중요하게는 코너 라운딩으로부터 오며, CD 에러는 피쳐 사이즈, 피치 및 극성에 결합되고, 마스크 프로세스의 밀도 효과에 결합된다. 실제 레티클의 3D 전자기 경계 조건의 효과는 프린팅을 달성할 수도 있다. 클리어 영역은 작아보이고, 에지는 편광되고 얇은 라인의 투과는 EMF 효과의 영향을 받는다.Embedded mask writer 1032 transforms the data to produce embedded reticle 1035, which is an image generated by the SLM, not the SLM surface itself. Most of the OPC characteristics of the system start with the optical projection system and the illumination system. To correct them, analyze images in the range of 1 micron or larger. Some additional OPC effects come from mask 1015, most importantly corner rounding, CD errors are coupled to feature size, pitch and polarity, and to the density effect of the mask process. The effect of the 3D electromagnetic boundary conditions of the actual reticle may achieve printing. Clear areas look small, edges are polarized and the transmission of thin lines is affected by the EMF effect.

SLM은 마스크와 동일한 이미지를 생성하지만, 디지털 이미지와 큰 폭의 축소 때문에, 상기 에러를 갖지 않는다. 전자기 3D 효과는 2 미크론보다 큰 미러의 재생에 들어가지 않는다는 것을 보여주었다. SLM과 데이터 경로가 갖는 특성 효과는 그리드와 미러의 한정된 사이즈에 결합된다. 그것들은 비트맵에서 최인접 이웃 동작에 의해 보정될 수 있다. 사실, 그리드 필터는 하나의 가장 현저한 시스템 특성인 이미지의 픽셀 특성을 제거하고, 데이터를 SLM 이미지로 중립 변환시킨다.SLM produces the same image as the mask, but does not have the error because of the digital image and the large reduction. Electromagnetic 3D effects have shown that they do not enter the reproduction of mirrors larger than 2 microns. The characteristic effects of SLMs and data paths are combined in a finite size of grids and mirrors. They can be corrected by the nearest neighbor operation in the bitmap. In fact, the grid filter removes one of the most prominent system features, the pixel feature of the image, and neutralizes the data into an SLM image.

도 11a 및 도 11b는 0, 40, 80, 160, 200, 240, 320 nm의 코너 반경 (파선) 을 갖는 레티클 및 적용된 그리드 필터를 갖는 몇몇 그리드 로케이션 (실선) 으로부터의 코너의 시뮬레이션된 가공상을 나타낸다. 도 11b는 도 11a의 확대부이다. 도 11에 도시된 시스템 출력은 내장형 레티클의 특성을 나타낸다. 전체 시스템은 미러를 이용한 래스터라이제이션을 포함하여 시뮬레이션되고 공지된 특성을 갖는 레티클로부터의 프린팅 특성에 비교된다. 도 11a는 공지된 코너 반경을 갖는 레티클 및 SLM으로부터 프린트된 웨이퍼 상의 시뮬레이션된 코너를 나타낸다. 도 11b는 코너의 확대도이다. 파선은 변하는 코너 반경을 갖는 레티클로 이루어지고, 실선은 상이한 그리드 위치에 위치된 패턴을 갖는 SLM 으로 이루어진다. 이상적인 레티클로부터 1 nm 이내에 있는 코너 풀백을 갖는 SLM 프린트가 도시되고, 한편 80 nm의 코너 반경을 갖는 VSB 마스크 라이터로부터의 최첨단 피지컬 레티클은 약 1 nm 크다는 단점을 갖는다.11A and 11B show simulated machining images of corners from several grid locations (solid lines) with reticles having corner radii (dashed lines) of 0, 40, 80, 160, 200, 240, 320 nm and applied grid filters. Indicates. FIG. 11B is an enlarged view of FIG. 11A. The system output shown in FIG. 11 represents the characteristics of the embedded reticle. The entire system is compared to printing characteristics from reticles having simulated and known characteristics, including rasterization with mirrors. 11A shows a simulated corner on a wafer printed from a reticle and SLM with a known corner radius. 11B is an enlarged view of a corner. The dashed lines consist of reticles with varying corner radii, and the solid lines consist of SLMs with patterns located at different grid positions. SLM prints are shown with corner pullbacks that are within 1 nm of the ideal reticle, while the state-of-the-art physical reticle from a VSB mask writer with a corner radius of 80 nm has the disadvantage of about 1 nm large.

이 시스템 (1030) 의 내장형 레티클 (1035) 은 그리드 이펙트와 중대한 해상도 손실을 갖지 않는 입력 데이터의 이상적인 표시로 보일 수 있다.The built-in reticle 1035 of this system 1030 can be seen as an ideal representation of the input data without grid effects and significant resolution loss.

오프off -축 조명-Axis lighting

스캐너의 조명 모드는 마스크리스 툴에서 중복될 수 있다. 광학 레이아웃의 차이 때문에, 훨씬 작은 공간 (etendue) 을 갖는 마스크리스로, 오프-축 조명이 구현되는 방법의 차이가 있지만, 동일한 조명 패턴은 동일한 이미지 특성을 제공할 것이고, 액시콘 (axicon), 회절 소자 또는 다른 수단에 의해 생성된다.The lighting modes of the scanner may overlap in the maskless tool. Because of the differences in optical layout, with maskless with much smaller etendue, there are differences in how off-axis illumination is implemented, but the same illumination pattern will provide the same image characteristics, axicon, diffraction Produced by an element or other means.

일반적으로, 그리드 필터는 종래의 조명과 함께 가장 잘 동작한다. 비 극단 고리 스킴 (non extreme annular schemes) 을 포함하여 종래의 조명으로, 하 나의 세팅이 모든 피쳐에 대해 올바른 것으로 보인다. 극 쌍극자 조명으로, 그리드 필터는 피치에 의해 조금 영향을 받는다. 쌍극자로 필터는 특정한 피쳐에 대해서만 완벽하게 동작하고 다른 피쳐들은 단일 패스에서 1 nm 이하의 그리드를 통해 CD 에러를 제공한다. 그러나, 이것이 이중 패스 프린팅이 그것을 억제하도록 설계된 것이고, 따라서 레지스트에는 측정가능한 효과가 없어야 한다.In general, grid filters work best with conventional lighting. With conventional lighting, including non extreme annular schemes, one setting appears to be correct for all features. With dipole illumination, the grid filter is slightly affected by the pitch. The dipole filter works perfectly only for certain features and other features provide CD errors through a grid of less than 1 nm in a single pass. However, this is what dual pass printing is designed to suppress, so there should be no measurable effect on the resist.

OPCOPC 투명도 transparency

마스크리스 스캐너 OPC를 정규 레티클을 사용하는 스캐너와 매치시키는 것이 유용하지만, 이것이 가능한가? 다음의 2개의 예는 실제 레티클의 반대인 완전한 레티클을 갖는 최소한의 투명도로, 완전한 투명도가 달성될 수 있다는 것을 나타낸다. It is useful to match maskless scanner OPCs with scanners that use regular reticles, but is this possible? The following two examples show that full transparency can be achieved with minimal transparency with a complete reticle opposite of the actual reticle.

도 12a 및 도 12b는 레티클 (a) 및 SLM (b)를 이용한 이중 쌍극자 분해의 가공상 시뮬레이션을 도시한다. 도 12는 극단 오프-축 조명을 이용한 시뮬레이션된 이중 쌍극자 분해를 도시한다. 데이터는 라인-말단 단축 (line-end shortening) 에 대한 보상을 위해 중복된 수직선과 수평선 구획 사이의 교차점으로 자연스럽게 분해된다. 도 12a는 수평 및 수직 컴포넌트의 개별 이미지 및 바이너리 레티클로 겹쳐진 이미지를 도시한다. 도 12b는 SLM (틸팅 미러, 단일 패스, 그리드 필터) 를 이용해 노광된 동일한 컴포넌트를 도시한다. 이 이미지들은 구분할 수 없다. 둘 다 분해 동안 추가된 일부 OPC를 필요로 할 것이지만, 동일한 OPC 보정이 양자의 경우에 적용될 것이다.12A and 12B show processing simulations of double dipole decomposition using reticle (a) and SLM (b). 12 shows simulated double dipole decomposition with extreme off-axis illumination. Data is naturally decomposed into intersections between overlapping vertical and horizontal sections to compensate for line-end shortening. 12A shows a separate image of the horizontal and vertical components and an image superimposed with a binary reticle. 12B shows the same component exposed using SLM (tilting mirror, single pass, grid filter). These images are indistinguishable. Both would require some OPC added during decomposition, but the same OPC correction would apply in both cases.

다음 예는 스캐터 바를 갖는 반고립 라인에 대한 노광 폭 윈도우를 나타낸 다. 라인은 50 nm, 1.67 픽셀이고, 스캐터 바들은 20 nm 또는 0.67 픽셀 너비이다. 도 13의 3개의 그래프는 6% 감쇠된 PSM 레티클 (도 13a), 온 그리드의 SLM 이미지 (도 13b) 및 오프 그리드의 SLM 이미지 (도 13c) 를 사용하는 EL 윈도우를 도시한다. EL 윈도우는 완전히 동일하지 않고, 이는 그리드 필터의 튜닝 때문일 수도 있다. 추가로 나타낼 것과 같이, 더 큰 윈도우를 제공하는 것이 쉽게 설정될 수 있다. 그러나, 이 경우 OPC 숫자가 픽셀보다 작더라도, 실시예는 SLM이 마스크와 동일한 OPC 특성을 갖는다는 것을 확신시켜야 한다.The following example shows the exposure width window for a semi-isolated line with a scatter bar. The line is 50 nm, 1.67 pixels and the scatter bars are 20 nm or 0.67 pixels wide. The three graphs of FIG. 13 show an EL window using a 6% attenuated PSM reticle (FIG. 13A), an on-grid SLM image (FIG. 13B) and an off-grid SLM image (FIG. 13C). The EL window is not exactly the same, which may be due to the tuning of the grid filter. As will be further shown, providing a larger window can be easily set. However, in this case, even if the OPC number is smaller than the pixel, the embodiment must ensure that the SLM has the same OPC characteristics as the mask.

결과가 레지스트에서 나쁠 것인가? 더 복잡한 시스템을 다루기 때문에, 어느 정도의 에러가 남아있을 것이다. 하지만 그리드 필터는 로그 슬로프의 그리드 배치를 통해 편차를 제거하고 따라서 레지스트 벽 (resist wall) 각도를 제거한다. 통상적인 패턴에 대해서 레티클/SLM 패턴으로부터의 1차 회절 오더만을 레지스트에 수행하기 때문에, 일단 CD와 로그 슬로프가 고정되면 큰 변화를 줄 이미지의 자유도가 거의 없다. 따라서, 레지스트 결과는 가공상의 결과와 일치해야 한다.Will the result be bad in the resist? As we deal with more complex systems, some error will remain. However, the grid filter eliminates deviations through the grid placement of the log slopes and thus the resist wall angle. Since only the first diffraction order from the reticle / SLM pattern is performed on the resist for the conventional pattern, there is little freedom of image to make a big change once the CD and log slopes are fixed. Therefore, the resist result should match the processing result.

SLM은 OPC 특성의 조건과 밀접한 이상적인 레티클과 일치하도록 만들어질 수 있는것 같다. 실제 레티클은 이상적이지 않다; OPC 모델에서 관찰된 차이는 피지컬 레티클 때문이며, SLM 때문이 아니다. 마스크리스 툴을 디튠 (detune) 하는 것이 가능할 수도 있지만, 그 차이가 중요하다고 간주된다면 가장 실용적인 것은 실제 레티클과 SLM에 대한 2개의 OPC 모델을 사용하는 것일 것이다. 표시를 위해 도 11의 코너 풀백의 차이를 비교하라. 이미지가 가깝고 차이가 잘 이해 되는 것이 유용하므로, 마스크리스 툴 상의 성공적인 프린팅은 디바이스가 패턴 변환의 결정적 절차 후 레티클로 성공적으로 프린트하는 것에 확신을 줄 것이다.SLM seems to be made to match an ideal reticle that closely matches the conditions of the OPC characteristics. Real reticles are not ideal; The difference observed in the OPC model is due to the physical reticle and not the SLM. It may be possible to detune the maskless tool, but if the difference is considered important, the most practical would be to use two OPC models for the actual reticle and SLM. Compare the differences in the corner pullbacks of FIG. 11 for display. Since it is useful for the image to be close and the difference well understood, successful printing on the maskless tool will give confidence that the device will successfully print to the reticle after the deterministic process of pattern conversion.

위상 Phase 시프팅Shifting 미러mirror

마이크로 미케니컬 미러는 높고 균일한 반사도를 갖는다. 마스크와 SLM의 인접 필드는 확실히 상이하게 보인다. 도 14a 및 도 14b는 투과 레티클 (a) 및 마이크로 미러 SLM (b) 로부터의 인접 필드 웨이브 프론트를 도시한다. 인커밍 웨이브는 도시하지 않는다. SLM으로부터의 인접 필드 웨이브 프론트는 광학적으로 처리되어 이미지 평면에서 강도 변화를 생성한다. 어떻게 SLM은 마스크로부터의 이미지와 거의 동일한 높은 콘트라스트 이미지를 생성할까?Micro mechanical mirrors have high and uniform reflectivity. The adjacent fields of the mask and the SLM certainly look different. 14A and 14B show adjacent field wave fronts from the transmission reticle (a) and the micro mirror SLM (b). Incoming waves are not shown. Adjacent field wavefronts from the SLM are optically processed to produce intensity variations in the image plane. How does SLM produce high contrast images that are nearly identical to those from masks?

도 15는 2D 변조 및 필터링으로 회절 SLM 이미지의 기능을 보는 한가지 방법을 도시한다. 마스크 (1512) 는 조명 빔 (1514) 주위의 사이드밴드를 갖는 화절 패턴을 생성한다. 마스크 패턴에 관한 모든 정보가 이들 사이드밴드의 위상 및 진폭에 담긴다. 개구 (1516) 는 회절 패턴의 중심부를 절단하고, 즉 저대역 통과는 사이드밴드를 필터링하고, 이미지 평면 (1518) 의 복소수 진폭의 제곱된 모듈러스를 취한 후 이미지가 형성된다. 이 시스템은 곧은 푸리에 광학장치 (straightforward fourier optics) 로 분석될 수 있다. 도 15의 최상 및 최하 행은 마스크 기반에서의 이미지 형성과 SLM 기반 이미징 시스템을 비교한다.15 illustrates one method of viewing the functionality of a diffractive SLM image with 2D modulation and filtering. Mask 1512 produces a flower pattern with sidebands around illumination beam 1514. All information about the mask pattern is contained in the phase and amplitude of these sidebands. The opening 1516 cuts the center of the diffraction pattern, ie the low pass filters the sidebands and takes the squared modulus of the complex amplitude of the image plane 1518 and then the image is formed. The system can be analyzed with straightforward fourier optics. The top and bottom rows of FIG. 15 compare the SLM based imaging system with image formation on the mask base.

회절 SLM (1522) 은 개구 (1526) 의 먼 외부인 사이드밴드 (1524) 를 생성하는 표면 구조를 갖는다. 라디오 엔지니어는 SLM이 캐리어 주파수, 실제로 몇몇 2차원 공간 캐리어를 제공한다고 말한다. 모든 미러가 다크를 위해 동작하면, 0 오더 조명 빔이 소등하는 것을 의미한다. 개구를 통한 광 패싱은 없으며, 웨이퍼 상의 이미지는 어둡다. 표면 구조가 패턴에 의해 변조될 때, 0-오더가 다시 나타나지만, 패턴에 대한 정보를 갖는 사이드밴드에 의해 둘러싸인다. 개구 (1526) 는 사이드밴드 (1524) 를 절단하고 이미지 (1528) 가 형성된다. 이 이미지 (1528) 는 사이드 밴드가 동일하기 때문에 마스크 (1518) 로부터의 이미지와 동일하다. 도면에 도시한 바와 같이 캐리어 주파수의 각각의 주변에 사이드밴드가 있다. 상이한 인접 필드 (도 14) 는 사이드밴드를 갖는 캐리어 주파수에 속하는 진폭때문에 동일한 이미지 (1518, 1528) 를 제공할 수 있다.Diffraction SLM 1522 has a surface structure that produces a sideband 1524 that is far outside of opening 1526. Radio engineers say that SLM provides carrier frequencies, actually some two-dimensional space carriers. If all mirrors operate for dark, it means that the zero order illumination beam is extinguished. There is no light passing through the aperture, and the image on the wafer is dark. When the surface structure is modulated by the pattern, the 0-order reappears, but is surrounded by sidebands with information about the pattern. Opening 1526 cuts sideband 1524 and image 1528 is formed. This image 1528 is identical to the image from the mask 1518 because the side bands are the same. As shown in the figure, there is a sideband around each of the carrier frequencies. Different adjacent fields (FIG. 14) may provide the same image 1518, 1528 because of the amplitude belonging to the carrier frequency with sidebands.

도 16은 일부 SLM에 사용된 교차 행 레이아웃을 도시한다. 틸트 각도는 약 50배의 팩터에 의해 증가되어 틸트를 인식가능하게 한다. SLM 변조는 푸리에 스탑, 즉 투영 시스템의 개구에서 진폭 변조로 변환되는 위상 변조이다. 이 변환은 도 16을 참조하면 일반적이거나 자동적이지 않으며, 계획적인 미러 설계, 미러 사이즈 및 틸트 패턴의 레이아웃의 결과이다. 다음의 두 조건을 만족한다면 검출된 이미지는 마스크로부터의 이미지와 동일하다: 사이드밴드가 대칭이어야하며 캐리어는 캐리어 주파수 주위의 사이드밴드에 의한 개구에서 오염을 피할 수 있을 만큼 충분히 높아야 한다. 이미지는 어떤 위상 정보도 포함하지 않을 수도 있으며, 즉, 복소수 진폭은 모든 포인트에서 실수이어야 한다. 이것은 일반적인 위상 시프트 레티클을 고려하면 가장 쉽게 이해할 수 있다. 0°및 180°이외의 위상을 갖는 위상 시프트 레티클은 없으며, 위상 각도는 정밀하게 구체화되고 가까이 모니터링된다. 위상 시프트에 대한 내구력은 SLM과 PSM 레티클에서 상이하며, 일반적으로 꽤 복잡하지만, 동일한 일반 규칙을 준수한다: 이미지에서 복소수 진폭 중 큰 허수부가 없어야 한다. 이미지 평면에 위상차가 있다면, 초점을 통한 불안정성이 있을 것이고, 즉, 에지는 초점을 통해 움직일 것이고, CD 및/또는 오버레이가 악영향을 받을 것이다.16 illustrates a cross row layout used for some SLMs. The tilt angle is increased by a factor of about 50 times, making the tilt recognizable. SLM modulation is a Fourier stop, or phase modulation that is converted into amplitude modulation in the aperture of the projection system. This conversion is not generic or automatic with reference to FIG. 16 and is the result of the planned mirror design, mirror size and layout of the tilt pattern. The detected image is identical to the image from the mask if the following two conditions are met: The sidebands must be symmetrical and the carrier must be high enough to avoid contamination at the opening by the sidebands around the carrier frequency. The image may not contain any phase information, ie the complex amplitude must be real at all points. This is most easily understood given the typical phase shift reticle. There is no phase shift reticle with phases other than 0 ° and 180 °, and the phase angle is precisely specified and closely monitored. The endurance to phase shift is different in SLM and PSM reticles and is generally quite complex, but follows the same general rule: there should be no large imaginary part of complex amplitudes in the image. If there is a phase difference in the image plane, there will be instability through the focus, ie the edge will move through the focus and the CD and / or overlay will be adversely affected.

캐리어로부터의 사이드밴드에 의한 개구 스탑에서 오염이 없다는 다른 조건은 미러를 작게 만들어서 쉽게 만족시킬 수 있지만, 스루풋에 큰 악영향이 있다. 0 오더의 소멸이 발생하고 캐리어가 개구로부터 충분히 멀리 떨어져있다면, 표면에 주름을 만들어서 광을 회절시키는 방법은 중요하지 않다. 피스톤, 틸팅 미러 또는 사인곡선 높이 변조가 모두 가능하다. 틸팅 미러에 대해서, 좌측 및 우측으로 틸팅하는 미러를 갖는 행을 갖는 레이아웃은 0 오더 주위의 큰 클린 영역을 제공하고, 오염을 감소시킨다. 회절 패턴은 도 15에 도시한 것이다.Other conditions of no contamination at the opening stop by the sidebands from the carrier can be easily satisfied by making the mirror small, but there is a significant adverse effect on throughput. If the disappearance of the zero order occurs and the carrier is far enough from the opening, the method of diffracting light by wrinkling the surface is not important. Pistons, tilting mirrors or sinusoidal height modulation are all possible. For a tilting mirror, a layout with rows with mirrors tilting left and right provides a large clean area around the zero order and reduces contamination. The diffraction pattern is shown in FIG.

피스톤 대 Piston stand 틸팅Tilting 미러mirror

틸팅 미러로, 실제 값의 행동은 대칭에 의해 보장될 수 있다. 피스톤 미러가 더 높은 콘트라스트와 이미지 로그 슬로프를 제공하는 것이 제안되었다. 크롬리스 마스크 및 크롬리스 마스크의 높은 콘트라스트를 제공하는 온 그리드 피쳐로 동작하는 피스톤 미러로 실시예를 셋업하는 것이 실로 쉽다. 1D 피쳐 오프 그리드로 크롬리스 마스크를 에뮬레이팅하는 것이 덜 쉽지만, 더 가능성이 있다. 이 경우, 중간 미러값이 사용되어야 하고, 피스톤을 위한 것은 실제가 아니다. 미러의 그룹은 위상 효과를 상쇄하기에 최적화될 수 있다. 위상 상쇄 조건을 만족하는 동시에 일반적인 2D 패턴에서 그 설계값으로 CD 및 로그 슬로 프를 유지하는 것은 더 어려우며, 상기 일부의 미러 사이즈는 불가능하다.With the tilting mirror, the behavior of the actual value can be guaranteed by symmetry. It has been proposed that piston mirrors provide higher contrast and image log slopes. It is indeed easy to set up an embodiment with a chromeless mask and a piston mirror operating with an on grid feature that provides the high contrast of a chromeless mask. Emulating a chromeless mask with a 1D feature off grid is less easy, but more likely. In this case, an intermediate mirror value should be used, which is not practical for the piston. The group of mirrors can be optimized to cancel the phase effect. It is more difficult to maintain the CD and log slopes at their design values in a typical 2D pattern while satisfying the phase offset conditions, and some mirror sizes are not possible.

피스톤 미러로 위상의 밸런스는 틸팅 미러와 같이 자동이 아니지만, 래스터라이저에 의해 명백하게 제어되어야 한다. 교차 방향으로 틸팅하는 미러의 행으로, 도 16의 미러 레이아웃은 미러가 실제값의 진폭 변조기인 것처럼, 즉, 각 미러가 동일한 픽셀에 대해서만 국소적인 데이터에 기초하여 본질적으로 래스터라이즈된 것처럼 래스터라이즈될 수 있다. 이는 데이터 경로 아키텍쳐를 단순하게 만들고 래스터라이제이션은 FPGA에서 구현된 파이프 라인드 (pipe-lined) DSP 아키텍쳐에서 실행되기에 적절한 명백한 알고리즘으로 수행될 수 있다. 피스톤 미러는 더 정교한 래스터라이징 아키텍쳐를 필요로하고, 동시에 더 많은 수의 미러 및 작은 사이즈를 필요로 한다. 위상 시프팅 미러의 더 높은 콘트라스트를 개발하기 원한다면 이러한 복잡함을 감수해야하는가? ext 섹션은 더 단순한 위상 시프팅 해결책을 제공할 것이다.The balance of phase with the piston mirror is not automatic like the tilting mirror, but must be explicitly controlled by the rasterizer. With a row of mirrors tilting in the cross direction, the mirror layout of FIG. 16 may be rasterized as if the mirror were an actual amplitude modulator, ie each mirror was essentially rasterized based on local data only for the same pixel. Can be. This simplifies the data path architecture and rasterization can be performed with explicit algorithms suitable for execution on the pipelined DSP architecture implemented in the FPGA. Piston mirrors require a more sophisticated rasterizing architecture, while at the same time a larger number of mirrors and smaller sizes. If you want to develop the higher contrast of a phase shifting mirror, do you have to accept this complexity? The ext section will provide a simpler phase shifting solution.

위상 Phase 시프팅Shifting 틸트Tilt 미러mirror

도 17a 내지 도 17d는 복소 평면에서의 탄도와 위상 변조 미러 타입을 도시한다. 패널은 (a) 플랫 틸팅 미러, (b) 피스톤 미러, (c) 어두운 센터를 갖는 플랫 틸팅 미러, 및 (d) 위상 스텝을 갖는 틸팅 미러를 나타낸다. 틸팅 미러는 도 17c 및 도 17d에 도시된 강한 위상 시프팅을 제공하도록 변조될 수 있다. 위상의 자동적인 밸런스가 단순한 역학으로 유지되며, 복소 평면의 실수축의 모든 점이 단일 미러에 대해 접근 가능해진다. 동일한 SLM을 바이너리 모드, 감쇠 모드, 고투과 감쇠 모드, 3-톤 모드, 교차 개구 모드, 위상 에지 모드 및 CPL 모드 에 사용가능하다. 유일한 단점은 약 2배의 휘도의 손실이다.17A-17D show trajectory and phase modulation mirror type in the complex plane. The panel shows (a) a flat tilting mirror, (b) a piston mirror, (c) a flat tilting mirror with dark centers, and (d) a tilting mirror with a phase step. The tilting mirror can be modulated to provide the strong phase shifting shown in FIGS. 17C and 17D. The automatic balance of the phases is maintained with simple mechanics, and all points on the real axis of the complex plane are accessible to a single mirror. The same SLM can be used for binary mode, attenuation mode, high transmission attenuation mode, 3-tone mode, cross aperture mode, phase edge mode and CPL mode. The only disadvantage is the loss of about twice the brightness.

복소수 진폭 R은 다음과 같이 계산된다.The complex amplitude R is calculated as follows.

Figure 112006058921667-PCT00001
Figure 112006058921667-PCT00001

여기서, S는 미러의 표면이고, r(x,y)는 국소적 복소수 반사 계수이고, λ는 파장이며 h(x,y)는 국소적 높이이다. 피스톤 미러에 대해서 복소수 진폭은 다음과 같이 계산된다.Where S is the surface of the mirror, r (x, y) is the local complex reflection coefficient, λ is the wavelength and h (x, y) is the local height. For the piston mirror, the complex amplitude is calculated as follows.

Figure 112006058921667-PCT00002
Figure 112006058921667-PCT00002

즉, 위상 팩터는 일정한 적분된 반사도 R0와 곱해진다.That is, the phase factor is multiplied by a constant integrated reflectance R 0 .

적분된 복소수 반사도 계수 R의 4개의 미러 타입과 탄도는 그것들이 동작될 때 복소 평면에서 실행된다. 도 17a는 시그마 마스크 라이터에서 사용된 커런트 미러를 도시한다. R은 미러 에지의 0°편향에서 1+0i 로부터 257°에서 -0.2+0i로 간다. 음의 진폭은 감쇠된 PSM을 에뮬레이팅하는데 사용할 수 있거나 또는 그리드 필터에서 흑색보다 더 흑색으로 사용될 수 있다. 이론 값 -0.2는 그리드 필터 및 음의 블랙/감쇠된 PSM 모드에서 동시에 너무 작지만, 실제 디바이스는 더 음의 진폭, 통상적으로 -0.3을 갖는다. 이 값은 미러의 설계에 따라 더 바뀔 수 있다.The four mirror types and ballistics of the integrated complex reflectivity coefficient R are performed in the complex plane when they are operated. 17A shows a current mirror used in a sigma mask writer. R goes from 1 + 0i at 0 ° deflection of the mirror edge to -0.2 + 0i at 257 °. Negative amplitude can be used to emulate the attenuated PSM, or can be used more black than black in grid filters. The theoretical value of -0.2 is too small at the same time in the grid filter and in the negative black / damped PSM mode, but the actual device has a more negative amplitude, typically -0.3. This value can be further changed depending on the mirror design.

도 17b는 피스톤 미러를 나타낸다. 복소수 반사는 단위 원의 주변을 따 르고 모든 위상 값에서 매우 밝다. 원 안의 임의의 점, 즉 블랙 및 그레이의 값은 2 이상의 미러의 조합을 통해 접근할 수 있다.17B shows the piston mirror. Complex reflections follow the perimeter of the unit circle and are very bright at all phase values. Any point in the circle, ie the values of black and gray, can be accessed through a combination of two or more mirrors.

도 17c는 비반사 코팅, 광스캐터링 마이크로구조 또는 컷-아웃에 의해 어두워진 축을 따라 중심부를 갖는 틸팅 미러를 도시한다. 영역이 중심으로부터 제거됐을 때, 음의 진폭의 양이 증가하고, 반면 플랫 상태의 반사는 감소하여 탄도를 더 대칭으로 만들지만, 동시에 더 작아진다. 그러나, 조명 에너지의 변화로 인해 수치를 스케일링하는 것이 가능하고, 따라서 값 0.5+0i 및 -0.5+0i가 클리어 및 시프터로 사용될 수 있다. 레귤러 스캐너와 반대로, 마스크리스 툴은 태울 수 있는 레이저 에너지를 갖는다. 20배 낮은 스루풋은 웨이퍼 평면에 필요한 에너지가 20배 작다는 것을 의미한다.17C shows a tilting mirror having a center along an axis darkened by antireflective coating, light scattering microstructure or cut-out. When the area is removed from the center, the amount of negative amplitude increases, while the flat state reflection decreases, making the trajectory more symmetrical, but at the same time smaller. However, it is possible to scale the figures due to the change in the illumination energy, so the values 0.5 + 0i and -0.5 + 0i can be used as clears and shifters. In contrast to regular scanners, maskless tools have burnable laser energy. 20 times lower throughput means that the energy required for the wafer plane is 20 times smaller.

도 17d는 상이한 틸팅 위상 시프트 디바이스인 스텝 미러를 도시한다. 그것은 반사시 180°위상차에 대응해서, λ/4의 표면에서의 위상 스텝을 갖는다. 영역의 절반이 180°시프트 되었기 때문에, 플랫일 때 어둡다. 오른쪽으로 틸팅하는 것은 반사도를 약 50%까지 밝게 한다. 왼쪽으로 틸팅하는 것은 그것을 밝게하지만, 180°위상차를 갖는다. 이 미러는 몇몇 이점을 갖는데, 그것은 바이너리 프린팅에도 유용하다. 특히, 그것은 활성화되지 않을 때 매우 어두우며, 그 특성은 연속적인 SLM 이미지의 스티칭을 단순화한다. 복소수 진폭은 복소 평면의 원점 주위에서 대칭이고, 이는 CPL, 위상 에지 및 AAPSM 모드에서 바람직한 특성이다. 스텝 미러가 3-톤 패턴에 대해 사용될 때, 그 값은 예를 들면, -1+i0보다 약한 시프터를 갖는 3-톤 고투과 감쇠 모드 또는 CPL의 실수축을 따라 임의로 선택될 수 있다.17D shows a step mirror that is a different tilting phase shift device. It has a phase step at the surface of λ / 4, corresponding to a 180 ° phase difference upon reflection. Since half of the area is shifted 180 °, it is dark when flat. Tilting to the right brightens the reflectivity by about 50%. Tilting to the left brightens it, but has a 180 ° phase difference. This mirror has some advantages, which are also useful for binary printing. In particular, it is very dark when not active, and its properties simplify the stitching of successive SLM images. Complex amplitude is symmetric around the origin of the complex plane, which is a desirable characteristic in CPL, phase edge and AAPSM modes. When a step mirror is used for a three-tone pattern, the value can be arbitrarily selected, for example, along the real axis of the CPL or the three-tone high transmission attenuation mode with a shifter less than -1 + i0.

위상 Phase 시프팅을Shifting 위한 데이터 경로 Data path

도 18a 내지 도 18d는 다양한 데이터 경로를 도시한다: (a), 동일한 파일에서 많은 영역 타입을 구체화하는 독점 데이터 포맷을 갖는 이상적인 데이터 경로 (b), 현재의 인프라 구조와 호환가능한 변조된 데이터 경로 (c), 임의의 수의 층들로 일반화될 수 있는 변조된 데이터 경로 (d), 층들이 개별적으로 래스터라이즈되지 않는 c의 변조 버전. 도 18a 및 도 18b에 도시한 데이터 경로와 반대로, 바이너리 및 감쇠된 PSM 이외의 패턴들은 패턴 입력 데이터에서 2 레벨 이상을 갖는다. 오늘날 위상 시프트 레티클이 제조되면서, 입력 데이터는 GDSⅡ 또는 OASIS 와 같은 표준 포맷을 사용해서 개별 파일로서 마스크 라이터, 크롬 및 시프터 층들을 위한 2개의 개별 층들을 설명한다. 특히 그 층들이 기능적으로 동일하지 않기 때문에, 이 입력 상세사항과 호환가능한 것이 바람직하다. 레티클은 크롬에 의해 마스킹되므로, 시프터는 통상적으로 레티클에서 의도한 것보다 데이터에서 더 크다. 도 18c는 2개의 파일을 판독하는 데이터 경로를 나타내며, 그것들을 개별적으로 래스터라이즈하고 비트맵을 SLM을 위한 하나의 단일 비트맵으로 결합한다. 그리드 필터는 이 단일 비트맵에서 사용될 수도 있다. 도 18b는 전용 데이터 포맷을 사용해서 어떻게 동일한 2개의 층들이 결합하여 3개 영역 타입이 아닌 4개의 영역 타입을 세분하는데 사용될 수 있는지를 도시한다. 일반적으로 맞춤 포맷의 동일한 타입에 의해서 N 톤을 갖는 패턴을 기술할 수 있다.18A-18D show various data paths: (a) an ideal data path with a proprietary data format that embodies many area types in the same file, (b) a modulated data path compatible with current infrastructure ( c) a modulated data path that can be generalized to any number of layers (d), a modulated version of c in which the layers are not rasterized individually. In contrast to the data paths shown in Figs. 18A and 18B, patterns other than binary and attenuated PSM have more than two levels in the pattern input data. As phase shift reticles are manufactured today, the input data describes two separate layers for the mask writer, chrome and shifter layers as separate files using a standard format such as GDSII or OASIS. It is particularly desirable to be compatible with this input detail, since the layers are not functionally identical. Since the reticle is masked by chrome, the shifter is typically larger in the data than intended for the reticle. 18C shows the data paths for reading two files, rasterizing them separately and combining the bitmaps into one single bitmap for SLM. Grid filters may be used in this single bitmap. 18B shows how the same two layers can be used to subdivide four region types rather than three region types using a dedicated data format. In general, a pattern having N tones can be described by the same type of custom format.

이중 래스터라이저들을 갖는 데이터 경로는 의심할 여지없이 설계하기에 더 많은 비용이 들것이다. 도 18d를 참조하면, 2개 이상의 표준 입력 층들을 멀티값 비트맵으로 직접 변환하는 새로운 래스터라이저를 개발하는 것이 장점이 될 수도 있고 아닐 수도 있다. 위상 시프팅 및 멀티-톤 이미지를 위한 가장 유용한 래스터라이저를 탐구하기 위해서 이 영역에서 더욱 많은 연구가 이루어져야 한다.Data paths with dual rasterizers will undoubtedly be more expensive to design. Referring to FIG. 18D, it may or may not be advantageous to develop a new rasterizer that directly converts two or more standard input layers into a multivalue bitmap. More research has to be done in this area to explore the most useful rasterizers for phase shifting and multi-tone images.

위상 Phase 시프팅Shifting 실시예Example

도 19는 SLM (a) 및 레티클 (b) 을 사용해서 위상 에지와 트림 마스크를 갖는 35 nm 트랜지스터 게이트를 프린트하는 방법을 개략적으로 설명한다. SLM에 의해 생성된 위상 에지를 사용해서 프린트된 35 nm 라인의 레지스트 프로파일을 (c)에 도시한다. (c)의 확대부에서 볼 수 있는 바와 같이, 그리드에 관련된 몇몇 위치는 오버레이된다. 도 19는 도 9d의 스텝 미러를 사용하는 위상 에지의 시뮬레이트된 예 및 위상 시프팅 도메인에서 동작하도록 변조된 그리드 필터를 도시한다. 도 19a 및 도 19b는 트랜지스터 게이트 구조가 정규 레티클 (19b) 또는 SLM (19a) 중 하나를 갖는 트림 마스크 및 위상 에지에 의해 형성되는 방법을 개략적인 형태로 도시한다. SLM과 레티클에 대해서, 0 및 180은 반사된/투과된 광의 위상을 나타내고, 한편 그레이 레벨은 틸트된 블랙 SLM 미러를 나타낸다. 도 19c의 시뮬레이션은 미러 그리드에 관련해서 0, 5, 10, 15, 20, 25 및 30 nm의 위치를 위한 위상 에지에 대한 레지스트 프로파일을 도시한다. 65 nm 노드에 따라, 타겟 CD는 35 nm이다. 놀랍게도 단일 픽셀보다 넓지 않은 라인이 본래의 상태로 큰 일관성을 갖고 고도로 확대되었을 때 시뮬레이션 결과에서만 볼 수 있는 변화로 그리드를 통해 움직일 수 있도록 할 수 있다는 것은 주목할 만하다. 이 러한 그리드 효과의 놀라운 결여에 대한 설명은 그리드 필터와 라인이 과다노광에 의해 작아진다는 사실의 조합이다.19 schematically illustrates a method of printing a 35 nm transistor gate having a phase edge and a trim mask using SLM (a) and reticle (b). The resist profile of the 35 nm line printed using the phase edge generated by SLM is shown in (c). As can be seen in the magnification of (c), some positions relative to the grid are overlaid. FIG. 19 illustrates a simulated example of a phase edge using the step mirror of FIG. 9D and a grid filter modulated to operate in the phase shifting domain. 19A and 19B show in schematic form how a transistor gate structure is formed by a trim mask and a phase edge having either a regular reticle 19b or an SLM 19a. For SLMs and reticles, 0 and 180 represent the phase of reflected / transmitted light, while the gray level represents the tilted black SLM mirror. The simulation of FIG. 19C shows the resist profile for phase edges for positions of 0, 5, 10, 15, 20, 25 and 30 nm in relation to the mirror grid. Depending on the 65 nm node, the target CD is 35 nm. Surprisingly, it is noteworthy that lines that are not wider than a single pixel can be moved through the grid with changes that are only visible in the simulation results when they are highly consistent and highly magnified in their original state. The explanation for the surprising lack of such grid effects is a combination of the fact that grid filters and lines are reduced by overexposure.

다음 예는 도 20에 도시한다: 65 nm 및 45 nm 라인 폭을 갖는 CPL 반고립 라인. CPL에서, 투과도를 감소시키기 위해 상부에 크롬이 제공될 수도 있는 레티클 상의 시프터 라인은 극 시그마에서 프린트된다. 그 결과는 양호한 콘트라스트 및 CD 마스킹에 대한 둔감성을 갖는 얇은 다크 라인이다. 여기 도시한 2개의 실시예는 라인이 그리드를 통해 움직일 때 CD 및 콘트라스트가 유지될 수 있다는 것을 보여준다. d의 스텝 미러의 시뮬레이션이 사용되었다. 그 결과는 다시 그리드에 관한 위치에서 CD도 콘트라스트 의존성도 갖지 않는 라인이다.The following example is shown in Figure 20: CPL semi-isolated lines with 65 nm and 45 nm line widths. In CPL, the shifter lines on the reticle, which may be provided with chromium on top to reduce permeability, are printed in polar sigma. The result is a thin dark line with good contrast and insensitivity to CD masking. The two embodiments shown here show that CD and contrast can be maintained as the line moves through the grid. Simulation of the step mirror of d was used. The result is again a line with neither CD nor contrast dependence in the position relative to the grid.

ASIC에 대한 위상 Phase for ASIC 시프팅Shifting 가능화Enable

PSM 레티클의 제조는 절대 간단하거나 쉽지 않을 것이다. 시프터의 수리는 항상 어려울 것이고, 위상 시프팅의 광학 파워 때문에 내구성이 항상 타이트할 것이다. 위상 시프팅이 강할수록, 제조하기 더 힘들다. 위상 시프팅 마스크리스 툴 제조의 어려움은 다른 종류이다. 그 어려움은 개발의 어려움이고, 기능 시스템이 존재할 때, 바이너리 패턴과 같이 쉽게 위상 시프팅 패턴을 기록할 것이다. CPL이 비용이 더 많이 들지도 않고 시간이 더 오래 걸리지 않을 때, 왜 게이트를 위한 바이너리 패턴을 사용하는가?Manufacturing a PSM reticle will never be simple or easy. Repairing the shifter will always be difficult, and durability will always be tight due to the optical power of phase shifting. The stronger the phase shifting, the harder it is to manufacture. The difficulty of manufacturing a phase shifting maskless tool is another kind. The difficulty is the difficulty of development, and when there is a functional system, it will record phase shifting patterns as easily as binary patterns. Why does the CPL use binary patterns for gates when they are not more expensive and take less time?

위상 시프팅 및 이진수가 동일한 시간 및 동일한 비용을 소비한다는 가정이 완전한 사실이 아니더라도, 위상 시프팅 마스크리스 툴의 이용가능성은 더 공격적인 설계 및 프로세스를 위해 필드를 여전히 틸트할 것이다. 위상 에지 폴리 층 들이 2 대신 4 패스에서 기록될 필요가 있다는 것을 증명하더라도, ASIC 산업은 FPGA 보다 시간을 단축하고 더 좋은 성능을 제공하도록 할 것이다.Even if the assumption that phase shifting and binary numbers consume the same time and the same cost is not entirely true, the availability of phase shifting maskless tools will still tilt the field for more aggressive designs and processes. Even if it proves that the phase edge poly layers need to be written in four passes instead of two, the ASIC industry will save time and provide better performance than FPGAs.

위상 시프팅 전형이 레티클 기반 생산으로 바뀔 수 있을까? 아마 그렇지 않을 것이고, 아마 꽤 큰 부피에도 불구하고 위상 시프트된 층들을 수행하는 것을 계속하는 것이 훨씬 경제적일 것이다. 기능 툴로 도어는 작은 부피에서 더 높은 성능을 가질 수 있으며 레티클로의 이동은 경제적 측면과 세부 계획에 기초해서 개별적으로 수행될 것이다. 아마도 마스크리스-전용 프로세스가 알맞을 것이다.Can phase shifting typical change to reticle-based production? Probably not, and probably even more economical to continue performing phase shifted layers despite quite large volumes. With functional tools, doors can have higher performance in smaller volumes and movement to the reticle will be performed separately based on economics and detailed planning. Perhaps a maskless-only process would be appropriate.

픽셀 사이즈Pixel size

위상 시프팅 실시예들은 모두 동일한 픽셀 사이즈인 30 nm를 사용한다. 이 사이즈는 바이너리 및 감쇠된 이미징 모드에 적절하게 (비록 조금은 보수적이지만) 미리 선택되었다. 위상 시프팅으로 프린트된 피쳐는 더 작지만, 예상과 반대로 여기에 보고된 시뮬레이션은 30 nm가 아직 적절하다는 것을 나타낸다. 분명히 그리드 필터에 기인해서 이 결과는 아직 예비이다. 비록 스루풋에는 불리하지만, 더 작은 픽셀을 사용함으로써 프린팅을 더 양호하게 하고 더 이상적으로 만드는 것은 항상 가능하다. Phase shifting embodiments all use the same pixel size, 30 nm. This size was preselected (although a little conservative) as appropriate for binary and attenuated imaging modes. Features printed with phase shifting are smaller, but contrary to expectations, the simulations reported here indicate that 30 nm is still adequate. Clearly, due to grid filters, this result is still preliminary. Although disadvantageous to throughput, it is always possible to make printing better and more ideal by using smaller pixels.

리소Litho -중립, -neutrality, 리소Litho -일치 및 -Matches and 리소Litho -플러스-plus

마스크리스 툴은 정규 스캐너와는 상이한 관계로 실행될 수 있다. 항상마스크로 가는 단계 없이, 전형 및 후속 제조에서 사용되는 과정에서, 마스크와 마스크리스 사이의 투명성은 이슈가 아닐 수도 있다. 이것은 일치가 필요한 상황 -리소-일치와 반대로, 리소-중립이라고 부를 수 있다.The maskless tool can be executed in a different relationship than a regular scanner. In the process used in typical and subsequent fabrication without always going to the mask, the transparency between the mask and the maskless may not be an issue. This can be called litho-neutral, as opposed to situation-lithography-matching where consensus is needed.

리소-일치는 어려운 개념이다. 프로세싱 윈도우를 최대화하고 OPC 소프트웨어가 근접 효과를 처리하는 것은 상이한 기계의 OPC를 일치시키는 것보다 더 단순하고 간단하다. 이것은 상이한 타입의 2개의 스캐너 상의 동일한 광학 세팅이 잔류 수차 및 조명기의 완벽하지 않은 일치 때문에 동일한 것을 종종 프린트하지 못하는 마스크 리소그래피로부터 알려져 있다. 투명 전형을 위해 마스크리스 툴이 스캐너보다 더 좋지도 더 나쁘지도 않은 것이 중요하다. 더 나쁜 이미징은 쓸데없는 재작업을 유발하지만, 마스크리스 툴에서의 더 좋은 이미지 품질은 마스크 기반 제조로 전환될 때 제조품이 실패하는 것을 유발할 수도 있다.Resource-matching is a difficult concept. Maximizing the processing window and processing proximity effects by the OPC software is simpler and simpler than matching OPCs of different machines. This is known from mask lithography, in which the same optical settings on two scanners of different types often do not print the same because of residual aberration and incomplete matching of the illuminator. It is important that a maskless tool is neither better nor worse than a scanner for transparency. Worse imaging causes unnecessary rework, but better image quality in maskless tools may cause the article to fail when switched to mask-based manufacturing.

동일한 원리에 의해, 리소-플러스라는 용어는 마스크리스 툴에서의 프린팅 성능이 일치보다 더 높은 우선순위를 갖는 상황을 나타낼 수 있다. 리소-플러스를 달성하는 2개의 가장 명백한 방법은 비트맵의 디지털 프로세싱 및 상이한 광학 세팅으로 프린트되는 부분 패턴으로의 패턴 분해이다. 스테퍼에서의 이중 패스 및 멀티플 패스 프린팅은 오버레이 정확성에 의해 부분적으로 방해를 받으며, 이중 레티클의 비용에 의해서도 부분적으로 방해를 받는다. 마스크리스 툴에 대해서 상충 관계들이 상이하다: 웨이퍼와 레티클을 재정렬할 필요가 없고 이중 레티클의 고정 비용이 없으므로 오버레이는 매우 좋다. 한편, 스루풋은 패스의 수에 반비례한다. 이에도 불구하고 x 및 y 라인뿐만 아니라, 로직 및 메모리, 고밀도 및 고립 피쳐 또는 상이한 피치로 패턴을 분해하는 것이 가능하고 유용할 수도 있다. 실시예는 위상 시프팅에 의해 형성된 고 콘트라스트 라인을 크로싱 함으로써 음의 레지스트에 프린트될 수 있는 고밀도 컨택트 홀 어레이이다. 편광은 분해를 위한, 특히 1.00을 넘는 NA를 위한 다른 이유이다.By the same principle, the term litho-plus may refer to a situation where printing performance in a maskless tool has a higher priority than matching. The two most obvious ways to achieve litho-plus are digital processing of the bitmap and pattern decomposition into partial patterns printed with different optical settings. Dual pass and multiple pass printing on the stepper are partially hampered by overlay accuracy and partly hampered by the cost of the double reticle. The tradeoffs for maskless tools are different: overlays are very good because there is no need to realign wafers and reticles and there is no fixed cost of double reticles. Throughput, on the other hand, is inversely proportional to the number of passes. Notwithstanding this, it may be possible and useful to resolve patterns into logic and memory, high density and isolation features or different pitches, as well as x and y lines. An embodiment is a high density contact hole array that can be printed on negative resist by crossing high contrast lines formed by phase shifting. Polarization is another reason for resolution, especially for NA above 1.00.

그리드 필터는 그리드 전체에 걸쳐서 균일도를 달성하는 방법으로 설명되었지만, 다른 커넬, 통상적으로 유도로 회선이 추가될 수 있다. 만약 유도 커넬이 전체 패턴에 적용된다면 모든 에지는 샤퍼-이그재저레이티드될 것이고, 만약 원한다면 그것은 얇은 라인과 작은 피쳐에 부스트를 제공할 것이다. 상충 관계는 프로세스된 에지가 여전히 픽셀의 다이나믹 범위에 적합해야 한다는 것이고, 이는 더 많은 디지털 노이즈를 발생시키고 및 더 많은 레이저 에너지를 필요로 한다. 작은 유도 텀을 모든 에지에 추가하면, 도 13의 ED 윈도우는 SLM에 대해 더 커질 수 있다. 모든 에지상의 유도 텀은 도 9의 아키텍쳐에 작은 변화를 주면서 그리드 필터에 추가될 수 있다.Grid filters have been described as a way to achieve uniformity across the grid, but other kernels, typically induction furnaces, can be added. If the induction kernel is applied to the entire pattern all edges will be sharp-agrated, if desired it will provide a boost for thin lines and small features. The tradeoff is that the processed edges still have to fit the dynamic range of the pixel, which generates more digital noise and requires more laser energy. By adding a small induction term to all edges, the ED window of FIG. 13 can be made larger for the SLM. Induction terms on all edges can be added to the grid filter with minor changes to the architecture of FIG. 9.

요약summary

그리드 전체에 걸쳐 CD를 유지하는 Sigma 마스크 라이터로부터의 래스터라이제이션은 한 단계 더 수행되었고 피쳐의 오프 그리드 위치 전체에 걸쳐서 CD와 로그 슬로프를 둘 다 유지한다. SLM 이미지는 가시적 그리드 및 해상도의 손실 없이 데이터의 이상적인 이미지의 이미지에 매우 근접하다. 이는 픽셀의 사용을 매우 효과적으로 만들고, 페이퍼의 3개의 시뮬레이션은 여전히 프린팅이 잘되는 2개의 픽셀 너비보다 작은 라인들을 보여준다.Rasterization from the Sigma mask writer to hold the CD across the grid was performed one more step and retained both the CD and log slopes across the off grid position of the feature. SLM images are very close to the image of the ideal image of the data without loss of visible grid and resolution. This makes the use of pixels very effective, and the three simulations of the paper still show lines smaller than two pixel widths that print well.

피지컬 레티클로부터의 이미지에 비해, SLM으로부터의 이미지는 더 나쁘지 않고, 피지컬 레티클 제한 해상도 및 정밀도에서 많은 수의 단계가 제거되었기 때 문에 오히려 더 양호하다. 이것의 탑에서, 디지털 필터는 피지컬 레티클로 가능한 것 보다 콘트라스트를 높이는데 사용될 수 있다.Compared to the image from the physical reticle, the image from the SLM is not worse and rather better because a large number of steps have been eliminated in the physical reticle limited resolution and precision. At the top of this, a digital filter can be used to increase the contrast than is possible with a physical reticle.

베이스라인 설계의 타겟은 마스크 리소그래피와 마스크리스 리소그래피 사이의 설계의 투명 전환 및 이음매가 없는 믹스-앤드-매치를 위한 마스크 기반 스캐너의 이미지 특성을 일치시키는 것이다.The target of the baseline design is to match the image characteristics of mask-based scanners for seamless transition and seamless mix-and-match of the design between mask lithography and maskless lithography.

이들 결과는 마스크 기반 스캐너로서 동일한 OPC 모델을 제공하기 위해 마스크리스 툴이 구성될 수 있다는 것을 나타낸다. 그리드 필터가 한정된 픽셀 사이즈의 영향을 제거한 후, OPC 특성은 투영 광학장치 및 조명 조건에 의해 전적으로 결정된다.These results indicate that a maskless tool can be configured to provide the same OPC model as a mask based scanner. After the grid filter removes the influence of the defined pixel size, the OPC characteristics are entirely determined by the projection optics and the lighting conditions.

마스크리스 툴은 가장 어려운 층에 최고의 유틸리티를 가지며, 통상적으로 위상 시프트되고 고도로 OPC된다. Micronics의 마스크 라이터에 사용된 틸팅 미러는 강한 위상 시프팅 특성을 제공하도록 변조되었다. 단순한 기계적 특성을 유지하면서, 실수축 상에 -1+0i 내지 +1+0i의 의 임의의 복소수 진폭을 생성하도록 동작될 수 있다. 현재 데이터 경로는 2개의 레벨로 설명된 패턴만을 래스터라이즈할 수 있지만, 3-톤 및 멀티-톤 패턴을 수행할 수 있는 변조된 데이터 경로가 설명되었다.Maskless tools have the best utility in the most difficult layers and are typically phase shifted and highly OPC. The tilting mirror used in Micronics' mask writer was modulated to provide strong phase shifting characteristics. It can be operated to produce any complex amplitude of -1 + 0i to + 1 + 0i on the real axis, while maintaining simple mechanical properties. Although the current data path can only rasterize the described patterns in two levels, a modulated data path capable of performing three-tone and multi-tone patterns has been described.

65 nm 설계 노드 (λ=193nm, NA=0.93 드라이, 및 30 nm 투영 픽셀 사이즈) 를 위해 타켓팅된 베이스라인 광학장치에 모두 관련된, 도시한 실시예는 50 nm (attPSM), 45 nm (CPL, 쌍극자) 및 35 nm (위상 에지) 의 반고립 라인을 포함한다. 이것들은 마스크리스 툴에 대한 가장 어려운 경우라고 생각되는데, 그 이유는 라인 폭이 2개의 미러와 같거나 작고, 그리드 필터는 덜 극단적인 조명 모드에 대한 것보다 쌍극자 조명에 대해 덜 효과적이기 때문이다.The illustrated embodiment, all related to baseline optics targeted for a 65 nm design node (λ = 193 nm, NA = 0.93 dry, and 30 nm projection pixel size), is shown at 50 nm (attPSM), 45 nm (CPL, dipole). ) And semi-isolated lines of 35 nm (phase edges). These are considered the most difficult cases for maskless tools because the line width is less than or equal to two mirrors and the grid filter is less effective for dipole lighting than for less extreme lighting modes.

최종 결론은 이 페이퍼에서의 모든 CD 에러의 스케일이 CD 에러 예산에 비해 무시할 수 있다는 것이다. 더 많은 실험과 추가의 시뮬레이션에 의해 확인되어야 할 이 결과는 CD 에러가 없다는 것이 아니며, 그것들이 다른 소스들로부터 나와야 한다는 것이다.The final conclusion is that the scale of all CD errors in this paper is negligible compared to the CD error budget. This result, which should be confirmed by more experiments and additional simulations, does not mean that there are no CD errors, and that they must come from different sources.

몇몇 특정한 실시형태Some specific embodiments

본 발명은 방법 또는 그 방법을 수행하기 위해 개조된 디바이스로서 실행될 수도 있다. 발명은 위상 시프팅 방법의 마스크리스 에뮬레이팅 및 OPC 피쳐의 발생을 수행하기 위한 로직으로 임프레스된 미디어와 같은 제조 기술일 수도 있다.The invention may be practiced as a method or a device adapted to carry out the method. The invention may be a fabrication technique, such as media impressed with logic for performing maskless emulation of the phase shifting method and the generation of OPC features.

일 실시형태는 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러 및 양의 실수부를 갖는 복소수 반사 계수를 갖는 인접 미러를 갖는 공간 광 변조기 (SLM) 를 제공하는 단계를 포함하는, 리소그래피 패턴을 노광하는 방법이다. 이 방법은 상기 SLM을 부분적 간섭성 빔으로 조명하고 SLM을 구동하기 위해 벡터 데이터를 변환하는 단계를 더 포함한다. 벡터 입력 데이터는 2개를 넘는 빔 릴레잉 상태를 포함하고, 레티클과 함께 사용되는 하나 이상의 리소그래피 이미지 개선 방법에서 사용된다. 리소그래피 이미지 개선의 이들 방법은 크롬리스 위상 리소그래피 (CPL), 위상 에지 리소그래피, 교차 개구 (Levinson 타입) 리소그래피, 3톤 리소그래피 또는 고투과 감쇠 리소그래피의 그룹으로부터 선택된다. 2개를 넘는 빔 릴레잉 상태는 완전히 온 (fully on) 및 완전히 오프 (fully off) 플러스 그레이 영역 또는 위상 시프트 영역 중 하나를 포함할 수도 있으며, 이는 래스터라이징 이전의 벡터 데이터에서 설명되었다.One embodiment includes providing a spatial light modulator (SLM) having at least one mirror having a complex reflection coefficient with a negative real part and an adjacent mirror having a complex reflection coefficient with a positive real part. That's how. The method further includes illuminating the SLM with a partially coherent beam and converting vector data to drive the SLM. The vector input data includes more than two beam relaying states and is used in one or more lithographic image enhancement methods used with reticles. These methods of lithographic image enhancement are selected from the group of chromeless phase lithography (CPL), phase edge lithography, cross aperture (Levinson type) lithography, three-tone lithography or high transmission attenuation lithography. More than two beam relaying states may include either fully on and fully off plus gray areas or phase shift areas, as described in vector data prior to rasterizing.

제 1 실시형태의 다른 양태는 하나 이상의 패턴 에지들이 음의 실수부를 갖는 복소수 반사 계수를 갖도록 배향된 하나 이상의 미러를 사용해서 SLM에 의해 정의되고, 하나 이상의 리소그래피 이미지 개선 방법을 에뮬레이팅한다.Another aspect of the first embodiment is defined by the SLM using one or more mirrors oriented such that one or more pattern edges have complex reflection coefficients with negative real parts, and emulate one or more lithographic image enhancement methods.

추가 실시형태의 연속은 리소그래피 이미지 개선의 특정한 방법을 에뮬레이팅하는 단계를 포함한다. 이들 실시형태 중 하나는 공간 광 변조기를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광을 사용하는, 워크피스 상의 이미지 평면에 리소그래피 패턴을 형성하는 방법이며, 부분적 간섭성 광으로 SLM을 조명하는 단계를 포함한다. 이 방법은 위상 에지까지의 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러를 하나 이상의 인접 미러들과 대조해서 구동하는 단계 및 SLM으로부터 유한 개구를 통해 이미지 평면상에 부분적 간섭성 광을 투영하는 단계를 더 포함한다.Continuation of further embodiments includes emulating a particular method of lithographic image enhancement. One of these embodiments is a method of forming a lithographic pattern in an image plane on a workpiece using a spatial light modulator, having one or more mirrors with complex reflection coefficients with negative real parts, and using partially coherent light. Illuminating the SLM with the partially coherent light. The method includes driving a mirror having a complex reflection coefficient with negative real parts up to the phase edge in contrast to one or more adjacent mirrors and projecting partially coherent light onto the image plane through a finite opening from the SLM. It includes more.

다른 이러한 실시형태는 공간 광 변조기를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광을 사용하는 워크피스 상의 이미지 평면에 리소그래피 패턴을 형성하는 방법이며, 부분적 간섭성 광으로 SLM을 조명하는 단계를 포함한다. 이 방법은 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러가 크롬리스 위상 시프트 마스크의 행들 사이의 위상 간섭을 에뮬레이팅하도록 구동시키는 단계와 SLM으로부터 유한 개구를 통해 이미지 평면상에 부분적 간섭성 광을 투영하는 단계를 포함한다.Another such embodiment is a method of forming a lithographic pattern in an image plane on a workpiece using a spatial light modulator, having at least one mirror having a complex reflection coefficient with negative real parts, and using partial coherent light, Illuminating the SLM with coherent light. The method involves driving a mirror having a complex reflection coefficient with a negative real part to emulate phase interference between rows of a chromeless phase shift mask and projecting partially coherent light onto the image plane through a finite aperture from the SLM. Steps.

다른 실시형태는 공간 광 변조기를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광을 사용하는 워크피스 상의 이미지 평면에 리소그래피 패턴을 형성하는 방법이며, 부분적 간섭성 광으로 SLM을 조명하는 단계를 포함한다. 이 방법은 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러가 교차 개구 위상 시프팅 마스크를 에뮬레이팅하도록 구동하는 단계 및 SLM으로부터 유한 개구를 통해 이미지 평면상에 부분적 간섭성 광을 투영하는 단계를 더 포함한다.Another embodiment is a method of forming a lithographic pattern in an image plane on a workpiece using a spatial light modulator, having one or more mirrors with complex reflection coefficients with negative real parts, and using partial coherent light, partial interference Illuminating the SLM with sex light. The method further includes driving a mirror having a complex reflection coefficient with a negative real part to emulate a cross aperture phase shifting mask and projecting partial coherent light onto the image plane through a finite aperture from the SLM. do.

또 다른 실시형태는 공간 광 변조기를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광을 사용하는 워크 피스 상의 이미지 평면에 리소그래피 패턴을 형성하는 방법이며, 부분적 간섭성 광으로 SLM을 조명하는 단계를 포함한다. 이 방법은 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러가 3-톤 위상 시프팅 마스크를 에뮬레이팅하도록 구동시키는 단계 및 SLM으로부터 유한 개구를 통해 이미지 평면상에 부분적 간섭성 광을 투영하는 단계를 더 포함한다.Another embodiment is a method of forming a lithographic pattern in an image plane on a workpiece using a spatial light modulator, having at least one mirror having a complex reflection coefficient with negative real parts, and using partial coherent light, Illuminating the SLM with coherent light. The method further comprises driving a mirror having a complex reflection coefficient with a negative real part to emulate a three-tone phase shifting mask and projecting partially coherent light onto the image plane through a finite aperture from the SLM. Include.

관련 실시형태는 공간 광 변조기를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광을 사용하는 워크피스 상의 이미지 평면에 리소그래피 패턴을 형성하는 방법이며, 부분적 간섭성 광으로 SLM을 조명하는 단계를 포함한다. 이 방법은 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러가 고투과 감쇠 위상 시프팅 마스크를 에뮬레이팅하도록 구동시키는 단계 및 SLM으로부터 유한 개구를 통해 이미지 평면상에 부분적 간섭성 광 을 투영하는 단계를 포함한다.A related embodiment is a method of forming a lithographic pattern in an image plane on a workpiece using a spatial light modulator, having at least one mirror having a complex reflection coefficient with negative real parts, and using partial coherent light, and partial interference Illuminating the SLM with sex light. The method includes driving a mirror having a complex reflection coefficient with a negative real part to emulate a high transmission attenuated phase shifting mask and projecting partial coherent light onto the image plane through a finite opening from the SLM. .

개시된 다른 실시형태는 음의 실수부를 갖는 복소수 반사 컴포넌트를 갖는 하나 이상의 미러 및 양의 실수부를 갖는 복소수 반사 계수를 갖는 인접 미러를 갖는 공간 광 변조기를 제공하는 단계를 포함하는 리소그래피 패턴을 노광하는 방법이다. 이 방법은 부분적 간섭성 빔으로 SLM을 조명하는 단계 및 SLM을 구동하기 위해 벡터 입력 데이터를 변환하는 단계를 포함한다. OPC 피쳐 또는 분해를 포함하는 벡터 입력 데이터는 레티클과 함께 사용되는 리소그래피 이미지 개선을 위해 사용되었다. OPC 피쳐 또는 분해는 스캐터 바, 세리프 (serif), OPC 조그 (jog) 또는 이중 쌍극자 분해의 그룹 중 어느 것이다.Another disclosed embodiment is a method of exposing a lithographic pattern comprising providing a spatial light modulator having at least one mirror having a complex reflecting component with a negative real part and an adjacent mirror having a complex reflecting coefficient having a positive real part. . The method includes illuminating the SLM with a partially coherent beam and transforming vector input data to drive the SLM. Vector input data including OPC features or decompositions were used for lithographic image enhancement used with the reticle. The OPC feature or decomposition is any of a group of scatter bars, serifs, OPC jogs or double dipole decomposition.

관련 실시형태의 연속은 OPC 피쳐의 에뮬레이팅 또는 레티클과 함께 사용된 분해를 포함한다. 한 관련 실시형태는 공간 광 변조기를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광을 사용하는 워크피스 상의 이미지 평면에 리소그래피 패턴을 형성하는 방법이며, 부분적 간섭성 조명원으로 SLM을 조명하는 단계를 포함한다. 이 방법은 미러가 하나 이상의 서브 프린팅 해상도 스캐터 바를 에뮬레이팅하도록 구동시키는 단계 및 SLM으로부터 유한 개구를 통해 이미지 평면상에 부분적 간섭성 광을 투영하든 단계를 더 포함한다. Continuation of related embodiments includes the emulation of OPC features or decomposition used with reticles. One related embodiment is a method of forming a lithographic pattern in an image plane on a workpiece using a spatial light modulator, having at least one mirror having a complex reflection coefficient with negative real parts, and using partial coherent light, Illuminating the SLM with a coherent illumination source. The method further includes driving the mirror to emulate one or more subprinting resolution scatter bars and projecting partial coherent light onto the image plane through a finite opening from the SLM.

다른 관련된 실시형태는 공간 광 변조기를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광을 사용하는 워크피스 상의 이미지 평면상에 리소그래피 패턴을 형성하는 방법이며, 부분적 간섭성 조명원으로 SLM을 조명하는 단계를 포함한다. 이 방법은 미러가 서브 프린팅 해상도 세리프를 에뮬레이팅하도록 구동하는 단계 및 SLM으로부터 유한 개구를 통해 이미지 평면상에 부분적 간섭성 광을 투영하는 단계를 더 포함한다.Another related embodiment is a method of forming a lithographic pattern on an image plane on a workpiece using a spatial light modulator, having at least one mirror having a complex reflection coefficient with negative real parts, and using partial coherent light, Illuminating the SLM with a partially coherent illumination source. The method further includes driving the mirror to emulate a subprinting resolution serif and projecting partially coherent light onto the image plane through a finite opening from the SLM.

다른 실시형태는 공간 광 변조기를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광을 사용하는 워크피스 상의 이미지 평면에 리소그래피 패턴을 형성하는 방법이며, 부분적 간섭성 조명원으로 SLM을 조명하는 단계를 포함한다. 이 방법은 미러가 SLM의 인접 미러들 사이의 위상차에 의해 강화된 조깅 정렬 패턴을 생성하도록 구동하는 단계 및 SLM으로부터 유한 개구를 통해서 이미지 평면상에 부분적 간섭성 광을 투영하는 단계를 더 포함한다. Another embodiment is a method of forming a lithographic pattern in an image plane on a workpiece using a spatial light modulator, having one or more mirrors with complex reflection coefficients with negative real parts, and using partial coherent light, partial interference Illuminating the SLM with the castle illumination source. The method further includes driving the mirror to produce a jogging alignment pattern enhanced by the phase difference between adjacent mirrors of the SLM and projecting partial coherent light onto the image plane through a finite opening from the SLM.

또 다른 실시형태는 공간 광 변조기를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광을 사용하는 워크피스 상의 이미지 평면에 리소그래피 패턴을 형성하는 방법이며, 부분적 간섭성 조명 소스로 SLM을 조명하는 단계를 포함한다. 이 방법은 미러가 SLM의 멀티플 노광을 사용해서 이중 노광 쌍극자 분해 해상도 강화를 에뮬레이팅하도록 구동시키는 단계 및 SLM으로부터 유한 개구를 통해 이미지 평면상에 부분적 간섭성 광을 투영하는 단계를 더 포함한다.Yet another embodiment is a method of forming a lithographic pattern in an image plane on a workpiece using a spatial light modulator, having at least one mirror having a complex reflection coefficient with negative real parts, and using partial coherent light, Illuminating the SLM with a coherent illumination source. The method further includes driving the mirror to emulate double exposure dipole resolution resolution enhancement using multiple exposures of the SLM and projecting partially coherent light onto the image plane through a finite opening from the SLM.

본 발명을 상술한 바람직한 실시형태와 실시예를 참조하여 개시하였지만, 이들 실시예들은 한정의 의미가 아닌 예시를 의도한 것이라는 것을 이해할 수 있을 것이다. 컴퓨터 보조된 프로세싱은 상술한 실시형태에 포함된다. 따라서, 본 발명은 위상 시프팅 SLM을 사용해서 마스크 기반 리소그래피를 에뮬레이팅하는 방법, 위상 시프팅 SLM을 사용해서 마스크 기반 리소그래피의 에뮬레이션을 수행하기 위한 로직 및 리소스를 포함하는 시스템, 위상 시프팅 SLM을 사용해서 마스크 기반 리소그래피의 에뮬레이션을 수행하기 위한 로직과 함께 임프레스되는 미디어, 위상 시프팅 SLM을 사용해서 마스크 기반 리소그래피의 에뮬레이션을 수행하기 위한 로직과 함께 임프레스되는 데이터 스트림 또는 위상 시프팅 SLM을 사용해서 마스크 기반 리소그래피의 컴퓨터 보조된 에뮬레이션을 수행하는 컴퓨터 액세시블 서비스로 구체화될 수도 있다. 발명의 정신 및 다음의 청구의 범위 이내에서 변경 및 조합을 당업자들이 용이하게 수행할 수 있다는 것을 이해할 수 있을 것이다. Although the present invention has been described with reference to the above-described preferred embodiments and examples, it will be understood that these examples are intended to be illustrative rather than limiting. Computer-assisted processing is included in the embodiments described above. Accordingly, the present invention provides a method for emulating mask-based lithography using a phase shifting SLM, a system comprising logic and resources for performing emulation of mask-based lithography using a phase shifting SLM, a phase shifting SLM Impressed media with logic to perform emulation of mask-based lithography, masked using phase shifting SLM or data stream impressed with logic to perform emulation of mask-based lithography using phase shifting SLM It may be embodied as a computer-accessible service that performs computer-assisted emulation of based lithography. It will be understood that modifications and combinations can be readily made by those skilled in the art within the spirit of the invention and the following claims.

Claims (12)

음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러 및 양의 실수부를 갖는 복소수 반사 계수를 갖는 인접 미러를 구비하는 공간 광 변조기 (SLM) 를 제공하는 단계;Providing a spatial light modulator (SLM) having at least one mirror having a complex reflection coefficient having a negative real part and an adjacent mirror having a complex reflection coefficient having a positive real part; 상기 SLM을 부분적 간섭성 빔 (partially coherent beam) 으로 조명하는 단계;Illuminating the SLM with a partially coherent beam; 상기 SLM을 구동하기 위해 벡터 입력 데이터를 변환하는 단계를 더 포함하고, Converting vector input data to drive the SLM, 상기 벡터 입력 데이터는, The vector input data is, 크롬리스 위상 리소그래피 (CPL), Chromeless phase lithography (CPL), 위상 에지 리소그래피,Phase edge lithography, 교차 개구 (레빈슨 타입) 리소그래피, Cross-opening (levinson type) lithography, 3-톤 리소그래피, 또는3-tone lithography, or 고투과 감쇠 리소그래피의 그룹 중, 레티클과 함께 사용되는 하나 이상의 리소그래피 이미지 개선 방법에서 사용되는, 리소그래피 패턴 노광 방법.A method of lithographic pattern exposure in a group of high transmission attenuated lithography, used in one or more lithographic image enhancement methods used with reticles. 제 1 항에 있어서,The method of claim 1, 상기 하나 이상의 패턴 에지들은 음의 실수부를 갖는 복소수 반사 계수를 갖도록 배향된 하나 이상의 미러를 사용해서 SLM에 의해 정의되고, 하나 이상의 리소 그래피 이미지 개선 방법을 에뮬레이팅하는, 리소그래피 패턴 노광 방법.Wherein the one or more pattern edges are defined by an SLM using one or more mirrors oriented to have complex reflection coefficients with negative real parts, and emulate one or more lithographic image enhancement methods. 공간 광 변조기 (SLM) 를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 구비하며, 부분적 간섭성 광원을 사용하여, 워크피스 상의 이미지 평면상에 리소그래피 패턴을 형성하는 방법으로서, A method of forming a lithographic pattern on an image plane on a workpiece using a spatial light modulator (SLM), having at least one mirror having a complex reflection coefficient with negative real parts, and using a partially coherent light source, 부분적 간섭성 광으로 SLM을 조명하는 단계;Illuminating the SLM with the partially coherent light; 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러를 하나 이상의 인접 미러들과 대조해서 위상 에지 까지 구동하는 단계; 및Driving a mirror having a complex reflection coefficient with a negative real part to one or more adjacent mirrors to a phase edge; And 상기 SLM으로부터 부분적 간섭성 광을 유한 개구를 통해 이미지 평면상으로 투영하는 단계를 포함하는, 리소그래피 패턴 형성 방법.And projecting partially coherent light from the SLM through an finite aperture onto an image plane. 공간 광 변조기 (SLM) 를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광원을 사용하여, 워크피스 상의 이미지 평면상에 리소그래피 패턴을 형성하는 방법으로서,A method of forming a lithographic pattern on an image plane on a workpiece using a spatial light modulator (SLM), having at least one mirror having a complex reflection coefficient with negative real parts, and using a partially coherent light source, 부분적 간섭성 광으로 SLM을 조명하는 단계;Illuminating the SLM with the partially coherent light; 크롬리스 위상 시프트 마스크의 행들 사이의 상 간섭을 에뮬레이팅하도록 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러를 구동하는 단계; 및Driving a mirror having a complex reflection coefficient with a negative real part to emulate phase interference between rows of a chromeless phase shift mask; And 상기 SLM으로부터 부분적 간섭성 광을 유한 개구를 통해 이미지 평면상으로 투영하는 단계를 포함하는, 리소그래피 패턴 형성 방법.And projecting partially coherent light from the SLM through an finite aperture onto an image plane. 공간 광 변조기 (SLM) 를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 구비하며, 부분적 간섭성 광원을 사용하여 워크피스 상의 이미지 평면상에 리소그래피 패턴을 형성하는 방법으로서,A method of forming a lithographic pattern on an image plane on a workpiece using a spatial light modulator (SLM), having at least one mirror having a complex reflection coefficient with a negative real part, and using a partially coherent light source, 부분적 간섭성 광으로 SLM을 조명하는 단계;Illuminating the SLM with the partially coherent light; 교차 개구 위상 시프팅 마스크를 에뮬레이팅하도록 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러를 구동하는 단계; 및Driving a mirror having a complex reflection coefficient with a negative real part to emulate a cross aperture phase shifting mask; And 상기 SLM으로부터 부분적 간섭성 광을 유한 개구를 통해 이미지 평면상에 투영하는 단계를 포함하는, 리소그래피 패턴 형성 방법.And projecting partially coherent light from the SLM through a finite aperture onto an image plane. 공간 광 변조기 (SLM) 를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 가지며, 부분적 간섭성 광원을 사용하여, 워크피스 상의 이미지 평면상에 리소그래피 패턴을 형성하는 방법으로서,A method of forming a lithographic pattern on an image plane on a workpiece using a spatial light modulator (SLM), having at least one mirror having a complex reflection coefficient with negative real parts, and using a partially coherent light source, 부분적 간섭성 광으로 SLM을 조명하는 단계;Illuminating the SLM with the partially coherent light; 3-톤 위상 시프팅 마스크를 에뮬레이팅하도록 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러를 구동하는 단계; 및Driving a mirror having a complex reflection coefficient with a negative real part to emulate a three-tone phase shifting mask; And 상기 SLM으로부터 부분적 간섭성 광을 유한 개구를 통해 이미지 평면상에 투영하는 단계를 포함하는, 리소그래피 패턴 형성 방법.And projecting partially coherent light from the SLM through a finite aperture onto an image plane. 공간 광 변조기 (SLM) 를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 구비하며, 부분적 간섭성 광원을 사용하여 워크피스 상의 이미지 평면상에 리소그래피 패턴을 형성하는 방법으로서,A method of forming a lithographic pattern on an image plane on a workpiece using a spatial light modulator (SLM), having at least one mirror having a complex reflection coefficient with a negative real part, and using a partially coherent light source, 부분적 간섭성 광으로 SLM을 조명하는 단계;Illuminating the SLM with the partially coherent light; 고투과 감쇠 위상 시프팅 마스크를 에뮬레이팅하도록 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러를 구동하는 단계; 및Driving a mirror having a complex reflection coefficient with a negative real part to emulate a high transmission attenuated phase shifting mask; And 상기 SLM으로부터 부분적 간섭성 광을 유한 개구를 통해 이미지 평면상으로 투영하는 단계를 포함하는, 리소그래피 패턴 형성 방법. And projecting partially coherent light from the SLM through an finite aperture onto an image plane. 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러 및 양의 실수부를 갖는 복소수 반사 계수를 갖는 인접 미러를 구비하는 공간 광 변조기 (SLM) 를 제공하는 단계;Providing a spatial light modulator (SLM) having at least one mirror having a complex reflection coefficient having a negative real part and an adjacent mirror having a complex reflection coefficient having a positive real part; 상기 SLM을 부분적 간섭성 빔으로 조명하는 단계;Illuminating the SLM with a partially coherent beam; 상기 SLM을 구동하기 위해 벡터 입력 데이터를 변환하는 단계를 포함하며,Converting vector input data to drive the SLM, 상기 벡터 입력 데이터는 The vector input data is 스캐터바,Scatter Bar, 세리프,Serif, OPC 조그, 또는OPC jog, or 이중 쌍극자 분해의 그룹 중, 레티클과 함께 사용된 리소그래피 이미지 개선 방법에서 사용되는, OPC 피쳐 또는 분해를 포함하는, 리소그래피 패턴 노광 방법.A method of lithographic pattern exposure, comprising OPC features or decomposition, used in a lithographic image enhancement method used with a reticle, among a group of dipole decomposition. 공간 광 변조기 (SLM) 를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 구비하며, 부분적 간섭성 광원을 사용하여 워크피스 상의 이미지 평면상에 리소그래피 패턴을 형성하는 방법으로서,A method of forming a lithographic pattern on an image plane on a workpiece using a spatial light modulator (SLM), having at least one mirror having a complex reflection coefficient with a negative real part, and using a partially coherent light source, 부분적 간섭성 광으로 SLM을 조명하는 단계;Illuminating the SLM with the partially coherent light; 하나 이상의 서브 프린팅 해상도 스캐터바를 에뮬레이팅하도록 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러를 구동하는 단계; 및Driving a mirror having a complex reflection coefficient with a negative real part to emulate one or more subprinting resolution scatterbars; And 상기 SLM으로부터 부분적 간섭성 광을 유한 개구를 통해 이미지 평면상에 투영하는 단계를 포함하는, 리소그래피 패턴 형성 방법.And projecting partially coherent light from the SLM through a finite aperture onto an image plane. 공간 광 변조기 (SLM) 를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 구비하며, 부분적 간섭성 광원을 사용하여 워크피스 상의 이미지 평면상에 리소그래피 패턴을 형성하는 방법으로서,A method of forming a lithographic pattern on an image plane on a workpiece using a spatial light modulator (SLM), having at least one mirror having a complex reflection coefficient with a negative real part, and using a partially coherent light source, 부분적 간섭성 광으로 SLM을 조명하는 단계;Illuminating the SLM with the partially coherent light; 하나 이상의 서브 프린팅 해상도 세리프를 에뮬레이팅하도록 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러를 구동하는 단계; 및Driving a mirror having a complex reflection coefficient with a negative real part to emulate one or more subprinting resolution serifs; And 상기 SLM으로부터 부분적 간섭성 광을 유한 개구를 통해 이미지 평면상에 투영하는 단계를 포함하는, 리소그래피 패턴 형성 방법.And projecting partially coherent light from the SLM through a finite aperture onto an image plane. 공간 광 변조기 (SLM) 를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 구비하며, 부분적 간섭성 광원을 사용하여 워크피스 상의 이미지 평면상에 리소그래피 패턴을 형성하는 방법으로서,A method of forming a lithographic pattern on an image plane on a workpiece using a spatial light modulator (SLM), having at least one mirror having a complex reflection coefficient with a negative real part, and using a partially coherent light source, 부분적 간섭성 광으로 SLM을 조명하는 단계;Illuminating the SLM with the partially coherent light; 상기 SLM의 인접 미러들 사이의 위상차에 의해 강화된 라인 패턴에서의 조그를 생성하도록 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러를 구동하는 단계; 및Driving a mirror having a complex reflection coefficient with a negative real part to produce a jog in a line pattern enhanced by a phase difference between adjacent mirrors of the SLM; And 상기 SLM으로부터 부분적 간섭성 광을 유한 개구를 통해 이미지 평면상에 투영하는 단계를 포함하는, 리소그래피 패턴 형성 방법.And projecting partially coherent light from the SLM through a finite aperture onto an image plane. 공간 광 변조기 (SLM) 를 사용하고, 음의 실수부를 갖는 복소수 반사 계수를 갖는 하나 이상의 미러를 구비하며, 부분적 간섭성 광원을 사용하여 워크피스 상의 이미지 평면상에 리소그래피 패턴을 형성하는 방법으로서,A method of forming a lithographic pattern on an image plane on a workpiece using a spatial light modulator (SLM), having at least one mirror having a complex reflection coefficient with a negative real part, and using a partially coherent light source, 부분적 간섭성 광으로 SLM을 조명하는 단계;Illuminating the SLM with the partially coherent light; 상기 SLM의 멀티플 노광을 사용해서 이중 노광 쌍극자 분해 해상도 강화를 에뮬레이팅하도록 음의 실수부를 갖는 복소수 반사 계수를 갖는 미러를 구동하는 단계; 및Driving a mirror having a complex reflection coefficient with a negative real part to emulate double exposure dipole resolution resolution enhancement using multiple exposures of the SLM; And 상기 SLM으로부터 부분적 간섭성 광을 유한 개구를 통해 이미지 평면상에 투영하는 단계를 포함하는, 리소그래피 패턴 형성 방법.And projecting partially coherent light from the SLM through a finite aperture onto an image plane.
KR1020067016674A 2004-02-25 2005-02-24 Methods for exposing patterns and emulating masks in optical maskless lithography KR100864391B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020067016674A KR100864391B1 (en) 2004-02-25 2005-02-24 Methods for exposing patterns and emulating masks in optical maskless lithography

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US60/547,614 2004-02-25
US60/552,598 2004-03-12
KR1020067016674A KR100864391B1 (en) 2004-02-25 2005-02-24 Methods for exposing patterns and emulating masks in optical maskless lithography

Publications (2)

Publication Number Publication Date
KR20070020410A true KR20070020410A (en) 2007-02-21
KR100864391B1 KR100864391B1 (en) 2008-10-20

Family

ID=41649165

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067016674A KR100864391B1 (en) 2004-02-25 2005-02-24 Methods for exposing patterns and emulating masks in optical maskless lithography

Country Status (1)

Country Link
KR (1) KR100864391B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120130977A (en) * 2011-05-24 2012-12-04 삼성디스플레이 주식회사 Photoresist pattern and method for the same
KR101374954B1 (en) * 2007-07-10 2014-03-14 엘지전자 주식회사 Maskless exposure apparatus and method, and method for manufacturing flat display panel
KR101720595B1 (en) * 2016-07-27 2017-03-29 주식회사 리텍 Method and apparatus for producing raster image useable in exposure apparatus based on dmd and recording medium for recording program for executing the method

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0568801A (en) * 1991-09-10 1993-03-23 Chiyoda Corp Separation system for nonideal multicomponent liquid mixtures

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101374954B1 (en) * 2007-07-10 2014-03-14 엘지전자 주식회사 Maskless exposure apparatus and method, and method for manufacturing flat display panel
KR20120130977A (en) * 2011-05-24 2012-12-04 삼성디스플레이 주식회사 Photoresist pattern and method for the same
KR101720595B1 (en) * 2016-07-27 2017-03-29 주식회사 리텍 Method and apparatus for producing raster image useable in exposure apparatus based on dmd and recording medium for recording program for executing the method

Also Published As

Publication number Publication date
KR100864391B1 (en) 2008-10-20

Similar Documents

Publication Publication Date Title
US7618751B2 (en) RET for optical maskless lithography
US20060068334A1 (en) Phase-shifting optical maskless lithography enabling asics at the 65 and 45 NM nodes
KR100734597B1 (en) Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
KR100562193B1 (en) Method For Improved Lithographic Patterning Utilizing Multiple Coherency Optimized Exposures And High Transmission Attenuated PSM
US6833854B1 (en) Method for high precision printing of patterns
JP4495898B2 (en) Improved pattern generator
US7354169B2 (en) Pattern generator using a dual phase step element and method of using same
JP2006527418A (en) High-precision pattern printing method
Martinsson et al. Current status of optical maskless lithography
Sandstrom et al. OML: optical maskless lithography for economic design prototyping and small-volume production
US8937705B2 (en) Lithographic apparatus and device manufacturing method with radiation beam inspection using moveable reflecting device
KR100563776B1 (en) A Method And Apparatus For Defining Mask Patterns Utilizing A Spatial Frequency Doubling Technique
KR100864391B1 (en) Methods for exposing patterns and emulating masks in optical maskless lithography
US7391499B2 (en) Lithographic apparatus and device manufacturing method
Ljungblad et al. New architecture for laser pattern generators for 130 nm and beyond
Ljungblad et al. A high-end mask writer using a spatial light modulator
JP4350096B2 (en) Method and system for interpolating pixel gray tones with constraints to rasterize patterns
Sandstrom et al. RET for optical maskless lithography
Luberek et al. Controlling CD variations in a massively parallel pattern generator
WO2006029858A1 (en) Phase-shifting optical maskless lithography enabling asics at the 65 and 45 nm nodes
US7274502B2 (en) System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks
NL2007918A (en) Method of controlling a patterning device in a lithographic apparatus, device manufacturing method and lithographic apparatus.

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120326

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130827

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140919

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170906

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180906

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 12