KR20060119363A - Vacuum forming equipment for semiconductor manufacturing equipment - Google Patents

Vacuum forming equipment for semiconductor manufacturing equipment Download PDF

Info

Publication number
KR20060119363A
KR20060119363A KR1020050042309A KR20050042309A KR20060119363A KR 20060119363 A KR20060119363 A KR 20060119363A KR 1020050042309 A KR1020050042309 A KR 1020050042309A KR 20050042309 A KR20050042309 A KR 20050042309A KR 20060119363 A KR20060119363 A KR 20060119363A
Authority
KR
South Korea
Prior art keywords
vacuum
pump
process chamber
dry pump
equipment
Prior art date
Application number
KR1020050042309A
Other languages
Korean (ko)
Inventor
권기표
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050042309A priority Critical patent/KR20060119363A/en
Publication of KR20060119363A publication Critical patent/KR20060119363A/en

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Compressors, Vaccum Pumps And Other Relevant Systems (AREA)

Abstract

A vacuum facility of semiconductor manufacturing equipment is provided to prevent the contamination of a wafer by restraining the flow of particles into a chamber due to an abrupt off state of a dry pump using a gate valve. A vacuum facility of semiconductor manufacturing equipment is connected to a process chamber(10). The vacuum facility includes a gate valve. The gate valve(170) is installed on a vacuum line(70) between a turbo pump(30) and a dry pump(50). At this time, the turbo pump is used for supplying process gas into the process chamber and the dry pump is used for exhausting the process gas from the process chamber. The gate valve is used for closing the vacuum line in case of an abrupt off state of the dry pump.

Description

반도체 제조설비용 진공설비 {VACUUM FORMING EQUIPMENT FOR SEMICONDUCTOR MANUFACTURING EQUIPMENT}Vacuum Equipment for Semiconductor Manufacturing Equipment {VACUUM FORMING EQUIPMENT FOR SEMICONDUCTOR MANUFACTURING EQUIPMENT}

도 1은 종래의 반도체 제조설비용 진공설비의 구성을 개략적으로 도시한 도면1 is a view schematically showing the configuration of a conventional vacuum equipment for semiconductor manufacturing equipment

도 2는 종래 기술에 의한 진공설비의 펌프 시스템에서 드라이 펌프가 오프된 경우의 공정 순서를 나타낸 순서도 Figure 2 is a flow chart showing the process sequence when the dry pump is turned off in the pump system of the vacuum installation according to the prior art

도 3은 본 발명에 의한 반도체 제조설비용 진공설비의 구성을 개략적으로 도시한 도면Figure 3 is a view schematically showing the configuration of a vacuum facility for semiconductor manufacturing equipment according to the present invention

도 4는 본 발명에 의한 진공설비의 펌프 시스템에서 드라이 펌프가 오프된 경우의 공정 순서를 나타낸 순서도 Figure 4 is a flow chart showing the process sequence when the dry pump is turned off in the pump system of the vacuum installation according to the present invention

<도면의 주요부분에 대한 부호의 설명>            <Description of the symbols for the main parts of the drawings>

10 : 공정 챔버 30 : 터보 펌프10 process chamber 30 turbo pump

50 : 드라이펌프 70 : 진공라인50: dry pump 70: vacuum line

90 : 트로틀 밸브 110a, 110b : 제1, 제2 아이솔레이션밸브90: throttle valve 110a, 110b: first and second isolation valve

130 : 배기라인 150 : 배기밸브130: exhaust line 150: exhaust valve

170 : 게이트 밸브170: gate valve

본 발명은 반도체 제조설비용 진공설비에 관한 것으로서, 드라이펌프의 오프에 의한 유체의 역류를 방지할 수 있는 게이트 밸브를 장착한 진공설비에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a vacuum facility for semiconductor manufacturing equipment, and more particularly to a vacuum facility equipped with a gate valve capable of preventing backflow of fluid by turning off a dry pump.

정보 통신 분야의 급속한 발달과 컴퓨터와 같은 정보 매체의 광범위한 보급에 따라 반도체 장치도 비약적으로 발전하고 있다. 그 기능적인 면에 있어서, 상기 반도체 장치는 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구된다. 이에 따라, 상기 반도체 장치의 집적도, 신뢰도 및 응답 속도 등을 향상시키는 방향으로 제조 기술이 발전되고 있다.BACKGROUND With the rapid development of the information communication field and the wide spread of information media such as computers, semiconductor devices are also rapidly developing. In terms of its function, the semiconductor device is required to operate at a high speed and to have a large storage capacity. Accordingly, manufacturing techniques have been developed to improve the degree of integration, reliability, response speed, and the like of the semiconductor device.

일반적으로 반도체 장치는 웨이퍼 상에 사진, 식각, 확산, 화학기상증착, 이온주입, 금속증착 등의 공정을 선택적이고도 반복적으로 수행하는 일련의 과정을 통해 이루어진다. 이들 각 공정을 수행하는 반도체 장치 제조설비에는 제조되는 반도체 장치의 제품 성능과 제조수율 향상을 위하여 파티클 등의 불순물로부터 웨이퍼를 격리시킬 것과 또 공정이 수행되는 공간에 대한 온도, 압력 등의 공정 조건을 요구하고 있다. In general, a semiconductor device is a series of processes that selectively and repeatedly perform a process such as photographing, etching, diffusion, chemical vapor deposition, ion implantation, metal deposition on a wafer. The semiconductor device manufacturing facilities that perform each of these processes insulate the wafer from impurities such as particles to improve product performance and manufacturing yield of the semiconductor device to be manufactured, and process conditions such as temperature and pressure for the space where the process is performed. I'm asking.

반도체 장치를 제조하기 위한 반도체 기판의 가공 공정들은 다양한 공정 가 스들을 사용하고, 반도체 기판이 공기와 반응하지 않도록 하기 위해 대기압에 비해 매우 낮은 진공 상태에서 수행된다. 상기 가공 공정들이 진행되는 공정 챔버의 내부를 진공 상태로 만들기 위해 상기 공정 챔버와 연결되는 다양한 방식의 진공설비가 사용되고 있다. 그런데, 상기 진공설비는 진공을 제공하기 위해서만 사용되지는 않는다. 상기 진공설비는 상기 공정 가스들에 의해 공정이 진행되는 도중에 발생되는 미반응 가스들과 반응 부산물을 배출하고, 공정이 종료된 후 상기 공정 가스 공급 라인 내부에 잔류하는 공정 가스를 배출한다. 예를 들면, 반도체 기판 상에 피가공막을 형성하는 증착 공정이나, 상기 증착 공정 이후에 상기 피가공막을 식각하는 식각 공정에는 다양한 종류의 공정 가스들이 사용된다. 상기 공정들이 시작될 때 공정 챔버로 공정 가스들이 투입되면, 상기 공정 챔버의 내부는 일시적으로 압력이 상승된다. 따라서 상승된 상기 압력을 공정 조건으로 유지하기 위해 공정이 진행되는 동안 계속해서 진공설비의 펌프 시스템이 가동되어야 하고, 공정이 진행되는 동안 발생하는 미반응 가스 및 반응 부산물의 배출도 진공설비의 펌프 시스템에 의해 이루어진다. 따라서, 공정 조건의 정밀한 제어를 위한 연구가 활발히 진행되고 있다.The processing of semiconductor substrates for the manufacture of semiconductor devices uses a variety of process gases and is carried out in a very low vacuum compared to atmospheric pressure to ensure that the semiconductor substrate does not react with air. Various types of vacuum equipment connected to the process chamber are used to vacuum the inside of the process chamber through which the processing processes are performed. However, the vacuum facility is not only used to provide a vacuum. The vacuum facility discharges unreacted gases and reaction by-products generated during the process by the process gases, and discharges the process gas remaining in the process gas supply line after the process is completed. For example, various kinds of process gases are used in a deposition process for forming a process film on a semiconductor substrate or an etching process for etching the process film after the deposition process. When process gases are introduced into the process chamber when the processes are started, the interior of the process chamber is temporarily raised. Therefore, the pump system of the vacuum system must be operated continuously during the process to maintain the elevated pressure at the process condition, and the discharge of unreacted gas and reaction by-products generated during the process is also performed in the pump system of the vacuum system. Is made by. Therefore, research for the precise control of the process conditions is actively progressing.

상기 진공설비는 공정 장치들에 따라 다양한 방식이 있으며, 진공 라인 등에는 다양한 밸브들이 장착되어 공정 조건을 제어한다. 예를 들어, 고진공을 달성할 수 있는 터보 펌프를 사용하는 경우, 상기 터보 펌프는 개폐 정도를 조절할 수 있는 트로틀 밸브(Throttle Valve)와 온-오프(On-Off) 동작에 의해 개폐를 수행하는 게이트 밸브(Gate Valve) 또는 고진공 밸브(Hi-Vacuum Valve) 등과 함께 진공 라인 에 연결된다.The vacuum equipment has a variety of methods according to the process equipment, a variety of valves are mounted in the vacuum line, etc. to control the process conditions. For example, when using a turbo pump capable of achieving a high vacuum, the turbo pump is a throttle valve that can control the opening and closing degree and the gate for opening and closing by the on-off operation It is connected to the vacuum line together with a gate valve or a high-vacuum valve.

도 1은 종래의 반도체 제조설비용 진공설비의 구성을 개략적으로 도시한 도면이다.1 is a view schematically showing the configuration of a conventional vacuum equipment for semiconductor manufacturing equipment.

도 1에 도시된 바와 같이, 공정 챔버(1)의 내부를 10 -3 토르(Torr)정도의 진공도를 형성할 수 있는 터보 펌프(3) 및 상기 터보 펌프(3)의 진공펌핑을 조력하여 공정 챔버(1)의 내부를 10 -6 토르(Torr)정도의 진공도를 형성할 수 있는 드라이펌프(5)가 진공라인(7)에 설치된다. 상기 드라이펌프(5)는 공정 챔버에서 발생한 잔류가스를 배출하는 역할을 수행한다.As shown in FIG. 1, the process is performed by assisting the vacuum pump 3 and the vacuum pump of the turbo pump 3, which can form a vacuum degree of about 10 −3 Torr in the interior of the process chamber 1. A dry pump 5 capable of forming a vacuum degree of about 10 −6 Torr in the chamber 1 is installed in the vacuum line 7. The dry pump 5 serves to discharge residual gas generated in the process chamber.

상기 진공라인(7)에는 그 개폐정도를 조절함으로써 상기 처리챔버(1)의 내부 압력을 소정의 압력으로 조절하는 트로틀 밸브(9)와, 설비를 점검하거나 보수하는 등 필요에 의해 공정 챔버(1)를 상기 터보펌프(3) 및 드라이펌프(5)로부터 격리시키도록 상기 진공라인(7)을 개폐시키는 제1, 제2 아이솔레이션밸브(11a, 11b)가 구성된다.The vacuum line 7 has a throttle valve 9 for adjusting the opening and closing degree of the processing chamber 1 to a predetermined pressure by adjusting the opening and closing degree thereof, and the process chamber 1 as necessary for checking or repairing equipment. ) Is configured to open and close the vacuum line 7 so as to isolate the vacuum pump 3 and the dry pump 5 from the first and second isolation valves 11a and 11b.

또한, 상기 공정 챔버(1)의 일측에는 배기라인(13) 및 배기밸브(15)가 설치되어 설비정비 등을 위해 공정 챔버(1)의 내부를 오픈시켜야 할 경우 공정 챔버(1) 내부를 대기압 상태로 유지시키도록 한다.In addition, an exhaust line 13 and an exhaust valve 15 are installed at one side of the process chamber 1, and when the inside of the process chamber 1 needs to be opened for facility maintenance, the inside of the process chamber 1 is atmospheric pressure. Keep it in the state.

여기서 공정 진행 중에 상기 드라이펌프(5)가 고장에 의하여 갑자기 오프(off)된 경우에는 진공설비에 의한 펌핑(pumping)이 되지 않게 된다. 따라서 그 순간 유체의 흐름이 상기 진공라인(7), 아이솔레이션밸브(11a, 11b), 터보펌프(3), 트로틀 밸브(9)를 타고 역류하고 그에 따라 파티클(particle)이 챔버 내부로 유입 되어 공정 챔버 내에 위치하는 웨이퍼를 오염시킬 수 있다. 또한 챔버의 압력 상승으로 인해 비정기적인 예방보전(PM : Preventive Maintenance)이 필요하게 된다. Here, if the dry pump 5 is suddenly turned off due to a failure during the process, pumping by the vacuum facility is not performed. Therefore, the flow of the fluid flows back through the vacuum line (7), the isolation valve (11a, 11b), the turbo pump (3), the throttle valve (9) and particles are introduced into the chamber according to the process It may contaminate the wafer located in the chamber. In addition, due to the pressure increase in the chamber, the occasional preventive maintenance (PM) is required.

따라서 상기 드라이펌프(5)가 오프(off)된 경우에는 진공설비 자체에서 시그널(signal)을 받아 아이솔레이션밸브(11), 터보펌프(3), 트로틀 밸브(9)가 순차적으로 닫히게 된다. 따라서 공정 챔버 내부의 압력이 갑자기 상승하는 것을 방지한다. Accordingly, when the dry pump 5 is turned off, the isolation valve 11, the turbo pump 3, and the throttle valve 9 are sequentially closed by receiving a signal from the vacuum facility itself. Therefore, the pressure inside the process chamber is prevented from rising suddenly.

도 2는 종래 기술에 의한 진공설비의 펌프 시스템에서 드라이 펌프가 오프된 경우의 공정 순서를 나타낸 순서도이다. Figure 2 is a flow chart showing the process sequence when the dry pump is turned off in the pump system of the vacuum installation according to the prior art.

도 2에 도시된 바와 같이, 드라이 펌프가 오프(S1)되면, 아이솔레이션 밸브가 닫히고(S2), 터보 펌프가 오프된다(S3). 그리고 트로틀 밸브가 닫힌 후에(S4) 공정 챔버 내의 압력과 웨이퍼 상태를 확인(S5)하고, 드라이 펌프를 온(On)으로 전환(S6)하고, 챔버의 PM을 실시(S7)한 후에 설비를 가동(S8)시킨다.As shown in FIG. 2, when the dry pump is turned off (S1), the isolation valve is closed (S2) and the turbo pump is turned off (S3). After the throttle valve is closed (S4), the pressure in the process chamber and the wafer state are checked (S5), the dry pump is turned on (S6), the PM is performed in the chamber (S7), and the equipment is operated. (S8)

그러나 상기 드라이펌프(5)의 갑작스런 오프(off)에 의한 유체의 역류는 급격하고 빠르게 이루어지기 때문에, 파티클(particle)이 챔버 내부로 유입됨에 따른 웨이퍼의 오염을 완벽하게 방지하지 못하고 챔버 내의 압력상승을 완벽하게 방지하지 못하게 되는 문제점이 있다. 따라서 신뢰성이 저하된 반도체 장치를 제조하는 한 원인이 되고 있다.However, since the backflow of the fluid due to the sudden off of the dry pump 5 is rapid and rapid, the pressure rise in the chamber cannot be completely prevented from contaminating the wafer as particles are introduced into the chamber. There is a problem that can not be completely prevented. Therefore, it is a cause for manufacturing the semiconductor device whose reliability fell.

따라서, 본 발명의 목적은 상기한 종래의 문제점을 해결할 수 있는 반도체 제조 장비를 제공함에 있다. Accordingly, an object of the present invention is to provide a semiconductor manufacturing equipment that can solve the above problems.

본 발명의 다른 목적은 드라이펌프가 고장에 의하여 갑자기 오프된 경우에 발생하는 유체의 역류에 의한 문제점을 해결할 수 있는 반도체 제조 장비를 제공함에 있다. Another object of the present invention to provide a semiconductor manufacturing equipment that can solve the problem caused by the backflow of the fluid generated when the dry pump is suddenly turned off by a failure.

본 발명의 또 다른 목적은 드라이펌프가 고장에 의하여 갑자기 오프된 경우에 발생하는 유체의 역류를 방지 할 수 있는 진공설비를 제공함에 있다. Still another object of the present invention is to provide a vacuum device capable of preventing a backflow of fluid generated when a dry pump is suddenly turned off due to a failure.

본 발명의 또 다른 목적은 드라이펌프가 고장에 의하여 갑자기 오프된 경우에 발생하는 유체의 역류를 방지 할 수 있는 진공설비의 펌핑 시스템을 제공함에 있다. Still another object of the present invention is to provide a pumping system of a vacuum facility that can prevent a backflow of fluid generated when a dry pump is suddenly turned off due to a failure.

상기한 목적들을 달성하기 위하여, 본 발명의 일실시예에 의한 반도체 제조 공정을 진행하는 공정 챔버에 연결된 진공설비는 상기 공정 챔버로부터 공정을 수행한 공정 가스를 흡입하여 배출하는 진공라인의 터보 펌프 및 드라이펌프 사이에는 상기 드라이펌프의 오프 시그널을 받아 상기 진공라인을 닫는 역할을 수행하는 게이트 밸브가 형성되어 있는 것을 특징으로 한다. In order to achieve the above objects, the vacuum equipment connected to the process chamber for performing a semiconductor manufacturing process according to an embodiment of the present invention is a turbo pump of the vacuum line for sucking and discharging the process gas performed from the process chamber and A gate valve may be formed between the dry pumps to close the vacuum line by receiving an off signal of the dry pump.

본 발명의 일실시예에 따른 진공설비의 펌프 시스템은 드라이 펌프가 오프 되는 단계, 상기 드라이 펌프가 오프 된 후 오프 시그널을 받아 게이트 밸브가 닫히는 단계, 상기 게이트 밸브가 닫힌 후에 아이솔레이션 밸브가 닫히는 단계, 상기 아이솔레이션 밸브가 닫힌 후에 터보 펌프가 오프 되는 단계, 상기 터보 펌프가 오프 된 후에 트로틀 밸브가 닫히는 단계, 상기 트로틀 밸브가 닫힌 후에 공정 챔버 내의 압력과 웨이퍼 상태를 확인하는 단계, 상기 공정 챔버 내의 압력과 웨이퍼 상 태를 확인한 후에 드라이 펌프를 온으로 전환하는 단계 및 상기 드라이 펌프를 온으로 전환한 후에 해당 설비를 가동시키는 단계를 포함하여 구성됨을 특징으로 한다.In the pump system of the vacuum installation according to an embodiment of the present invention, the step of the dry pump is turned off, the gate pump is closed by receiving an off signal after the dry pump is turned off, the isolation valve is closed after the gate valve is closed, The turbo pump is turned off after the isolation valve is closed, the throttle valve is closed after the turbo pump is turned off, checking the pressure and wafer state in the process chamber after the throttle valve is closed, the pressure in the process chamber and It characterized in that it comprises a step of turning on the dry pump after confirming the wafer state and operating the facility after turning on the dry pump.

이하 첨부한 도면들을 참조로 본 발명의 바람직한 실시예가 상세히 설명될 것이다. 실시예에서의 설명들은 본 발명이 속하는 기술분야의 통상의 지식을 가지는 자에게 본 발명의 보다 철저한 이해를 돕기 위한 의도 이외에는 다른 의도 없이 예를 들어 도시되고 한정된 것에 불과하므로, 그러한 설명들이 본 발명의 범위를 제한하는 용도로 사용되어서는 아니 됨은 명백하다. Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. The descriptions in the embodiments are only shown and limited by way of example and without intention other than the intention to help those of ordinary skill in the art to more thoroughly understand the present invention, such descriptions of the present invention Obviously it should not be used to limit the scope.

도 3은 본 발명에 의한 반도체 제조설비용 진공설비의 구성을 개략적으로 도시한 도면이다.3 is a view schematically showing the configuration of a vacuum facility for semiconductor manufacturing equipment according to the present invention.

도 3에 도시된 바와 같이, 공정 챔버(10)의 내부를 10 -3 토르(Torr)정도의 진공도를 형성할 수 있는 터보 펌프(30) 및 상기 터보 펌프(30)의 진공펌핑을 조력하여 공정 챔버(10)의 내부를 10 -6 토르(Torr)정도의 진공도를 형성할 수 있는 드라이펌프(50)가 진공라인(70)에 설치된다. 상기 드라이펌프(50)는 공정 챔버에서 발생한 잔류가스를 배출하는 역할을 수행한다.As shown in FIG. 3, the process is performed by assisting the vacuum pump of the turbo pump 30 and the turbo pump 30, which may form a vacuum degree of about 10 −3 Torr in the interior of the process chamber 10. A dry pump 50 capable of forming a vacuum degree of about 10 −6 Torr in the chamber 10 is installed in the vacuum line 70. The dry pump 50 serves to discharge residual gas generated in the process chamber.

상기 진공라인(70)에는 그 개폐정도를 조절함으로써 상기 처리챔버(10)의 내부 압력을 소정의 압력으로 조절하는 트로틀 밸브(90)와, 설비를 점검하거나 보수하는 등 필요에 의해 공정 챔버(10)를 상기 터보펌프(30) 및 드라이펌프(50)로부터 격리시키도록 상기 진공라인(70)을 개폐시키는 제1, 제2 아이솔레이션밸브(110a, 110b)가 구성된다. 그리고 상기 드라이펌프(50)와 상기 터보 펌프(30)를 연결하는 진공라인 상에 상기 드라이펌프(50)의 갑작스런 오프 시 시그널(signal)을 받아 온-오프(On-Off) 동작에 의해 개폐를 수행하는 게이트 밸브(Gate Valve, 170)가 형성되어 있다. The vacuum line 70 has a throttle valve 90 for controlling the internal pressure of the processing chamber 10 to a predetermined pressure by adjusting the opening and closing degree thereof, and a process chamber 10 as necessary for checking or repairing equipment. The first and second isolation valves 110a and 110b are configured to open and close the vacuum line 70 to isolate the vacuum pump 30 from the turbo pump 30 and the dry pump 50. On the vacuum line connecting the dry pump 50 and the turbo pump 30, a signal is suddenly turned off when the dry pump 50 is turned off and then opened and closed by an on-off operation. A gate valve 170 is formed.

또한, 상기 공정 챔버(10)의 일측에는 배기라인(130) 및 배기밸브(150)가 설치되어 설비정비 등을 위해 공정 챔버(10)의 내부를 오픈시켜야 할 경우 공정 챔버(10) 내부를 대기압 상태로 유지시키도록 한다.In addition, when one side of the process chamber 10 is provided with an exhaust line 130 and an exhaust valve 150 to open the interior of the process chamber 10 for facility maintenance, etc., the inside of the process chamber 10 is atmospheric pressure. Keep it in the state.

따라서 상기 드라이펌프(50)가 오프(off)된 경우에는 상기 드라이펌프(50)의 오프(off)에 대한 시그널(signal)을 받아 동작하는 게이트 밸브(Gate Valve, 170)가 닫히게 되고, 또한 아이솔레이션밸브(110a, 110b), 터보펌프(30), 트로틀 밸브(90)가 순차적으로 닫히면서, 공정 챔버 내부의 압력이 갑자기 상승하는 것을 방지하게 된다.Therefore, when the dry pump 50 is off, the gate valve 170 operating by receiving a signal for the off of the dry pump 50 is closed and is also isolated. As the valves 110a and 110b, the turbo pump 30 and the throttle valve 90 are closed in sequence, the pressure inside the process chamber is prevented from rising suddenly.

도 4는 본 발명에 의한 진공설비의 펌프 시스템에서 드라이 펌프가 오프된 경우의 공정 순서를 나타낸 순서도이다. Figure 4 is a flow chart showing the process sequence when the dry pump is turned off in the pump system of the vacuum installation according to the present invention.

도 4에 도시된 바와 같이, 드라이 펌프가 오프(S10)되면, 오프 시그널을 받은 게이트 밸브가 닫히고(S20), 그 후에 아이솔레이션 밸브가 닫히고(S30), 터보 펌프가 오프된다(S40). 그리고 트로틀밸브가 닫힌 후에(S50) 공정 챔버 내의 압력과 웨이퍼 상태를 확인(S60)하고, 드라이 펌프를 온(On)으로 전환(S70)하고 설비를 가동(S80)시킨다.As shown in FIG. 4, when the dry pump is turned off (S10), the gate valve receiving the off signal is closed (S20), after which the isolation valve is closed (S30), and the turbo pump is turned off (S40). After the throttle valve is closed (S50), the pressure in the process chamber and the wafer state are checked (S60), the dry pump is turned on (S70), and the equipment is operated (S80).

따라서 유체의 역류에 의하여 파티클(particle)이 챔버 내부로 유입되는 것을 방지하여 웨이퍼의 오염을 보다 완벽하게 방지할 수 있게된다. 결국 비정기적인 예방보전(PM : Preventive Maintenance)의 횟수를 줄일 수 있고, 전체적인 설비가동률을 향상시킬 수 있으며, 아울러 추가적인 비용의 손실을 방지할 수 있다.Therefore, particles are prevented from flowing into the chamber due to the backflow of the fluid, thereby more completely preventing contamination of the wafer. As a result, the number of preventive maintenances (PMs) can be reduced, the overall plant uptime can be improved, and additional costs can be prevented.

본 발명의 실시예에 따른 진공설비와 진공설비의 펌프 시스템은 상기 실시예에 한정되지 않고, 본 발명의 기본 원리를 벗어나지 않는 범위에서 다양하게 설계되고, 응용될 수 있음은 본 발명이 속하는 기술분야에서 통상의 지식을 가지는 자에게는 자명한 사실이라 할 것이다. The vacuum system and the pump system of the vacuum installation according to an embodiment of the present invention is not limited to the above embodiment, it can be variously designed and applied within the scope without departing from the basic principles of the present invention To those of ordinary skill in Esau will be self-evident.

상술한 바와 같이, 본 발명에 따른 진공설비와 진공설비의 펌프 시스템에 의하면 드라이펌프의 갑작스런 오프에 의한 유체의 역류에 의하여 파티클(particle)이 챔버 내부로 유입되는 것을 방지하여 웨이퍼의 오염을 보다 완벽하게 방지할 수 있게 된다. 따라서 비정기적인 PM의 횟수를 줄일 수 있고, 전체적인 설비가동률을 향상시킬 수 있으며, 아울러 추가적인 비용의 손실을 방지할 수 있다.As described above, the vacuum system and the pump system of the vacuum system according to the present invention prevents particles from flowing into the chamber due to the backflow of the fluid due to the sudden turn-off of the dry pump, thereby more completely contaminating the wafer. Can be prevented. Therefore, it is possible to reduce the number of occasional PM, to improve the overall plant operation rate, and to prevent the loss of additional costs.

Claims (6)

반도체 제조 공정을 진행하는 공정 챔버에 연결된 진공설비에 있어서;A vacuum facility connected to a process chamber for performing a semiconductor manufacturing process; 상기 공정 챔버로부터 공정을 수행한 공정 가스를 흡입하여 배출하는 진공라인의 터보 펌프 및 드라이펌프 사이에는 상기 드라이펌프의 오프 시그널을 받아 상기 진공라인을 닫는 역할을 수행하는 게이트 밸브가 형성되어 있는 것을 특징으로 하는 진공설비 A gate valve is provided between the turbo pump and the dry pump of the vacuum line which sucks and discharges the process gas that has performed the process from the process chamber to close the vacuum line by receiving an off signal of the dry pump. Vacuum equipment 제 1항에 있어서, The method of claim 1, 상기 터보 펌프는 상기 공정 챔버의 내부를 진공으로 만들어주는 것임을 특징으로 하는 진공설비 The turbo pump is a vacuum facility, characterized in that to make a vacuum inside the process chamber 제 1항에 있어서,The method of claim 1, 상기 드라이펌프는 상기 터보 펌프의 진공펌핑을 조력하여 상기 공정 챔버에서 발생한 잔류가스를 배출하는 역할을 수행함을 특징으로 하는 진공설비 The dry pump assists in the vacuum pumping of the turbopump, and serves to discharge residual gas generated in the process chamber. 반도체 제조 공정을 진행하는 공정 챔버에 연결된 진공설비에 있어서;A vacuum facility connected to a process chamber for performing a semiconductor manufacturing process; 상기 공정 챔버의 내부를 진공으로 만들어주는 터보 펌프 및 상기 터보 펌프의 진공펌핑을 조력하여 상기 공정 챔버에서 발생한 잔류가스를 배출하는 역할을 수행하는 드라이펌프가 설치된 진공라인 상에, 상기 드라이펌프의 오프 시그널을 받아 상기 진공라인을 닫는 역할을 수행하는 게이트 밸브가 형성되어 있는 것을 특징으로 하는 진공설비 On the vacuum line provided with a turbo pump that serves to discharge the residual gas generated in the process chamber by assisting the vacuum pump of the turbo pump and the turbo pump to make the interior of the process chamber into a vacuum, the off of the dry pump Vacuum equipment, characterized in that the gate valve is formed to receive the signal to close the vacuum line 제 4항에 있어서, The method of claim 4, wherein 상기 게이트 밸브는 상기 드라이펌프와 상기 터보 펌프를 연결하는 진공라인 상에 설치되는 것을 특징으로 하는 진공설비The gate valve is installed on the vacuum line connecting the dry pump and the turbo pump, characterized in that the vacuum installation 진공설비의 펌프 시스템에 있어서;A pump system of a vacuum installation; 드라이 펌프가 오프 되는 단계:Steps when the dry pump is turned off: 상기 드라이 펌프가 오프 된 후 오프 시그널을 받아 게이트 밸브가 닫히는 단계:After the dry pump is turned off, the gate valve is closed by receiving an off signal: 상기 게이트 밸브가 닫힌 후에 아이솔레이션 밸브가 닫히는 단계:Closing the isolation valve after the gate valve is closed: 상기 아이솔레이션 밸브가 닫힌 후에 터보 펌프가 오프 되는 단계:Turning off the turbopump after the isolation valve is closed: 상기 터보 펌프가 오프 된 후에 트로틀 밸브가 닫히는 단계:After the turbo pump is turned off, the throttle valve is closed: 상기 트로틀 밸브가 닫힌 후에 공정 챔버 내의 압력과 웨이퍼 상태를 확인하 는 단계:Checking the pressure and wafer status in the process chamber after the throttle valve is closed: 상기 공정 챔버 내의 압력과 웨이퍼 상태를 확인한 후에 드라이 펌프를 온으로 전환하는 단계: 및Turning on the dry pump after checking the pressure and wafer condition in the process chamber: and 상기 드라이 펌프를 온으로 전환한 후에 설비를 가동시키는 단계를 포함하여 구성됨을 특징으로 하는 진공설비의 펌프 시스템A pumping system of a vacuum installation, characterized in that it comprises a step of operating the equipment after switching on the dry pump.
KR1020050042309A 2005-05-20 2005-05-20 Vacuum forming equipment for semiconductor manufacturing equipment KR20060119363A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050042309A KR20060119363A (en) 2005-05-20 2005-05-20 Vacuum forming equipment for semiconductor manufacturing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050042309A KR20060119363A (en) 2005-05-20 2005-05-20 Vacuum forming equipment for semiconductor manufacturing equipment

Publications (1)

Publication Number Publication Date
KR20060119363A true KR20060119363A (en) 2006-11-24

Family

ID=37706236

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050042309A KR20060119363A (en) 2005-05-20 2005-05-20 Vacuum forming equipment for semiconductor manufacturing equipment

Country Status (1)

Country Link
KR (1) KR20060119363A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101318917B1 (en) * 2008-08-28 2013-10-16 도쿄엘렉트론가부시키가이샤 Pressure control valve for plasma processing apparatus
KR20230044173A (en) * 2020-03-13 2023-04-03 주식회사 아이에스케이 A Method for Preventing a Back Flow to Protect a Wafer and an Apparatus for the Same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101318917B1 (en) * 2008-08-28 2013-10-16 도쿄엘렉트론가부시키가이샤 Pressure control valve for plasma processing apparatus
US8973527B2 (en) 2008-08-28 2015-03-10 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, method for cleaning plasma processing apparatus and pressure control valve for plasma processing apparatus
US9574267B2 (en) 2008-08-28 2017-02-21 Tokyo Electron Limited Plasma processing apparatus
US9631274B2 (en) 2008-08-28 2017-04-25 Tokyo Electron Limited Plasma processing apparatus
KR20230044173A (en) * 2020-03-13 2023-04-03 주식회사 아이에스케이 A Method for Preventing a Back Flow to Protect a Wafer and an Apparatus for the Same

Similar Documents

Publication Publication Date Title
JP3486821B2 (en) Processing apparatus and method of transporting object to be processed in processing apparatus
US6183564B1 (en) Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
JP4916140B2 (en) Vacuum processing system
JP2009062604A (en) Vacuum treatment system, and method for carrying substrate
US20130239889A1 (en) Valve purge assembly for semiconductor manufacturing tools
US20030129044A1 (en) Erosion resistant slit valve
US20200211871A1 (en) Substrate processing apparatus and method
KR20080009568A (en) Semiconductor apparatus having monitering system of temperature and humidity in vacuum chamber and methode of analysis the temperature and the humidity
KR20060119363A (en) Vacuum forming equipment for semiconductor manufacturing equipment
KR20080104992A (en) Substrate processing system and substrate processing apparatus
KR20060131075A (en) Vacuum forming equipment for semiconductor manufacturing equipment
KR100439036B1 (en) Semiconductor manufacturing equipment
KR20060134286A (en) Vacuum forming equipment for semiconductor manufacturing equipment
KR20080060773A (en) Loadlock chamber and vent method on the same
KR20180102203A (en) Integrated layer etch system with multiple types of chambers
KR20070075935A (en) Vacuum pumping system of substrate processing apparatus and method of vacuum pumping transfer chamber using the same
KR20080083386A (en) Equipment for manufacturing semiconductor device and control method used the same
US20090025631A1 (en) Gas-tight module and exhaust method therefor
KR100861782B1 (en) Loadlock chamber and vent method on the same
KR20070093499A (en) Exhaust system
KR20070114439A (en) Diffuser for use in semiconductor fabricating equipment
WO2002052638A1 (en) Pressure control method, transfer device, and cluster tool
KR20030001095A (en) Pressure controlling apparatus of chamber
KR20070078139A (en) Vacuum equipment for use in fabricating semiconductor device
JPH05129240A (en) Semiconductor manufacture system

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination