KR20060113162A - Method of forming patterns for semiconductor device - Google Patents

Method of forming patterns for semiconductor device Download PDF

Info

Publication number
KR20060113162A
KR20060113162A KR1020050036069A KR20050036069A KR20060113162A KR 20060113162 A KR20060113162 A KR 20060113162A KR 1020050036069 A KR1020050036069 A KR 1020050036069A KR 20050036069 A KR20050036069 A KR 20050036069A KR 20060113162 A KR20060113162 A KR 20060113162A
Authority
KR
South Korea
Prior art keywords
pattern
hard mask
film
sacrificial
layer
Prior art date
Application number
KR1020050036069A
Other languages
Korean (ko)
Inventor
이지영
우상균
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050036069A priority Critical patent/KR20060113162A/en
Publication of KR20060113162A publication Critical patent/KR20060113162A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the peripheral circuit region

Abstract

A method for forming a pattern of a semiconductor device is provided to simplify processes by forming simultaneously a periphery and a core pattern of various sizes and a cell pattern using an improved hard mask pattern. A first hard mask pattern(22a) is formed on a substrate(10) having an etch target layer(12). A sacrificial pattern is formed on the etch target layer. A spacer is formed at both sidewalls of the sacrificial pattern. A second hard mask pattern(24b) made of the spacer is then formed by selectively removing the sacrificial pattern. The etch target layer is then etched by using the first and the second hard mask pattern as a mask.

Description

반도체 소자의 패턴 형성 방법{Method of forming patterns for semiconductor device}Method of forming patterns for semiconductor device

도 1a 내지 도 1e는 본 발명의 내용에 따라 반도체 소자의 페리·코어 영역의 패턴을 셀 영역의 패턴과 동시에 형성하는 과정을 순서대로 나타낸 평면도이다.1A to 1E are plan views sequentially illustrating a process of simultaneously forming a pattern of a ferry core region of a semiconductor device and a pattern of a cell region according to the present invention.

도 2a 내지 도 2h는 본 발명의 내용에 따라 반도체 소자의 페리·코어 영역의 패턴을 셀 영역의 패턴과 동시에 형성하는 과정을 순서대로 나타낸 단면도이다.2A to 2H are cross-sectional views sequentially illustrating a process of simultaneously forming a pattern of a ferry-core region of a semiconductor device with a pattern of a cell region according to the present invention.

도 3a 내지 도 3h는 본 발명의 내용에 따라 게이트 패턴을 형성하는 과정을 순서대로 나타낸 평면도이다.3A to 3H are plan views sequentially illustrating a process of forming a gate pattern according to the contents of the present invention.

도 4a 내지 도 4h는 본 발명의 내용에 따라 게이트 패턴을 형성하는 과정을 순서대로 나타낸 단면도이다.4A through 4H are cross-sectional views sequentially illustrating a process of forming a gate pattern according to the present disclosure.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

10, 10': 기판 12 : 피식각막10, 10 ': substrate 12: etched film

12', 12a': 폴리 실리콘막 13', 13a': 텅스텐 실리사이드막12 ', 12a': polysilicon film 13 ', 13a': tungsten silicide film

14a': 게이트 패턴 22 : 제 1 하드마스크막14a ': Gate pattern 22: First hard mask film

22a, 22a': 제 1 하드마스크 패턴 24 : 제 2 하드마스크막22a, 22a ': first hard mask pattern 24: second hard mask film

22', 24': 실리콘 나이트라이드막 24a, 24a': 스페이서22 ', 24': silicon nitride film 24a, 24a ': spacer

24b, 24b': 제 2 하드마스크 패턴 34, 34': 희생막24b, 24b ': second hardmask pattern 34, 34': sacrificial film

34a, 34a': 희생 패턴 42, 44, 42', 44', 46' : 포토레지스트 패턴34a, 34a ': sacrificial patterns 42, 44, 42', 44 ', 46': photoresist pattern

본 발명은 반도체 소자의 패턴을 형성하는 방법에 관한 것으로, 특히, 노광 장비의 해상도 한계를 벗어나는 미세한 패턴과 해상도 한계 내의 큰 패턴을 동시에 형성하는 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of forming a pattern of a semiconductor device, and more particularly, to a method of simultaneously forming a fine pattern outside the resolution limit of an exposure apparatus and a large pattern within the resolution limit.

반도체 소자의 집적도가 증가함에 따라 디자인룰이 계속 감소하고 있다. 디자인룰의 감소 속도가 노광 장비의 개발 속도보다 빠르게 진행됨에 따라, 노광 장비의 해상도 한계 내의 패턴보다 작은 패턴을 형성하기 위하여 스페이서(spacer) 하드마스크에 의한 패터닝 공정을 사용하고 있다. 이 공정에서는 스페이서로 이루어진 하드마스크 패턴을 형성한다. 하드마스크 패턴의 크기는 스페이서의 두께에 해당하므로 하드마스크막의 증착 두께를 얇게 하여 노광 장비의 해상도를 벗어나는 미세한 패턴까지 형성할 수 있다. 그러나 스페이서를 이용한 패터닝 공정에 의하여는 스페이서 두께에 해당하는 동일한 크기의 패턴만 형성할 수 있으므로 서로 다른 크기의 패턴을 형성하는 데는 문제가 있다. 따라서 셀 영역의 반복되는 미세한 패턴을 형성하기에는 유리하나, 페리·코어 영역의 다양한 모양과 크기의 패턴은 형성하기 어렵다. 또한, 셀 영역의 패턴이라도 일정한 피치를 갖고 반복되는 미세한 패턴과 모양과 크기가 다른 패턴은 동시에 형성하기 어렵다.As the degree of integration of semiconductor devices increases, design rules continue to decrease. As the speed of reduction of design rules is faster than the development speed of exposure equipment, patterning processes using spacer hard masks are used to form patterns smaller than the patterns within the resolution limits of the exposure equipment. In this step, a hard mask pattern made of a spacer is formed. Since the size of the hard mask pattern corresponds to the thickness of the spacer, it is possible to form a fine pattern beyond the resolution of the exposure apparatus by making the deposition thickness of the hard mask film thin. However, in the patterning process using the spacers, only patterns having the same size corresponding to the thickness of the spacers may be formed, thereby forming patterns having different sizes. Therefore, although it is advantageous to form a repeating fine pattern of the cell region, it is difficult to form patterns of various shapes and sizes of the ferry core region. In addition, even in the pattern of the cell region, it is difficult to simultaneously form a minute pattern having a constant pitch and a pattern having a different shape and size.

본 발명의 목적은 셀 영역의 반복되는 미세한 패턴과 페리·코어 영역의 다양한 모양과 크기를 갖는 패턴을 동시에 형성하는 방법을 제공하는 것이다. 또한, 같은 셀 영역의 패턴이라도 반복되는 미세한 패턴과 이와 모양과 크기가 다른 패턴을 동시에 형성하는 방법을 제공하는 것이다. It is an object of the present invention to provide a method for simultaneously forming a repeating fine pattern of a cell region and a pattern having various shapes and sizes of a ferry core region. In addition, the present invention provides a method of simultaneously forming a fine pattern that is repeated even in a pattern of the same cell region and a pattern having a different shape and size.

상기 목적을 달성하기 위하여 본 발명은 반도체 기판 상의 피식각막 위에 제 1 하드마스크막으로 이루어진 제 1 하드마스크 패턴을 형성한다. 상기 제 1 하드마스크 패턴이 형성된 상기 피식각막 위에 희생 패턴을 형성한다. 상기 제 1 하드마스크 패턴과 상기 희생 패턴의 둘레에 제 2 하드마스크막으로 이루어진 스페이서를 형성한다. 상기 희생 패턴을 선택적으로 제거하여 상기 피식각막 위에 스페이서로 이루어진 제 2 하드마스크 패턴을 형성한다. 상기 제 1 하드마스크 패턴과 상기 제 2 하드마스크 패턴을 식각 마스크로 삼아 상기 피식각막을 식각하여 반도체 소자의 패턴을 형성한다. In order to achieve the above object, the present invention forms a first hard mask pattern made of a first hard mask film on an etched film on a semiconductor substrate. A sacrificial pattern is formed on the etched film on which the first hard mask pattern is formed. A spacer including a second hard mask layer is formed around the first hard mask pattern and the sacrificial pattern. The sacrificial pattern may be selectively removed to form a second hard mask pattern including a spacer on the etched film. The etching target layer is etched using the first hard mask pattern and the second hard mask pattern as an etching mask to form a pattern of a semiconductor device.

상기 제 1 하드마스크 패턴은 페리·코어 또는 셀 영역의 다양한 모양과 크기를 갖는 패턴을 정의하고, 제 2 하드마스크 패턴은 셀 영역의 반복되는 미세한 패턴을 정의할 수 있다.The first hard mask pattern may define a pattern having various shapes and sizes of a ferry core or a cell region, and the second hard mask pattern may define a minute fine pattern of the cell region.

상기 제 1 하드마스크막 식각 시 상기 피식각막이 식각되는 것을 방지하기 위하여 상기 피식각막과 상기 제 1 하드마스크막 사이에 식각 방지막을 형성할 수 있다. An etching prevention layer may be formed between the etching target layer and the first hard mask layer to prevent the etching target layer from being etched when the first hard mask layer is etched.

본 발명에 의하여, 셀 영역의 반복되는 미세한 패턴과 페리·코어 영역의 다 양한 모양과 크기를 갖는 패턴을 동시에 형성할 수 있다.According to the present invention, a repeating fine pattern of the cell region and a pattern having various shapes and sizes of the ferry core region can be simultaneously formed.

이하, 첨부도면을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명한다. 그러나 본 발명의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예들로 한정되는 것으로 해석되어서는 안 된다. 본 발명의 실시예들은 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것이다. 따라서 도면에서 막 또는 영역들의 크기 또는 두께는 명세서의 명확성을 위하여 과장된 것이며, 도면상에서 동일한 부호로 표시된 요소는 동일한 요소를 의미한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, embodiments of the present invention may be modified in many different forms, and the scope of the present invention should not be construed as being limited to the embodiments described below. Embodiments of the present invention are provided to more fully explain the present invention to those skilled in the art. Accordingly, the size or thickness of the film or regions in the drawings is exaggerated for clarity of the specification, elements denoted by the same reference numerals in the drawings means the same element.

도 1a 내지 도 1e 및 도 2a 내지 도 2h는 본 발명의 구체적인 내용에 따라 페리·코어 또는 셀 영역의 다양한 모양과 크기를 갖는 패턴과 셀 영역의 반복되는 미세한 패턴을 동시에 형성하는 방법을 설명하기 위하여 그 과정을 순서대로 나타낸 평면도와 단면도이다.1A to 1E and 2A to 2H illustrate a method of simultaneously forming a pattern having various shapes and sizes of a ferry core or cell region and a repeating fine pattern of the cell region according to the present invention. It is a top view and sectional drawing which showed the process in order.

도 1a는 제 1 하드마스크 패턴을 정의하기 위해 형성된 포토레지스트 패턴(42)을 보여주는 평면도이다. 상기 제 1 하드마스크 패턴은 도 1a에 나타낸 바와 같이 페리·코어 영역의 패턴과 셀 영역의 패턴 중 반복되는 미세 패턴과 다른 패턴을 정의하기 위한 것이다. 도 2a는 도 1a의 Ⅰ-Ⅰ'를 자른 단면도이다.1A is a plan view showing a photoresist pattern 42 formed to define a first hard mask pattern. As shown in FIG. 1A, the first hard mask pattern is used to define a pattern different from the repeated fine pattern among the pattern of the ferry core region and the pattern of the cell region. FIG. 2A is a cross-sectional view taken along the line II ′ of FIG. 1A. FIG.

도 2a를 참조하면, 반도체 기판(10) 상의 피식각막(12) 위에 제 1 하드마스크막(22)을 형성하고 상기 제 1 하드마스크막(22) 위에 제 1 하드마스크 패턴을 정의하기 위한 포토레지스트 패턴(42)을 형성한다. Referring to FIG. 2A, a photoresist for forming a first hard mask film 22 on the etched film 12 on the semiconductor substrate 10 and defining a first hard mask pattern on the first hard mask film 22. The pattern 42 is formed.

상기 피식각막(12)과 상기 제 1 하드마스크막(22)은 패턴의 용도와 공정 계 획에 따라 구성 물질이 달라질 수 있다. The etched film 12 and the first hard mask layer 22 may have different materials depending on the use of the pattern and the process plan.

예를 들면, 반도체 소자의 활성 패턴을 형성할 때 실리콘 나이트라이드 하드마스크를 상기 제 1 하드마스크막(22)으로 사용할 수 있고, 이 경우 상기 피식각막(12)은 패드 실리콘 옥사이드나 실리콘 기판이 될 수 있다. 또는 폴리 실리콘 하드마스크를 사용하여 실리콘 나이트라이드 하드마스크 패턴을 형성할 경우에는 상기 제 1 하드마스크막(22)은 폴리 실리콘이 되고, 상기 피식각막(12)은 실리콘 나이트라이드가 된다.For example, when forming an active pattern of a semiconductor device, a silicon nitride hard mask may be used as the first hard mask layer 22, in which case the etch layer 12 may be a pad silicon oxide or a silicon substrate. Can be. Alternatively, when the silicon nitride hard mask pattern is formed using a poly silicon hard mask, the first hard mask layer 22 may be polysilicon, and the etching target layer 12 may be silicon nitride.

또한, 게이트 패턴을 형성하는 공정에서 상기 피식각막(12)은 폴리 실리콘, 텅스텐 실리사이드, 텅스텐, 코발트 실리사이드 또는 이들의 적층구조와 같은 도전층이 될 수 있고, 이 경우 상기 제 1 하드마스크막(22)은 실리콘 나이트라이드막을 사용할 수 있다.In addition, in the process of forming a gate pattern, the etched film 12 may be a conductive layer such as polysilicon, tungsten silicide, tungsten, cobalt silicide, or a stacked structure thereof. In this case, the first hard mask film 22 may be formed. ) May use a silicon nitride film.

도 2b는 상기 포토레지스트 패턴(42)을 식각 마스크로 삼고 상기 제 1 하드마스크막(22)을 식각하여 제 1 하드마스크 패턴(22a)을 형성한 단계를 보여주는 단면도이다. 상기 제 1 하드마스크 패턴(22a)은 앞에서 설명한 바와 같이 페리·코어의 패턴 또는 셀 영역의 패턴 중 반복되는 미세 패턴과 다른 패턴을 정의하기 위한 하드마스크 패턴이다. 예를 들면, 셀 영역의 반복되는 미세한 패턴의 일정 부분을 넓게 하여 이후 공정에서 콘택트가 형성될 수 있는 면적을 구비하도록 정의하기 위하여 상기 제 1 하드마스크 패턴(22a)을 사용할 수 있다. 상기 제 1 하드마스크 패턴(22a)을 형성하기 위한 상기 제 1 하드마스크막(22) 식각 시 상기 피식각막(12)이 식각되는 것을 막기 위하여 상기 피식각막(12)과 상기 제 1 하드마스크막(22) 사이에 식각 방지막을 사용할 수도 있다.FIG. 2B is a cross-sectional view illustrating a process of forming the first hard mask pattern 22a by etching the first hard mask layer 22 using the photoresist pattern 42 as an etching mask. As described above, the first hard mask pattern 22a is a hard mask pattern for defining a pattern different from the repeated fine pattern among the pattern of the ferry core or the pattern of the cell region. For example, the first hard mask pattern 22a may be used to widen a predetermined portion of the repeated fine pattern of the cell region to have an area where a contact can be formed in a subsequent process. In order to prevent the etched film 12 from being etched when the first hard mask layer 22 for forming the first hard mask pattern 22a is etched, the etched film 12 and the first hard mask film ( It is also possible to use an anti-etching film in between.

도 1b는 제 2 하드마스크 패턴을 형성하기 위하여 중간 과정으로 거치는 희생 패턴을 정의하기 위한 포토레지스트 패턴(44)을 보여주는 평면도이다. 상기 제 2 하드마스크 패턴은 셀 영역의 반복되는 미세 패턴과 페리·코어 영역의 미세한 패턴을 정의하기 위한 것이다. 도 2c는 도 1b의 Ⅰ-Ⅰ'를 자른 단면도이다.FIG. 1B is a plan view illustrating a photoresist pattern 44 for defining a sacrificial pattern that is subjected to an intermediate process to form a second hard mask pattern. The second hard mask pattern is for defining a repeated fine pattern of a cell region and a fine pattern of a ferry core region. FIG. 2C is a cross-sectional view taken along the line II ′ of FIG. 1B. FIG.

도 1b와 도 2c를 참조하면, 상기 제 1 하드마스크 패턴(22a)이 형성된 피식각막(12) 위에 희생막(34)을 형성하고, 상기 희생막(34) 위에 희생 패턴을 정의하는 포토레지스트 패턴(44)을 형성한다.1B and 2C, a photoresist pattern is formed on the etched film 12 on which the first hard mask pattern 22a is formed, and a sacrificial pattern is defined on the sacrificial film 34. Form 44.

상기 희생막(34)은 SOG(Spin On Glass) 실리콘 옥사이드와 같은 평탄도가 우수한 박막을 사용하면 평탄화 공정을 별도로 필요로 하지 않는다. 상기 희생막(34)은 스페이서를 이용한 패터닝 공정 중 제거되는 막이므로 상기 제 1 하드마스크막(22)과 식각 선택비가 있는 막을 사용한다.The sacrificial layer 34 does not require a planarization process by using a thin film having excellent flatness such as spin on glass (SOG) silicon oxide. Since the sacrificial layer 34 is removed during the patterning process using a spacer, a layer having an etching selectivity with the first hard mask layer 22 is used.

상기 제 1 하드마스크막(22)이 실리콘 나이트라이드인 경우에는 상기 희생막(34)은 실리콘 옥사이드를 사용할 수 있고, 상기 제 1 하드마스크막(22)이 폴리 실리콘인 경우 상기 희생막(34)은 실리콘 옥사이드나 실리콘 나이트라이드를 사용할 수 있다. 상기 제 1 하드마스크막(22)이 실리콘 옥사이드인 경우에는 상기 희생막(34)은 실리콘 나이트라이드를 사용할 수 있다. 그러나 상기 피식각막(12)의 물질에 따라 상기 제 1 하드마스크막(22)과 상기 희생막(34)의 물질에 제약이 가해질 수 있다.When the first hard mask layer 22 is silicon nitride, the sacrificial layer 34 may use silicon oxide. When the first hard mask layer 22 is polysilicon, the sacrificial layer 34 may be formed of silicon nitride. Silver silicon oxide or silicon nitride can be used. When the first hard mask layer 22 is silicon oxide, the sacrificial layer 34 may use silicon nitride. However, depending on the material of the etched film 12, a restriction may be applied to the material of the first hard mask film 22 and the sacrificial film 34.

도 1c는 상기 피식각막(12) 위에 상기 제 1 하드마스크 패턴(22a)과 상기 희 생 패턴(34a)이 형성된 단계를 보여주는 평면도이다. 도 2d는 도 1c의 Ⅰ-Ⅰ'를 자른 단면도이다.FIG. 1C is a plan view illustrating a step in which the first hard mask pattern 22a and the sacrificial pattern 34a are formed on the etched film 12. FIG. 2D is a cross-sectional view taken along the line II ′ of FIG. 1C. FIG.

도 2d를 참조하면, 상기 포토레지스트 패턴(44)을 마스크로 삼고 상기 희생막(34)을 식각하여 희생 패턴(34a)을 형성한다. 상기 제 1 하드마스크막(22)은 상기 희생막(34)과 식각 선택비가 있으므로 상기 제 1 하드마스크 패턴(22a)은 상기 희생막(34)의 식각에 의하여 공격을 받지 않는다. 따라서 상기 희생막(34)의 식각 후에 상기 피식각막(12) 위에는 상기 제 1 하드마스크 패턴(22a)과 상기 희생 패턴(34a)이 존재한다. Referring to FIG. 2D, the sacrificial layer 34 is etched using the photoresist pattern 44 as a mask to form a sacrificial pattern 34a. Since the first hard mask layer 22 has an etching selectivity with respect to the sacrificial layer 34, the first hard mask pattern 22a is not attacked by the etching of the sacrificial layer 34. Therefore, after the sacrificial layer 34 is etched, the first hard mask pattern 22a and the sacrificial pattern 34a exist on the etched layer 12.

도 1c와 도 2d에 보이는 상기 희생 패턴(34a)의 피치(2P)는 형성하고자 하는 미세 패턴의 피치(P)의 2배이다. 이는 상기 희생 패턴(34a)의 둘레에 형성될 스페이서 형태의 하드마스크 패턴이 실제로 형성하고자 하는 패턴이고, 이 스페이서는 희생 패턴(34a)의 2배만큼 형성되므로 그 피치가 상기 희생 패턴(34a)의 반으로 줄기 때문이다.The pitch 2P of the sacrificial pattern 34a shown in FIGS. 1C and 2D is twice the pitch P of the fine pattern to be formed. This is a pattern that a hard mask pattern in the form of a spacer to be formed around the sacrificial pattern 34a is actually formed, and since the spacer is formed twice as much as the sacrificial pattern 34a, the pitch of the sacrificial pattern 34a is increased. This is because the stem is cut in half.

활성 패턴 형성 공정에서 실리콘 나이트라이드를 제 1 하드마스크막(22)으로 하고 실리콘 옥사이드를 희생막(24)으로 하여 희생 패턴(34a)을 형성할 때에는 상기 희생막(24)을 부분 식각하여 상기 희생막(34) 식각 시 하부의 패드 실리콘 옥사이드로 된 피식각막(12)이 제거되지 않도록 한다. 상기 희생 패턴(34a) 사이에 남은 희생막(34)은 제 2 하드마스크 패턴 형성 후 희생 패턴(34a)을 제거할 때 함께 제거될 수 있다. 앞에서 설명한 것처럼 패드 실리콘 옥사이드 위에 식각 방지막으로 SiON 막을 사용할 수도 있다.In the active pattern forming process, when the sacrificial pattern 34a is formed using the silicon nitride as the first hard mask layer 22 and the silicon oxide as the sacrificial layer 24, the sacrificial layer 24 is partially etched to form the sacrificial pattern 34a. When etching the film 34, the etching target film 12 made of pad silicon oxide underneath is not removed. The sacrificial layer 34 remaining between the sacrificial patterns 34a may be removed when the sacrificial pattern 34a is removed after the second hard mask pattern is formed. As described above, a SiON film may be used as an anti-etching film on the pad silicon oxide.

도 2e를 참조하면, 상기 제 1 하드마스크 패턴(22a)과 상기 희생 패턴(34a)이 형성된 피식각막(12) 위에 제 2 하드마스크막(24)을 형성한다. 상기 제 2 하드마스크막(24)은 상기 희생 패턴(34a) 둘레에 스페이서를 형성하기 위한 것으로 상기 제 1 하드마스크막(22)과 동일한 물질의 막을 사용할 수 있다. 또한, 열 실리콘 나이트라이드 또는 열 실리콘 옥사이드, USG(Undoped Silicon Glass), ALD(Atomic Layer Deposition)과 같이 스텝 커버리지가 양호한 막을 사용한다.Referring to FIG. 2E, a second hard mask layer 24 is formed on the etched layer 12 on which the first hard mask pattern 22a and the sacrificial pattern 34a are formed. The second hard mask layer 24 may be formed around the sacrificial pattern 34a to form a spacer. The second hard mask layer 24 may be formed of the same material as the first hard mask layer 22. In addition, a film having good step coverage such as thermal silicon nitride or thermal silicon oxide, undoped silicon glass (USG), atomic layer deposition (ALD) is used.

도 1d는 상기 희생 패턴(34a) 둘레에 스페이서(24a)가 형성된 단계를 보여주는 평면도이다. 도 2f는 도 1d의 Ⅰ-Ⅰ'를 자른 단면도이다.1D is a plan view illustrating a step in which spacers 24a are formed around the sacrificial pattern 34a. FIG. 2F is a cross-sectional view taken along the line II ′ of FIG. 1D. FIG.

도 1d와 도 2f를 참조하면, 상기 제 1 하드마스크 패턴(22a)과 상기 희생 패턴(34a) 위에 형성된 상기 제 2 하드마스크막(24)을 이방성 식각하여 상기 제 1 하드마스크 패턴(22a)과 상기 희생 패턴(34a)의 둘레에 스페이서(24a)를 형성한다. 상기 희생 패턴(34a)의 둘레에 형성된 스페이서(24a)는 미세한 패턴을 제공하기 위한 제 2 하드마스크 패턴에 대응된다. Referring to FIGS. 1D and 2F, the first hard mask pattern 22a and the second hard mask layer 24 formed on the sacrificial pattern 34a are anisotropically etched to form the first hard mask pattern 22a. Spacers 24a are formed around the sacrificial pattern 34a. The spacer 24a formed around the sacrificial pattern 34a corresponds to the second hard mask pattern for providing a fine pattern.

도 1e는 상기 피식각막(12) 위에 제 1 하드마스크 패턴(22a)과 제 2 하드마스크 패턴(24b)이 형성된 단계를 보여주는 평면도이다. 도 2g는 도 1e의 Ⅰ-Ⅰ'를 자른 단면도이다.FIG. 1E is a plan view illustrating a process of forming a first hard mask pattern 22a and a second hard mask pattern 24b on the etched film 12. 2G is a cross-sectional view taken along the line II ′ of FIG. 1E.

도 1e와 도 2g를 참조하면, 상기 희생 패턴(34a)을 건식각이나 습식각에 의하여 선택적으로 제거하여 상기 피식각막(12) 위에 상기 제 1 하드마스크 패턴(22a)과 상기 제 2 하드마스크 패턴(24b)을 남긴다. 앞에서 설명한 바와 같이 상기 제 1 하드마스크 패턴(22a)은 페리·코어의 패턴 또는 셀 영역의 패턴 중 반복되는 미세한 패턴과 다른 패턴을 정의하고, 상기 제 2 하드마스크 패턴(24b)은 셀 영역의 반복되는 미세한 패턴을 정의하기 위한 것이다.1E and 2G, the sacrificial pattern 34a may be selectively removed by dry etching or wet etching to form the first hard mask pattern 22a and the second hard mask pattern on the etched film 12. Leave (24b). As described above, the first hard mask pattern 22a defines a pattern different from the repeated fine pattern among the pattern of the ferry core or the cell region, and the second hard mask pattern 24b repeats the cell region. It is to define a fine pattern.

상기 제 2 하드마스크 패턴(24b)의 피치(P)는 앞에서 설명한 것처럼 제거된 상기 희생 패턴(34a)의 피치(2P)의 반이 된다. 상기 제 2 하드마스크 패턴(24b)의 크기는 제 2 하드마스크막(24)의 두께를 조절하여 정할 수 있고, 상기 제 2 하드마스크 패턴(24b)의 스페이스는 희생 패턴(34a)이 제거되어 형성되므로 희생 패턴(34a)의 피치와 크기를 조절하여 정할 수 있다.The pitch P of the second hard mask pattern 24b is half of the pitch 2P of the sacrificial pattern 34a removed as described above. The size of the second hard mask pattern 24b may be determined by adjusting the thickness of the second hard mask layer 24, and the space of the second hard mask pattern 24b is formed by removing the sacrificial pattern 34a. Therefore, the pitch and size of the sacrificial pattern 34a may be adjusted.

도 2h를 참조하면, 상기 제 1 하드마스크 패턴(22a)과 상기 제 2 하드마스크 패턴(24b)을 마스크로 삼고 피식각막(12)을 식각하여 피식각막 패턴(12a)을 형성한다. 상기 피식각막 패턴(12a)은 반도체 소자에서 필요한 여러 가지 패턴일 수 있으며, 활성 패턴이나 게이트 패턴 등이 여기에 해당할 수 있다.Referring to FIG. 2H, the etching target film 12 is etched using the first hard mask pattern 22a and the second hard mask pattern 24b as a mask to form the etching pattern 12a. The etched pattern 12a may be various patterns required for a semiconductor device, and an active pattern or a gate pattern may correspond to the pattern.

도 3a 내지 도 3h 및 도 4a 내지 도 4h는 본 발명의 구체적인 내용에 따라 게이트 패터닝 공정에서 페리·코어 또는 셀 영역의 다양한 모양과 크기의 게이트 패턴과 셀 영역의 반복되는 미세한 게이트 패턴을 동시에 형성하는 방법을 설명하기 위하여 그 과정을 순서대로 나타낸 평면도와 단면도이다. 3A to 3H and 4A to 4H simultaneously form gate patterns of various shapes and sizes of ferry, core, or cell regions and repeating fine gate patterns of cell regions in a gate patterning process according to the present invention. In order to explain the method, a plan view and a cross-sectional view showing the process in order.

도 3a는 셀 내의 게이트 패턴 중 반복되는 미세한 게이트 패턴보다 큰 게이트 패턴을 정의하기 위해 형성된 포토레지스트 패턴(42')을 보여주는 평면도이다. 도 4a는 도 3a의 Ⅰ-Ⅰ'를 자른 단면도이다. 3A is a plan view showing a photoresist pattern 42 'formed to define a gate pattern larger than a repeating fine gate pattern among gate patterns in a cell. 4A is a cross-sectional view taken along the line II ′ of FIG. 3A.

도 3a와 도 4a를 참조하면, 폴리 실리콘막(12')과 텅스텐 실리사이드막(13')으로 구성된 게이트 도전층 위에 제 1 하드마스크막인 실리콘 나이트라이드막(22') 을 형성하고, 상기 실리콘 나이트라이드막(22') 위에 상기 포토레지스트 패턴(42')을 형성한다. 3A and 4A, a silicon nitride film 22 'serving as a first hard mask film is formed on a gate conductive layer including a polysilicon film 12' and a tungsten silicide film 13 '. The photoresist pattern 42 'is formed on the nitride film 22'.

도 4b는 상기 포토레지스트 패턴(42')을 식각 마스크로 삼고 상기 실리콘 나이트라이드막(22')을 식각하여 제 1 하드마스크 패턴(22a')을 형성한 단계를 보여주는 단면도이다. 상기 제 1 하드마스크 패턴(22a')은 앞에서 설명한 바와 같이 셀 내의 게이트 패턴 중 반복되는 미세한 게이트 패턴보다 큰 게이트 패턴을 정의하기 위한 하드마스크 패턴이다. 4B is a cross-sectional view illustrating a step of forming the first hard mask pattern 22a 'by etching the silicon nitride layer 22' using the photoresist pattern 42 'as an etching mask. As described above, the first hard mask pattern 22a ′ is a hard mask pattern for defining a gate pattern larger than the repeated fine gate pattern among the gate patterns in the cell.

도 3b는 제 2 하드마스크 패턴을 형성하기 위하여 중간 과정으로 거치는 희생 패턴을 정의하기 위한 포토레지스트 패턴(44')을 보여주는 평면도이다. 상기 제 2 하드마스크 패턴은 셀 영역의 반복되는 미세한 게이트 패턴을 정의하기 위한 것이다. 도 4c는 도 3b의 Ⅰ-Ⅰ'를 자른 단면도이다.3B is a plan view illustrating a photoresist pattern 44 ′ for defining a sacrificial pattern that is subjected to an intermediate process to form a second hard mask pattern. The second hard mask pattern is to define a repeating fine gate pattern of the cell region. 4C is a cross-sectional view taken along the line II ′ of FIG. 3B.

도 3b와 도 4c를 참조하면, 상기 제 1 하드마스크 패턴(22a')이 형성된 텅스텐 실리사이드막(13') 위에 실리콘 옥사이드 희생막(34')을 형성하고, 상기 실리콘 옥사이드 희생막(34') 위에 희생 패턴을 정의하는 포토레지스트 패턴(44')을 형성한다.3B and 4C, a silicon oxide sacrificial layer 34 ′ is formed on the tungsten silicide layer 13 ′ on which the first hard mask pattern 22a ′ is formed, and the silicon oxide sacrificial layer 34 ′ is formed. A photoresist pattern 44 'defining a sacrificial pattern is formed thereon.

도 3c는 상기 텅스텐 실리사이드막(13') 위에 상기 제 1 하드마스크 패턴(22a')과 희생 패턴(34a')이 형성된 단계를 보여주는 평면도이다. 도 4d는 도 3c의 Ⅰ-Ⅰ'를 자른 단면도이다.3C is a plan view illustrating a step in which the first hard mask pattern 22a 'and the sacrificial pattern 34a' are formed on the tungsten silicide layer 13 '. 4D is a cross-sectional view taken along the line II ′ of FIG. 3C.

도 4d를 참조하면, 상기 포토레지스트 패턴(44')을 마스크로 삼고 상기 실리콘 옥사이드 희생막(34')을 식각하여 상기 희생 패턴(34a')을 형성한다. 그러면 상 기 텅스텐 실리사이드막(13') 위에는 상기 제 1 하드마스크 패턴(22a')과 상기 희생 패턴(34a')이 존재한다. Referring to FIG. 4D, the sacrificial pattern 34a ′ is formed by etching the silicon oxide sacrificial layer 34 ′ using the photoresist pattern 44 ′ as a mask. Then, the first hard mask pattern 22a 'and the sacrificial pattern 34a' are present on the tungsten silicide layer 13 '.

도 4e를 참조하면, 상기 제 1 하드마스크 패턴(22a')과 상기 희생 패턴(34a')이 형성된 텅스텐 실리사이드막(13') 위에 제 2 하드마스크막인 실리콘 나이트라이드막(24')을 형성한다. 상기 실리콘 나이트라이드막(24')은 상기 희생 패턴(34a') 둘레에 스페이서를 형성하기 위한 것이다.Referring to FIG. 4E, a silicon nitride layer 24 ′ as a second hard mask layer is formed on the tungsten silicide layer 13 ′ on which the first hard mask pattern 22 a ′ and the sacrificial pattern 34 a ′ are formed. do. The silicon nitride layer 24 'is used to form a spacer around the sacrificial pattern 34a'.

도 3d는 상기 희생 패턴(34a') 둘레에 스페이서(24a')가 형성된 단계를 보여주는 평면도이다. 도 4f는 도 3d의 Ⅰ-Ⅰ'를 자른 단면도이다.3D is a plan view illustrating a step in which spacers 24a 'are formed around the sacrificial pattern 34a'. 4F is a cross-sectional view taken along the line II ′ of FIG. 3D.

도 3d와 도 4f를 참조하면, 상기 제 1 하드마스크 패턴(22a')과 상기 희생 패턴(34a') 위에 형성된 상기 실리콘 나이트라이드막(24')을 이방성 식각하여 상기 제 1 하드마스크 패턴(22a')과 상기 희생 패턴(34a') 둘레에 스페이서(24a')를 형성한다. 상기 희생 패턴(34a')의 둘레에 형성된 스페이서(24a')는 셀 안의 반복되는 미세한 게이트 패턴을 제공하기 위한 제 2 하드마스크 패턴에 대응된다.3D and 4F, the first hard mask pattern 22a is anisotropically etched on the first hard mask pattern 22a 'and the sacrificial pattern 34a'. And a spacer 24a 'is formed around the sacrificial pattern 34a'. The spacer 24a 'formed around the sacrificial pattern 34a' corresponds to the second hard mask pattern for providing a fine gate pattern repeated in the cell.

도 3e는 상기 텅스텐 실리사이드막(13') 위에 실리콘 나이트라이드로 이루어진 상기 제 1 하드마스크 패턴(22a')과 제 2 하드마스크 패턴(24b')이 형성된 단계를 보여주는 평면도이다. 도 4g는 도 3e의 Ⅰ-Ⅰ'를 자른 단면도이다.3E is a plan view illustrating a step of forming the first hard mask pattern 22a 'and the second hard mask pattern 24b' made of silicon nitride on the tungsten silicide layer 13 '. 4G is a cross-sectional view taken along the line II ′ of FIG. 3E.

도 3e와 도 4g를 참조하면, 상기 희생 패턴(34a')을 건식각이나 습식각에 의하여 선택적으로 제거하여 상기 텅스텐 실리사이드막(13') 위에 상기 제 1 하드마스크 패턴(22a')과 상기 제 2 하드마스크 패턴(24b')을 남긴다. 앞에서 설명한 바와 같이 상기 제 1 하드마스크 패턴(22a')은 셀 내의 게이트 패턴 중 반복되는 미 세한 게이트 패턴보다 큰 게이트 패턴을 정의하고, 상기 제 2 하드마스크 패턴(24b')은 셀 영역의 반복되는 미세한 게이트 패턴을 정의하기 위한 것이다. 3E and 4G, the sacrificial pattern 34a 'is selectively removed by dry etching or wet etching to form the first hard mask pattern 22a' and the first hard mask on the tungsten silicide layer 13 '. 2 Leave the hard mask pattern 24b '. As described above, the first hard mask pattern 22a 'defines a gate pattern larger than the repeated fine gate pattern among the gate patterns in the cell, and the second hard mask pattern 24b' is repeated in the cell region. To define a fine gate pattern.

도 3f와 도 4h를 참조하면, 상기 제 1 하드마스크 패턴(22a')과 상기 제 2 하드마스크 패턴(24b')을 마스크로 삼고 상기 텅스텐 실리사이드막(13')과 상기 폴리 실리콘막(12')을 식각하여 상기 텅스텐 실리사이드막(13a')과 상기 폴리 실리콘막(12a')의 적층으로 이루어진 게이트 패턴(14a')을 형성한다.3F and 4H, the tungsten silicide layer 13 ′ and the polysilicon layer 12 ′ are formed using the first hard mask pattern 22 a ′ and the second hard mask pattern 24 b ′ as masks. ) Is etched to form a gate pattern 14a 'formed by stacking the tungsten silicide layer 13a' and the polysilicon layer 12a '.

도 3f에 보이는 바와 같이 상기 제 2 하드마스크 패턴(24a')에 의해 형성된 게이트 패턴(14')은 스페이서 방식으로 만들어져서 두 라인씩 서로 연결되어 있다. 도 3g는 서로 연결된 상기 게이트 패턴(14')을 분리시키기 위하여 상기 게이트 패턴(14') 위에 포토레지스트 마스크(46')를 형성한 단계를 나타내는 평면도이다.As shown in FIG. 3F, the gate pattern 14 ′ formed by the second hard mask pattern 24 a ′ is formed in a spacer manner and is connected to each other by two lines. 3G is a plan view illustrating a step of forming a photoresist mask 46 'on the gate pattern 14' so as to separate the gate patterns 14 'connected to each other.

도 3h는 상기 포토레지스트 마스크(46')를 이용하여 상기 게이트 패턴(14')의 에지를 식각하여 제거함으로써 분리한 게이트 라인 패턴을 보여준다.FIG. 3H shows a gate line pattern separated by etching and removing an edge of the gate pattern 14 ′ using the photoresist mask 46 ′.

이와 같이 본 발명에 의하여, 스페이서를 이용하여 셀 안의 반복되는 미세한 게이트 패턴을 형성할 때, 셀 안의 다른 모양과 크기의 게이트 패턴과 페리·코어의 다양한 게이트 패턴을 동시에 형성할 수 있다.As described above, according to the present invention, when a minute gate pattern is repeated in a cell using a spacer, gate patterns having different shapes and sizes in the cell and various gate patterns of the ferry core can be simultaneously formed.

또한, 본 발명은 셀과 페리·코어의 패턴을 함께 형성하는 것뿐 아니라 임베디드 소자의 다른 영역의 다양한 패턴을 형성하는데도 사용될 수 있을 것이다.In addition, the present invention may be used not only to form a pattern of a cell and a ferry core, but also to form various patterns of other regions of an embedded device.

이상, 본 발명을 구체적인 실시예를 통하여 상세히 설명하였으나, 본 발명은 이에 한정되지 않고, 본 발명의 기술적 사상 내에서 당 분야의 통상의 지식을 가진 자에 의하여 그 변형이나 개량이 가능하다.As mentioned above, although this invention was demonstrated in detail through the specific Example, this invention is not limited to this, A deformation | transformation and improvement are possible by the person skilled in the art within the technical idea of this invention.

본 발명에 따른 반도체 소자의 패턴 형성 방법에서는 피식각막 위에 셀 영역의 반복되는 미세한 패턴과 페리·코어 영역의 다양한 모양과 크기를 갖는 패턴을 정의하는 하드마스크를 형성한다. 이렇게 형성된 하드마스크를 이용하여 피식각막을 식각함으로써 다양한 모양과 크기의 페리·코어의 패턴과 셀 패턴을 동시에 형성할 수 있어 공정이 간단해 질 수 있다. In the method for forming a pattern of a semiconductor device according to the present invention, a hard mask is formed on the etched film to define a repeated fine pattern of the cell region and a pattern having various shapes and sizes of the ferry core region. By etching the etched film using the hard mask thus formed, the ferrite core pattern and the cell pattern of various shapes and sizes can be simultaneously formed, thereby simplifying the process.

Claims (10)

반도체 기판 상의 피식각막 위에 제 1 하드마스크막으로 이루어진 제 1 하드마스크 패턴을 형성하는 단계; Forming a first hard mask pattern including a first hard mask layer on the etched film on the semiconductor substrate; 상기 제 1 하드마스크 패턴이 형성된 상기 피식각막 위에 희생 패턴을 형성하는 단계;Forming a sacrificial pattern on the etched film on which the first hard mask pattern is formed; 상기 제 1 하드마스크 패턴과 상기 희생 패턴의 둘레에 제 2 하드마스크막으로 이루어진 스페이서를 형성하는 단계;Forming a spacer including a second hard mask layer around the first hard mask pattern and the sacrificial pattern; 상기 희생 패턴을 선택적으로 제거하여 상기 피식각막 위에 상기 스페이서로 이루어진 제 2 하드마스크 패턴을 형성하는 단계; 및Selectively removing the sacrificial pattern to form a second hard mask pattern formed of the spacer on the etched film; And 상기 제 1 하드마스크 패턴과 상기 제 2 하드마스크 패턴을 식각 마스크로 하여 상기 피식각막을 식각하는 단계를 포함하는 반도체 소자의 패턴 형성 방법.And etching the etching target film using the first hard mask pattern and the second hard mask pattern as an etching mask. 제 1항에 있어서, 상기 제 1 하드마스크 패턴은 페리·코어 또는 셀 영역의 다양한 모양과 크기의 패턴을 정의하고, 상기 제 2 하드마스크 패턴은 셀 영역의 반복되는 미세한 패턴을 정의하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법. The method of claim 1, wherein the first hard mask pattern defines a pattern of various shapes and sizes of the ferry core or the cell region, and the second hard mask pattern defines a repeating fine pattern of the cell region. The pattern formation method of a semiconductor element. 제 1항에 있어서, 상기 제 1 하드마스크 패턴은 셀 영역의 반복되는 미세한 패턴의 일정 부분을 넓게 하여 이후 공정에서 콘택트가 형성될 수 있는 면적을 구비하도록 정의하고, 상기 제 2 하드마스크 패턴은 셀 영역의 반복되는 미세한 패턴을 정의하는 것을 포함하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법. The method of claim 1, wherein the first hard mask pattern is defined to widen a predetermined portion of a repeating fine pattern of the cell region to have an area where a contact can be formed in a subsequent process, and the second hard mask pattern is a cell A pattern forming method for a semiconductor device, comprising defining a repeating fine pattern of a region. 제 1항에 있어서, 상기 제 1 하드마스크 패턴은 셀 영역의 반복되는 미세한 게이트 패턴보다 큰 게이트 패턴을 정의하고, 상기 제 2 하드마스크 패턴은 셀 영역의 반복되는 미세한 게이트 패턴을 정의하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법. The method of claim 1, wherein the first hard mask pattern defines a gate pattern larger than the repeated fine gate pattern of the cell region, and the second hard mask pattern defines a repeated fine gate pattern of the cell region. The pattern formation method of a semiconductor element. 제 1항에 있어서, 상기 피식각막과 상기 제 1 하드마스크막 사이에 식각 방지막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법. The method of claim 1, further comprising forming an etch stop layer between the etched layer and the first hard mask layer. 제 1항에 있어서, 상기 제 1 하드마스크막은 실리콘 나이트라이드 계열 또는 폴리 실리콘 계열의 막으로 형성하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법. The method of claim 1, wherein the first hard mask layer is formed of a silicon nitride based or polysilicon based film. 제 1항에 있어서, 상기 희생막은 실리콘 옥사이드 계열의 막으로 형성하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법. The method of claim 1, wherein the sacrificial layer is formed of a silicon oxide layer. 제 1항에 있어서, 상기 제 2 하드마스크막은 상기 제 1 하드마스크막과 동일한 막으로 형성하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법. 2. The method of claim 1, wherein the second hard mask film is formed of the same film as the first hard mask film. 제 1항에 있어서, 상기 제 2 하드마스크막은 스텝 커버리지가 높은 열 실리콘 나이트라이드막으로 형성하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법. The method of claim 1, wherein the second hard mask film is formed of a thermal silicon nitride film having high step coverage. 제 1항에 있어서, 상기 제 1 하드마스크막과 상기 제 2 하드마스크막은 스텝 커버리지가 높은 열 실리콘 옥사이드 막으로 형성하고, 상기 희생막은 실리콘 나이트라이드 계열의 막으로 형성하는 것을 특징으로 하는 반도체 소자의 패턴 형성 방법. The semiconductor device of claim 1, wherein the first hard mask film and the second hard mask film are formed of a thermal silicon oxide film having high step coverage, and the sacrificial film is formed of a silicon nitride based film. Pattern formation method.
KR1020050036069A 2005-04-29 2005-04-29 Method of forming patterns for semiconductor device KR20060113162A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050036069A KR20060113162A (en) 2005-04-29 2005-04-29 Method of forming patterns for semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050036069A KR20060113162A (en) 2005-04-29 2005-04-29 Method of forming patterns for semiconductor device

Publications (1)

Publication Number Publication Date
KR20060113162A true KR20060113162A (en) 2006-11-02

Family

ID=37651564

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050036069A KR20060113162A (en) 2005-04-29 2005-04-29 Method of forming patterns for semiconductor device

Country Status (1)

Country Link
KR (1) KR20060113162A (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100866723B1 (en) * 2006-12-28 2008-11-05 주식회사 하이닉스반도체 Semiconductor device and method for forming fine pattern of the same
WO2009137199A2 (en) * 2008-04-04 2009-11-12 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8216948B2 (en) 2008-08-29 2012-07-10 Hynix Semiconductor Inc Exposure mask and method for forming semiconductor device using the same
US8227354B2 (en) 2009-01-07 2012-07-24 Samsung Electronics Co., Ltd. Method of forming semiconductor device patterns
US8247291B2 (en) 2010-01-28 2012-08-21 Samsung Electronics Co., Ltd. Methods of forming fine patterns in integrated circuit devices and methods of manufacturing integrated circuit devices including the same
US8310055B2 (en) 2008-12-24 2012-11-13 Samsung Electronics Co., Ltd. Semiconductor devices having narrow conductive line patterns and related methods of forming such semiconductor devices
US8338310B2 (en) 2009-05-25 2012-12-25 Samsung Electronics Co., Ltd. Method of forming line/space patterns
US8337950B2 (en) 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US8618679B2 (en) 2009-09-15 2013-12-31 Samsung Electronics Co., Ltd. Pattern structures in semiconductor devices
US8623772B2 (en) 2009-01-22 2014-01-07 SK Hynix Inc. Method of forming patterns of semiconductor device
US8759224B2 (en) 2010-08-19 2014-06-24 Samsung Electronics Co., Ltd. Method of forming a pattern structure for a semiconductor device
KR101540083B1 (en) * 2008-10-22 2015-07-30 삼성전자주식회사 Method of forming patterns for semiconductor device

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7776747B2 (en) 2006-12-28 2010-08-17 Hynix Semiconductor Inc. Semiconductor device and method for forming pattern in the same
KR100866723B1 (en) * 2006-12-28 2008-11-05 주식회사 하이닉스반도체 Semiconductor device and method for forming fine pattern of the same
US8337950B2 (en) 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
WO2009137199A2 (en) * 2008-04-04 2009-11-12 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
WO2009137199A3 (en) * 2008-04-04 2009-12-30 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
CN102017081A (en) * 2008-04-04 2011-04-13 应用材料股份有限公司 Boron nitride and boron-nitride derived materials deposition method
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8216948B2 (en) 2008-08-29 2012-07-10 Hynix Semiconductor Inc Exposure mask and method for forming semiconductor device using the same
US9117654B2 (en) 2008-10-22 2015-08-25 Samsung Electronics Co., Ltd. Methods of forming fine patterns in integrated circuit devices
KR101540083B1 (en) * 2008-10-22 2015-07-30 삼성전자주식회사 Method of forming patterns for semiconductor device
US8310055B2 (en) 2008-12-24 2012-11-13 Samsung Electronics Co., Ltd. Semiconductor devices having narrow conductive line patterns and related methods of forming such semiconductor devices
US8629052B2 (en) 2008-12-24 2014-01-14 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices having narrow conductive line patterns
US8227354B2 (en) 2009-01-07 2012-07-24 Samsung Electronics Co., Ltd. Method of forming semiconductor device patterns
US8623772B2 (en) 2009-01-22 2014-01-07 SK Hynix Inc. Method of forming patterns of semiconductor device
US8338310B2 (en) 2009-05-25 2012-12-25 Samsung Electronics Co., Ltd. Method of forming line/space patterns
US8618679B2 (en) 2009-09-15 2013-12-31 Samsung Electronics Co., Ltd. Pattern structures in semiconductor devices
US9558993B2 (en) 2009-09-15 2017-01-31 Samsung Electronics Co., Ltd. Pattern structures in semiconductor devices and methods of forming pattern structures in semiconductor devices
US8247291B2 (en) 2010-01-28 2012-08-21 Samsung Electronics Co., Ltd. Methods of forming fine patterns in integrated circuit devices and methods of manufacturing integrated circuit devices including the same
US8759224B2 (en) 2010-08-19 2014-06-24 Samsung Electronics Co., Ltd. Method of forming a pattern structure for a semiconductor device

Similar Documents

Publication Publication Date Title
KR20060113162A (en) Method of forming patterns for semiconductor device
KR100476924B1 (en) Method Of Forming Fine Pattern Of Semiconductor Device
KR101087835B1 (en) Method for fabricating fine pattern of semiconductor device
KR100640640B1 (en) Method of forming fine pattern of semiconductor device using fine pitch hardmask
KR100752674B1 (en) Method of forming fine pitch hardmask and method of fine patterns of semiconductor device
KR100734464B1 (en) Method of forming fine pitch hardmask and method of fine patterns of semiconductor device
KR20170042056A (en) Method of forming patterns for semiconductor device
TWI508131B (en) Method for forming fine pattern
US20120175745A1 (en) Methods for fabricating semiconductor devices and semiconductor devices using the same
KR20160122695A (en) Spacer enabled active isolation for an integrated circuit device
KR20170098619A (en) Method of forming a pattern and method of manufacturing a semiconductor device using the same
KR101096907B1 (en) Semiconductor device and method of fabricating the same
KR101057191B1 (en) Method of forming fine pattern of semiconductor device
CN110349845B (en) Method for forming semiconductor structure
KR100834440B1 (en) Method for forming semiconductor device
CN110890326B (en) Method for producing gate cut structure on semiconductor fin array
KR20070113604A (en) Method for forming micro pattern of semiconductor device
KR20100107208A (en) Method for fabricating minute pattern in semiconductor device
KR100940275B1 (en) Method for forming gate pattern in semiconductor device
KR100995142B1 (en) Method of fabricating contact hole in semiconductor device
KR100792394B1 (en) Method for forming semiconductor device
KR100715600B1 (en) Method of fabricating the fine pattern
KR20020001247A (en) Method of manufacturing a flash memory cell
US10943819B2 (en) Semiconductor structure having a plurality of capped protrusions
KR20090002655A (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid