KR20050092368A - Dielectric film forming method - Google Patents

Dielectric film forming method Download PDF

Info

Publication number
KR20050092368A
KR20050092368A KR1020057011048A KR20057011048A KR20050092368A KR 20050092368 A KR20050092368 A KR 20050092368A KR 1020057011048 A KR1020057011048 A KR 1020057011048A KR 20057011048 A KR20057011048 A KR 20057011048A KR 20050092368 A KR20050092368 A KR 20050092368A
Authority
KR
South Korea
Prior art keywords
dielectric film
film
forming
dielectric
substrate
Prior art date
Application number
KR1020057011048A
Other languages
Korean (ko)
Other versions
KR100791197B1 (en
Inventor
쉬퀸 시아오
다까유끼 오바
Original Assignee
후지쯔 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지쯔 가부시끼가이샤 filed Critical 후지쯔 가부시끼가이샤
Priority to KR1020057011048A priority Critical patent/KR100791197B1/en
Publication of KR20050092368A publication Critical patent/KR20050092368A/en
Application granted granted Critical
Publication of KR100791197B1 publication Critical patent/KR100791197B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method for forming a high-K dielectric film on a substrate comprises a plurality of steps, each step including a processing for modifying the properties of the formed high-K dielectric film in an atmosphere mainly containing nitrogen.

Description

유전체막의 형성 방법{Dielectric Film Forming Method}Dielectric Film Forming Method

본 발명은 일반적으로 반도체 장치에 관한 것이며, 특히 금속 산화물 또는 금속 실리케이트로 형성된 고유전체 절연막(이른바, 고K 유전체막)을 갖는 반도체 장치 및 그의 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to semiconductor devices, and more particularly to semiconductor devices having a high dielectric insulating film (so-called high K dielectric film) formed of metal oxides or metal silicates, and methods of manufacturing the same.

초고속 작동이 요구되는 CMOS-LSI 등의 반도체 집적 회로 장치에서는 반도체 집적 회로 장치를 구성하는 전계 효과형 트랜지스터(MOSFET)가 매우 짧은 게이트 길이를 갖는 것이 요구되고 있으며, 따라서 MOSFET의 미세화에 대하여 많은 노력이 이루어지고 있다.In semiconductor integrated circuit devices such as CMOS-LSI, which require ultra-high speed operation, field effect transistors (MOSFETs) constituting semiconductor integrated circuit devices are required to have very short gate lengths. Therefore, much effort is required for miniaturization of MOSFETs. It is done.

이와 같이 미세화된 MOSFET에서는 스케일링 법칙으로부터 게이트 절연막의 막두께에 대해서도 제한이 가해지며, 예를 들면 게이트 절연막의 막두께를 산화막 두께로 환산하여 2.5 nm 정도 이하로 감소시키는 것이 요구되고 있다.In such a miniaturized MOSFET, the scaling law imposes restrictions on the film thickness of the gate insulating film. For example, it is required to reduce the film thickness of the gate insulating film to about 2.5 nm or less by converting it into an oxide film thickness.

종래부터 게이트 절연막으로서 일반적으로 누설 전류 특성이 양호하고, 계면 준위 밀도가 낮은 실리콘 산화막이 사용되고 있다. 그러나, 실리콘 산화막을 포함하는 종래의 게이트 절연막에서는 게이트 절연막의 물리적 막두께의 감소에 따라 직접 터널 전류가 증가하고, 이로 인해 게이트 절연막의 막두께가 상기한 값보다 더 감소되면 터널 전류에 의한 게이트 누설 전류가 큰 문제가 되었다. 게이트 누설 전류가 증대되면, 예를 들면 게이트 오프시에 실질적인 누설 전류가 발생하여 반도체 장치의 회로가 정상적으로 작동하지 않거나, 또는 소비 전력이 증가하는 등의 문제가 발생한다.Conventionally, a silicon oxide film having a good leakage current characteristic and a low interfacial density has been used as a gate insulating film. However, in the conventional gate insulating film including the silicon oxide film, the direct tunnel current increases with the decrease of the physical film thickness of the gate insulating film. As a result, the gate leakage caused by the tunnel current is increased when the film thickness of the gate insulating film is further reduced. Current became a big problem. When the gate leakage current increases, for example, a substantial leakage current occurs at the gate-off, causing a problem such that a circuit of the semiconductor device does not operate normally or power consumption increases.

따라서, 상기한 문제를 해소하기 위해, 게이트 절연막의 재료로서 높은 유전율을 갖는 금속 산화물이나 금속 실리케이트 등의 고유전체막(이하, 고K 유전체막이라고 함)을 사용하는 것이 검토되고 있다.Therefore, in order to solve the above problem, it has been studied to use a high dielectric film (hereinafter referred to as a high K dielectric film) having a high dielectric constant or a metal silicate as a material of the gate insulating film.

종래부터 이러한 고K 유전체막은 200 내지 600 ℃의 기판 온도에서 MOCVD법 또는 원자층 CVD(ALD)법에 의해 형성되고 있다. ALD법에서는 고K막을 구성하는 금속 원소를 포함하는 원료 화합물을 원료 가스 형태로 공급하여 피처리 기판 표면에 원료 화합물 분자를 흡착시키고, 추가로 이것을 H2O 등의 산화 가스에 의해 산화시킴으로써 고K 유전체막을 1 원자층씩 성장시킬 수 있다. 이러한 저온에서의 막형성 기술에 의해 동일한 막두께의 우수한 모폴로지(morphology)를 갖는 고K 유전체막의 성장이 가능해진다. 또한, MOCVD법에 의해서도 마찬가지로 동일한 막두께의 고K 유전체막을 얻을 수 있다.Conventionally, such a high K dielectric film has been formed by a MOCVD method or an atomic layer CVD (ALD) method at a substrate temperature of 200 to 600 占 폚. In the ALD method, a raw material compound containing a metal element constituting a high K film is supplied in the form of a raw material gas to adsorb the raw material compound molecules on the surface of the substrate to be treated, and further oxidized by an oxidizing gas such as H 2 O to obtain a high K. The dielectric film can be grown by one atomic layer. This low temperature film formation technique enables the growth of high K dielectric films having excellent morphology of the same film thickness. Also by the MOCVD method, a high K dielectric film having the same film thickness can be obtained.

한편, 반도체 장치의 제조 공정에는 이러한 고K 유전체막의 막형성 공정 뿐만 아니라, 복수회에 걸쳐 행해지는 이온 주입 공정이 포함되어 있으며, 이러한 이온 주입 공정에 있어서는 반도체 기판 중의 소자 영역에 도입된 불순물 원소를 활성화시키기 위해 1000 ℃ 전후, 전형적으로는 1050 ℃ 온도에서의 급속 열처리 공정을 불가피하게 수행해야 한다.On the other hand, the manufacturing process of the semiconductor device includes not only such a high K dielectric film formation process but also an ion implantation process performed in a plurality of times, and in such an ion implantation process, impurity elements introduced into the element region in the semiconductor substrate are included. In order to be activated, a rapid heat treatment process at temperatures of around 1000 ° C., typically at 1050 ° C., must be carried out.

따라서, 고K 유전체막을 포함하는 게이트 절연막을 갖는 반도체 장치에 있어서, 고K 유전체 게이트 절연막은 이러한 고온 열처리가 실시된 후의 상태에서도 우수한 전기적 특성을 유지할 필요가 있다.Therefore, in a semiconductor device having a gate insulating film containing a high K dielectric film, the high K dielectric gate insulating film needs to maintain excellent electrical characteristics even after such high temperature heat treatment is performed.

또한, 게이트 절연막 중에 고정 전하 또는 계면 준위 등의 결함이 포함되어 있는 경우, 캐리어가 이들 고정 전하 또는 계면 준위에 포획되어 플래트 밴드(flat-band) 전압의 변이, 또는 임계치 특성의 변화 등의 문제가 발생한다. 또한, 이들 결함을 통한 누설 전류도 커져 반도체 장치의 신뢰성이 저하된다. 따라서, 고K 유전체 게이트 절연막에 있어서도, 종래의 열산화막과 마찬가지로 막 중에 고정 전하나 계면 준위가 포함되지 않는 것이 요구된다.In addition, when the gate insulating film contains defects such as a fixed charge or an interface level, carriers are trapped at these fixed charges or an interface level, thereby causing problems such as variations in flat-band voltages or changes in threshold characteristics. Occurs. In addition, leakage current through these defects also increases, which lowers the reliability of the semiconductor device. Therefore, also in the high K dielectric gate insulating film, it is required that the fixed electric charge or the interface level is not included in the film as in the conventional thermal oxide film.

그러나, 저온의 MOCVD법 또는 ALD법으로 형성된 고K 유전체막은 비정질막이며, 언뜻 보면 우수한 모폴로지를 갖고 있어도 막 중에는 실제적으로 여러가지 결함이 포함되어 있다. 특히, 산화제로서 H2O를 사용한 ALD법에 의해 형성했을 경우, 막은 다량의 OH기를 포함하는 경우가 많다.However, the high K dielectric film formed by the low-temperature MOCVD method or the ALD method is an amorphous film, and even at first glance, even though it has excellent morphology, the film actually contains various defects. In particular, when formed by the ALD method using H 2 O as the oxidizing agent, the film often contains a large amount of OH groups.

따라서, 본 발명의 발명자는 본 발명의 기초가 되는 연구에 있어서, 이와 같이 막 중에 다량의 결함을 포함하는 비정질 상태의 고K 유전체막에 대하여, 실제 반도체 장치의 제조 공정에서 불순물 원소의 활성화에 사용되는 조건으로 열처리를 행하여 특성 변화를 조사하였다.Therefore, the inventor of the present invention, in the research underlying the present invention, is used to activate an impurity element in a manufacturing process of a semiconductor device in the case of an amorphous high K dielectric film containing a large amount of defects in the film as described above. The change of properties was investigated by heat-treating on condition that it becomes.

도 1A는 본 발명의 발명자가 상기 본 발명의 기초가 되는 연구에 있어서, ALD법에 의해 형성한 HfO2막의 단면 TEM상을 나타낸다.Fig. 1A shows a cross-sectional TEM image of an HfO 2 film formed by the ALD method in a study on which the inventor of the present invention is based.

도 1A를 참조하면, HfO2막은, 두께가 1 nm인 계면 산화막(열산화막)이 형성된 실리콘 기판 상에 도 2에 나타낸 바와 같이 질소 가스에 의한 퍼징 공정을 중간에 설치하여 300 ℃의 기판 온도에서 HfCl4 가스와 H2O 가스를 반복적으로 공급함으로써 3.0 nm의 막두께로 형성되어 있으며, 평탄한 표면의 특징을 갖는 동일한 막두께를 갖는다는 것을 알 수 있다.Referring to FIG. 1A, an HfO 2 film is formed on a silicon substrate having an interfacial oxide film (thermal oxide film) having a thickness of 1 nm at a substrate temperature of 300 deg. C as shown in FIG. By repeatedly supplying the HfCl 4 gas and the H 2 O gas, it can be seen that the film is formed with a thickness of 3.0 nm and has the same film thickness with the characteristic of a flat surface.

한편, 도 1B는 도 1A의 HfO2막을 일단 질소 분위기 중에 700 ℃에서 열처리하고, 추가로 여기에 1050 ℃에서 10 초간 열처리를 행한 경우의 막 단면의 TEM상을 나타낸다.1B shows the TEM image of the film cross section when the HfO 2 film of FIG. 1A is once heat treated at 700 ° C. in a nitrogen atmosphere and further subjected to heat treatment at 1050 ° C. for 10 seconds.

도 1B를 참조하면, 이러한 고온에서의 열처리 결과, 실리콘 기판 상에 있어서 HfO2막에는 현저한 응집이 발생하며, 도 1A에서 보여진 동일한 막두께로 연속적으로 연장되는 HfO2막의 모폴로지는 상실된다는 것을 알 수 있다. 또한, 이에 따라, 후술하는 바와 같이 도 1B의 구조에서는 누설 전류가 대폭적으로 증대된다. 이것은 앞서 설명한 바와 같이, 도 1A의 HfO2막이 언뜻 보면 우수한 모폴로지를 갖고 있어도 실제적으로는 막 중에 다량의 결함을 포함하고 있기 때문에, 열처리가 행해지면 이러한 결함을 통한 원자의 대규모 이동이 발생한다는 것을 나타낸다. 이러한 막은 고속 반도체 장치의 게이트 절연막으로서 사용할 수 없다.Referring to FIG. 1B, it can be seen that, as a result of this heat treatment at high temperatures, significant aggregation occurs in the HfO 2 film on the silicon substrate, and the morphology of the HfO 2 film continuously extending to the same film thickness shown in FIG. 1A is lost. have. As a result, the leakage current is greatly increased in the structure of FIG. 1B as described later. This indicates that, as described above, even though the HfO 2 film of FIG. 1A has a good morphology at first glance, it actually contains a large amount of defects in the film, so that large-scale movement of atoms through such defects occurs when heat treatment is performed. . Such a film cannot be used as a gate insulating film of a high speed semiconductor device.

또한, 도 1A, 1B의 TEM상에 있어서, 상기 실리콘 기판은 격자상이 보여진다는 점에 주의해야 한다. It should also be noted that in the TEM images of Figs. 1A and 1B, the silicon substrate has a lattice shape.

일본 특허 공개 (평)11-177057호 공보Japanese Patent Publication No. 11-177057

일본 특허 공개 제2001-152339호 공보 Japanese Patent Laid-Open No. 2001-152339

도 1A 및 1B는 종래의 방법으로 형성된 고K 유전체막 및 그의 문제점을 설명하는 도면이다.1A and 1B are diagrams illustrating a high K dielectric film formed by a conventional method and problems thereof.

도 2는 종래의 ALD 공정 순서를 나타내는 도면이다.2 is a view showing a conventional ALD process sequence.

도 3A 내지 3D는 본 발명의 제1 실시예에 의한 유전체막의 형성 방법을 설명하는 도면이다.3A to 3D are views for explaining a method of forming a dielectric film according to the first embodiment of the present invention.

도 4는 본 발명의 제1 실시예에서 사용되는 ALD 공정 순서를 나타내는 도면이다.4 is a view showing an ALD process sequence used in the first embodiment of the present invention.

도 5는 본 발명의 제1 실시예에 의해 형성된 고K 유전체막을 나타내는 도면이다.Fig. 5 shows a high K dielectric film formed by the first embodiment of the present invention.

도 6은 도 5의 고K 유전체막의 고온 열처리 후의 상태를 나타내는 도면이다.FIG. 6 is a diagram illustrating a state after high temperature heat treatment of the high K dielectric film of FIG. 5.

도 7은 본 발명의 제1 실시예에서 사용되는 매엽형 처리 장치의 구성을 나타내는 도면이다.Fig. 7 is a diagram showing the configuration of the sheet type processing apparatus used in the first embodiment of the present invention.

도 8은 본 발명의 제2 실시예에 의해 형성된 고K 유전체막의 고온 열처리 후의 상태를 나타내는 도면이다.Fig. 8 is a diagram showing a state after high temperature heat treatment of the high K dielectric film formed by the second embodiment of the present invention.

도 9는 본 발명의 제2 실시예에서 사용되는 막 개질 장치의 구성을 나타내는 도면이다.Fig. 9 is a diagram showing the construction of a membrane reforming apparatus used in the second embodiment of the present invention.

도 10은 본 발명의 제3 실시예에 의한 MOS 다이오드의 구성을 나타내는 도면이다.10 is a diagram showing the configuration of a MOS diode according to a third embodiment of the present invention.

도 11은 도 10의 MOS 다이오드의 누설 전류 특성을 나타내는 도면이다.FIG. 11 is a diagram illustrating a leakage current characteristic of the MOS diode of FIG. 10.

도 12A 및 12B는 도 10의 MOS 다이오드의 C-V 특성을, 고온 열처리의 각각 전후의 상태로 나타내는 도면이다.12A and 12B are diagrams showing C-V characteristics of the MOS diode of FIG. 10 before and after the high temperature heat treatment, respectively.

도 13은 개질 처리시의 온도와 얻어지는 고K 유전체막의 평균 막두께와의 관계를 나타내는 도면이다.Fig. 13 is a diagram showing the relationship between the temperature at the time of the modification process and the average film thickness of the obtained high K dielectric film.

도 14는 본 발명의 제4 실시예에서 사용되는 막 개질 처리 장치의 구성을 나타내는 도면이다.Fig. 14 is a diagram showing the structure of a film reforming apparatus used in the fourth embodiment of the present invention.

도 15 및 16은 본 발명의 제4 실시예에서 얻어지는 고K 유전체막의 개략적인 구조를 나타내는 도면이다.15 and 16 show a schematic structure of a high K dielectric film obtained in the fourth embodiment of the present invention.

도 17은 도 10의 MOS 다이오드에 있어서 고K 유전체막을 본 발명의 제4 실시예에 따라 형성한 경우의 C-V 특성을 나타내는 도면이다.FIG. 17 shows C-V characteristics when a high K dielectric film is formed in accordance with the fourth embodiment of the present invention in the MOS diode of FIG.

도 18은 도 10의 MOS 다이오드에 있어서 고K 유전체막을 본 발명의 제4 실시예에 따라 형성한 경우의 누설 전류 특성을 나타내는 도면이다.FIG. 18 is a diagram showing a leakage current characteristic when a high K dielectric film is formed according to the fourth embodiment of the present invention in the MOS diode of FIG.

도 19A 내지 19F는 본 발명의 제5 실시예에 의한 반도체 장치의 제조 공정을 나타내는 도면이다.19A to 19F are views showing the manufacturing process of the semiconductor device according to the fifth embodiment of the present invention.

따라서, 본 발명은 상기한 과제를 해결한 신규하고 유용한 유전체막의 형성 방법을 제공하는 것을 개괄적 과제로 한다.Accordingly, it is an object of the present invention to provide a novel and useful method for forming a dielectric film that solves the above problems.

본 발명의 보다 구체적인 과제는, 기판 표면에 고온에서의 열처리에 대하여 안정된 고K 유전체막을 형성하는 방법을 제공하는 데 있다.A more specific object of the present invention is to provide a method of forming a high K dielectric film that is stable against heat treatment at a high temperature on a substrate surface.

본 발명의 하나의 관점에 따르면, According to one aspect of the invention,

상기 기판 표면에 유전체막을 복수회로 나누어 형성하는 공정, 및 Forming a dielectric film in a plurality of times on the substrate surface; and

상기 복수회로 나누어 행하는 각각의 유전체막 형성 공정에 있어서, 형성된 유전체막을 질소가 주가 되는 분위기 중에서 개질시키는 처리 공정In each dielectric film forming step of dividing the plurality of times, the treating step of modifying the formed dielectric film in an atmosphere mainly containing nitrogen.

을 포함하는, 기판 표면에 유전체막을 형성하는 유전체막의 형성 방법이 제공된다.Provided is a method of forming a dielectric film for forming a dielectric film on a surface of a substrate.

본 발명의 다른 관점에 따르면, According to another aspect of the invention,

기판, Board,

이 기판 상에 형성된 고K 유전체 게이트 절연막, A high K dielectric gate insulating film formed on the substrate,

이 고K 유전체 게이트 절연막 상에 형성된 게이트 전극, A gate electrode formed on the high K dielectric gate insulating film,

상기 기판 중 상기 게이트 전극의 양측에 형성된 한쌍의 확산 영역을 구비하며, A pair of diffusion regions formed on both sides of the gate electrode of the substrate,

상기 고K 유전체 게이트 절연막은 고K 유전체 분자층과 SiON 분자층을 반복적으로 적층한 구조를 갖는 반도체 장치가 제공된다.The high K dielectric gate insulating film is provided with a semiconductor device having a structure in which a high K dielectric molecular layer and a SiON molecular layer are repeatedly stacked.

본 발명에 따르면, 고K 유전체막을 게이트 절연막으로서 사용하는 반도체 장치의 제조 공정에 있어서, 상기 고K 유전체막의 형성을 복수회로 나누어 행하고, 상기 복수회의 형성 공정 각각에 있어서 질소 분위기 중에서 개질 처리를 행함으로써 고K 유전체막 중에 고K막을 구성하는 원자가 평형 위치로 이동하여 막 중의 결함이 해소된다. 그 결과, 이러한 고K 유전체막을 게이트 전극에 사용한 반도체 장치의 제조 공정에 있어서, 고K 유전체막의 형성 후 이온 주입 공정을 행하고, 이온 주입 공정에 의해 기판 중에 도입된 불순물 원소를 고온으로 활성화하는 활성화 열처리 공정을 행한 경우에도, 활성화 열처리에 대하여 안정된 고K 유전체막을 얻을 수 있다. 특히 상기 개질 처리 공정을 산소를 첨가한 질소 분위기 중에서의 열처리 공정에 의해 행함으로써, 반도체 기판과 고K 유전체막과의 계면을 안정화시킬 수 있고, 고K 유전체막 중의 산소 결손의 발생을 억제할 수 있다. 또한, 고K 유전체막 중에 Si, O 및 N을 반복적으로 도입함으로써, 고온 열처리에 대한 안정성이 더욱 향상됨과 동시에 누설 전류가 감소된다. 또한, 이와 같이 막 중에 Si, O 및 N이 도입된 고K 유전체막은, 게이트 전극 중에 포함되는 B(붕소) 등의 불순물 원소의 확산을 효과적으로 제어할 수 있다. 또한, 상기 개질 처리 공정을 플라즈마 처리에 의해 행할 수도 있다.According to the present invention, in the manufacturing process of a semiconductor device using a high K dielectric film as a gate insulating film, the formation of the high K dielectric film is divided into a plurality of times, and the reforming process is performed in a nitrogen atmosphere in each of the plurality of forming steps. The atoms constituting the high K film in the high K dielectric film move to the equilibrium position, and the defects in the film are eliminated. As a result, in the manufacturing process of a semiconductor device using such a high K dielectric film as a gate electrode, an activation heat treatment is performed after the formation of the high K dielectric film to perform an ion implantation step to activate the impurity element introduced into the substrate by the ion implantation process at a high temperature. Even in the case of performing the step, a high K dielectric film stable against the activation heat treatment can be obtained. In particular, by performing the reforming process by a heat treatment step in a nitrogen-added nitrogen atmosphere, the interface between the semiconductor substrate and the high K dielectric film can be stabilized and generation of oxygen vacancies in the high K dielectric film can be suppressed. have. In addition, by repeatedly introducing Si, O, and N into the high K dielectric film, the stability against high temperature heat treatment is further improved and the leakage current is reduced. In addition, the high K dielectric film in which Si, O, and N are introduced into the film can effectively control the diffusion of impurity elements such as B (boron) contained in the gate electrode. Moreover, the said modification process can also be performed by a plasma process.

본 발명의 그 밖의 과제 및 특징은, 이하에 도면을 참조하면서 행하는 바람직한 실시예의 상세한 설명으로부터 명확해질 것이다.Other objects and features of the present invention will become apparent from the following detailed description of preferred embodiments, which will be made with reference to the drawings.

<제1 실시예><First Embodiment>

도 3A 내지 3D는 본 발명의 제1 실시예에 의한 유전체막의 형성 방법을 나타낸다. 3A to 3D show a method of forming a dielectric film according to the first embodiment of the present invention.

도 3A를 참조하면, 실리콘 기판 (11)의 표면에는 두께가 1 nm 정도인 계면 산화막 (12)를 통해 HfO2막 등의 고K 유전체막 (13)이 ALD법 또는 MOCVD법에 의해 2 내지 3 원자층의 막두께에 대응하는 약 0.6 nm의 막두께로 형성된다.Referring to FIG. 3A, a high K dielectric film 13 such as an HfO 2 film is formed on the surface of the silicon substrate 11 by an ALD method or a MOCVD method through an interfacial oxide film 12 having a thickness of about 1 nm. It is formed with a film thickness of about 0.6 nm corresponding to the film thickness of the atomic layer.

예를 들면, 상기 고K 유전체막 (13)을 ALD법에 의해 형성하는 경우, 도 3A의 공정에 있어서 기판 온도를 300 ℃로 설정하고, 도 4의 「단계 1」에 나타낸 바와 같이 중간에 질소 가스에 의한 퍼징 공정을 설치하고 HfCl4 등의 기상 원료와 H2O 등의 반응제를 교대로 기상 반응 장치로 공급한다. 이러한 공정에 의해, 상기 실리콘 기판 (11)의 표면, 보다 정확하게는 상기 계면 산화막 (12)의 표면에는 최초로 HfCl4 분자가 화학 흡착되고, 이 화학 흡착된 HfCl4 분자를 H2O 가스에 의해 산화함으로써 상기 2 내지 3 원자층 분량의 막두께를 가진 HfO2막 (13)이 형성된다. 단, 도 4는 본 실시예에서 사용되는 공정 순서를 나타내는 도면이다. 도 3A의 공정, 즉 도 4의 단계 1의 공정에서는 이러한 HfCl4 분자의 화학 흡착과 산화 처리를 11회 정도 반복함으로써, 앞서 설명한 바와 같이 상기 HfO2막이 2 내지 3 원자층의 막두께에 대응하는 약 0.6 nm의 막두께로 형성된다.For example, in the case where the high K dielectric film 13 is formed by the ALD method, the substrate temperature is set to 300 ° C in the process of FIG. 3A, and nitrogen is intermediate as shown in "Step 1" of FIG. A gas purging process is provided, and gaseous raw materials such as HfCl 4 and reactants such as H 2 O are alternately supplied to the gas phase reaction apparatus. By this process, HfCl 4 molecules are first chemosorbed on the surface of the silicon substrate 11, more precisely, on the surface of the interfacial oxide film 12, and the chemisorbed HfCl 4 molecules are oxidized by H 2 O gas. As a result, the HfO 2 film 13 having the film thickness of 2 to 3 atomic layers is formed. 4 is a diagram showing a process sequence used in this embodiment. In the process of FIG. 3A, that is, the process of step 1 of FIG. 4, the chemical adsorption and oxidation treatment of the HfCl 4 molecules are repeated about 11 times, so that the HfO 2 film corresponds to the film thickness of 2-3 atomic layers as described above. It is formed with a film thickness of about 0.6 nm.

이와 같이 하여 도 3A의 공정에서 형성된 고K 유전체막 (13)은, 도 4의 공정 순서에서의「단계 2」에 대응하는 도 3B의 공정에 있어서 질소 분위기 중에 600 내지 700 ℃의 온도로 15 초간 열처리되고, 그 결과 상기 HfO2막 (13) 중의 결함이 해소되고 응력이 완화된다. 또한, 이러한 열처리에 의해 비정질 상태의 HfO2막이 결정화된다. 이러한 도 3B 공정의 열처리는, 예를 들면 도 3A 공정 후, 피처리 기판을 ALD 장치로부터 취출하고, 진공 분위기의 기판 반송실을 통해 별도의 처리실로 이동시킴으로써 쉽게 실행할 수 있다.Thus, the high K dielectric film 13 formed at the process of FIG. 3A is 15 seconds at the temperature of 600-700 degreeC in nitrogen atmosphere in the process of FIG. 3B corresponding to "step 2" in the process sequence of FIG. As a result, the defects in the HfO 2 film 13 are eliminated and the stress is relaxed. In addition, the HfO 2 film in the amorphous state is crystallized by this heat treatment. Such a heat treatment of the FIG. 3B process can be easily carried out by, for example, removing the substrate to be processed from the ALD apparatus after the FIG. 3A process and moving it to a separate processing chamber through the substrate transfer chamber in a vacuum atmosphere.

이어서, 본 실시예에서는 이와 같이 하여 결정화된 HfO2막 상에, 도 4의 공정 순서에서의 「단계 3」에 대응하는 도 3C의 공정에 있어서 다시 HfO2막을 퇴적시키는 ALD 처리 공정을 행하여 상기 HfO2막 (13)을 성장시키고, 도 4의 공정 순서에서의 「단계 4」에 대응하는 도 3D의 공정에 있어서 먼저 퇴적되어 있는 HfO2막 (13)을 다시 600 내지 700 ℃의 온도에서 15 초간 열처리하여 상기 HfO2막 (13)의 개질 처리를 행한다.Then, in this embodiment, In this way, by performing the re-ALD process for depositing two membranes HfO In the process of Figure 3C corresponding to the "Step 3" in the phase crystallized HfO 2 film, the process sequence of Figure 4 wherein the HfO 2 films 13 are grown, and the HfO 2 film 13 deposited first in the process of FIG. 3D corresponding to "Step 4" in the process sequence of FIG. 4 is again maintained at a temperature of 600 to 700 ° C for 15 seconds. The heat treatment is performed to modify the HfO 2 film 13.

또한, 도 3C 및 도 3D의 공정을 도면 중에 화살표로 나타낸 바와 같이 필요한 회수만을 반복함으로써, 도 5에 나타낸 바와 같이 상기 실리콘 기판 (11) 상에 SiO2 계면 산화막 (12)를 통해 막두께가 약 3.0 nm인 HfO2막이 형성된 구조를 얻을 수 있다.In addition, by repeating the processes of FIGS. 3C and 3D only as needed, as indicated by arrows in the figure, the film thickness is reduced through the SiO 2 interfacial oxide film 12 on the silicon substrate 11 as shown in FIG. 5. A structure in which an HfO 2 film of 3.0 nm is formed can be obtained.

도 6은, 종래부터 실리콘 기판 중에 이온 주입 공정에 의해 도입된 불순물 원소를 활성화하는 데 사용되고 있는 바와 같이, 상기와 같이 하여 얻어진 도 5의 구조를 1050 ℃의 온도에서 10 초간 열처리한 후의 상태를 나타내는 TEM상이다.FIG. 6 shows a state after heat-treating the structure of FIG. 5 obtained as described above, for 10 seconds at a temperature of 1050 ° C., as conventionally used to activate an impurity element introduced by an ion implantation process into a silicon substrate. TEM image.

도 6으로부터 알 수 있는 바와 같이, HfO2막 (13)은 이러한 고온 열처리 후에도 평탄한 모폴로지를 유지하고 있으며, 도 1B에 나타난 응집은 발생하지 않는다는 것을 알 수 있다. 도 6의 TEM상에 있어서도, 실리콘 기판 (11)의 격자상이 해상되어 있는 점에 주의해야 한다.As can be seen from FIG. 6, the HfO 2 film 13 maintains a flat morphology even after such high temperature heat treatment, and it can be seen that the aggregation shown in FIG. 1B does not occur. Note also that the lattice image of the silicon substrate 11 is resolved also in the TEM image of FIG. 6.

도 7은 본 실시예에서 고K 유전체막의 형성에 사용되는 막형성 장치의 개략적인 구성을 나타낸다.Fig. 7 shows a schematic configuration of a film forming apparatus used for forming a high K dielectric film in this embodiment.

도 7을 참조하면, 막형성 장치는 로보트 반송 기구(도시하지 않음)를 구비한 진공 반송실 (21)에 의해 서로 결합된 로드 락 챔버(load lock chamber) (21), 도 3A 또는 3C의 공정을 실행하는 ALD 장치를 구비한 퇴적실 (22), 및 도 3B 또는 3D의 공정을 실행하는 개질 처리실 (23)을 구비하고 있으며, 로드 락 챔버 (21)을 통해 도입된 피처리 기판은 상기 퇴적실 (22)로 이송된 후, 상기 퇴적실 (22)와 개질 처리실 (23) 사이를 필요에 따라 왕복하여 최종적으로 상기 로드 락 챔버 (21)로 복귀된다.Referring to FIG. 7, the film forming apparatus is a load lock chamber 21 coupled to each other by a vacuum transfer chamber 21 having a robot transfer mechanism (not shown), the process of FIG. 3A or 3C. A deposition chamber 22 having an ALD device for carrying out the process, and a reforming processing chamber 23 for carrying out the process of FIG. 3B or 3D, wherein the substrate to be processed introduced through the load lock chamber 21 is deposited. After being transferred to the chamber 22, the reciprocating between the deposition chamber 22 and the reforming processing chamber 23 as necessary is finally returned to the load lock chamber 21.

이러한 구성의 막형성 장치를 이용함으로써, 피처리 기판을 대기에 노출시키지 않고, 도 2A 내지 2D에 나타낸 공정을 연속적으로 필요한 회수만큼 반복할 수 있다. By using the film forming apparatus of such a configuration, the process shown in Figs. 2A to 2D can be repeated as many times as necessary without exposing the substrate to be processed to the atmosphere.

본 실시예에 있어서는 상기 개질 처리실 (23)으로서 500 내지 800 ℃, 바람직하게는 600 내지 700 ℃의 온도로 유지되고, 질소 가스가 공급되어 질소 분위기 중에서 기판을 열처리하는 열처리로가 이용된다. 이러한 열처리로에서는 분위기 중의 산소 농도를 억제할 수 있으며, 실질적으로 무산소 분위기 중에서의 열처리가 가능해진다. 또한, 필요에 따라 도면 중에 점선으로 나타낸 바와 같이, 산소 가스를 공급하여 분위기 중의 산소 분압을 제어할 수도 있다.In the present embodiment, as the reforming treatment chamber 23, a heat treatment furnace is maintained at a temperature of 500 to 800 ° C, preferably 600 to 700 ° C, and supplied with nitrogen gas to heat-treat the substrate in a nitrogen atmosphere. In such a heat treatment furnace, the oxygen concentration in the atmosphere can be suppressed, and the heat treatment in the oxygen-free atmosphere can be substantially performed. If necessary, as indicated by the dotted line in the figure, oxygen gas may be supplied to control the oxygen partial pressure in the atmosphere.

<제2 실시예>Second Embodiment

그런데, 도 6의 TEM상에서는 SiO2 계면 산화막 (12)의 일부에 결함이 발생하고, 이 결함에 대응하여 실리콘 기판 (11) 중에 반응층 내지 전이층이 형성되어 있는 것이 관찰된다.By the way, in the TEM image of FIG. 6, a defect occurs in a part of the SiO 2 interfacial oxide film 12, and a reaction layer or a transition layer is formed in the silicon substrate 11 in response to the defect.

상기 전이층의 조성은 현시점에서는 불명확하지만, 이것은 도 3B 또는 도 3D에서의 실질적으로 산소를 포함하지 않는 질소 분위기 중에서의 열처리시, 매우 얇은 계면 산화막 (12)의 일부가 환원되어, 그 결과 형성된 Si와 HfO2막 (13) 중의 Hf가 반응하여 실리사이드가 형성되어 있을 가능성이 있다.The composition of the transition layer is unclear at present, but this is due to the reduction of a portion of the very thin interface oxide film 12 upon heat treatment in a nitrogen atmosphere substantially free of oxygen in FIG. 3B or 3D, resulting in Si formed. And Hf in the HfO 2 film 13 may react to form silicide.

이에 대하여, 도 8은, 도 7의 개질 처리실 (23)에 있어서 도 3B 또는 도 3D의 개질 처리를 도 9에 나타낸 플라즈마 질화 처리 장치 (30) 중에서 650 ℃의 온도로 행하고, 이 때 처리 분위기 중에 소량의 산소 가스를 첨가했을 경우 얻어진 시료의 TEM상을 나타낸다. 단, 도시한 구조는 얻어진 시료를 추가로 1050 ℃에서 10 초간 고온 열처리한 후의 상태이다.On the other hand, FIG. 8 performs the reforming process of FIG. 3B or 3D in the reforming process chamber 23 of FIG. 7 at the temperature of 650 degreeC in the plasma nitridation apparatus 30 shown in FIG. The TEM image of the obtained sample is shown when a small amount of oxygen gas is added. However, the structure shown is a state after heat-processing the obtained sample further at 1050 degreeC for 10 second.

도 9를 참조하면, 플라즈마 질화 처리 장치 (30)은 배기 포트 (31A)와 피처리 기판 (W)를 유지하는 기판 유지대 (31B)를 구비한 처리 용기 (31)을 가지며, 상기 처리 용기 (31)에는 질소 가스와 산소 가스가 공급되고, 이것을 13.56 MHz의 RF파로 여기하여 질소 라디칼 및 산소 라디칼을 형성하는 원격 플라즈마원 (32)가 설치되어 있다. 또한, 상기 원격 플라즈마원 (32)에 있어서는, He 가스 또는 Ar 가스 등의 희석 가스를 플라즈마 가스로서 공급할 수도 있다. 이러한 원격 플라즈마 처리 장치를 이용함으로써, 플라즈마에 따른 하전 입자가 고K 유전체막 중에 삽입되는 것을 효과적으로 억제할 수 있다.Referring to Fig. 9, the plasma nitriding apparatus 30 has a processing container 31 having a substrate holder 31B for holding an exhaust port 31A and a substrate W to be processed, wherein the processing container ( 31) is provided with a nitrogen gas and an oxygen gas, and a remote plasma source 32 is provided which excites this with an RF wave of 13.56 MHz to form nitrogen radicals and oxygen radicals. In the remote plasma source 32, a diluent gas such as He gas or Ar gas may be supplied as the plasma gas. By using such a remote plasma processing apparatus, insertion of charged particles due to plasma into the high K dielectric film can be effectively suppressed.

도 9의 장치에서는, 피처리 기판 (W) 상의 HfO2막 등의 고K 유전체막이 상기 원격 플라즈마원 (32)에 의해 형성된 질소 라디칼 또는 산소 라디칼에 노출되어, 그 결과 상기 HfO2막의 표면에 질소 원자 또는 산소 원자가 도입된다.In the apparatus of FIG. 9, a high K dielectric film such as an HfO 2 film on the substrate W to be exposed is exposed to nitrogen radicals or oxygen radicals formed by the remote plasma source 32, resulting in nitrogen on the surface of the HfO 2 film. An atom or an oxygen atom is introduced.

다시 도 8을 참조하면, 본 실시예에 있어서는 도 3B 또는 도 3D의 개질 처리시의 분위기 중에 산소를 첨가함으로써, 실리콘 기판 (11)과 계면 산화막 (12)의 계면이 안정화되고, 앞서 도 6에서 보여졌던 결함이 발생되지 않는다는 것을 알 수 있다.Referring back to FIG. 8, in this embodiment, the interface between the silicon substrate 11 and the interfacial oxide film 12 is stabilized by adding oxygen in the atmosphere during the modification treatment of FIG. 3B or 3D. It can be seen that the defects shown were not generated.

도 8의 구조에서는, 이와 같이 개질 처리시의 분위기 중에 산소가 포함되기 때문에, 상기 고온 열처리 후에 상기 SiO2 계면 산화막 (12)의 막두께가 1.75 nm까지 증대된다. 그러나, 이러한 계면 산화막 (12)의 막 증대는, 도 9의 장치를 이용한 개질 처리시에 산소 분압을 적절하게 제어함으로써 최소한으로, 즉 상기 SiO2 계면 산화막 (12)의 막 증대가 발생하지 않도록 억제하는 것이 가능하다.In the structure of FIG. 8, since oxygen is contained in the atmosphere at the time of the modification process in this manner, the film thickness of the SiO 2 interfacial oxide film 12 is increased to 1.75 nm after the high temperature heat treatment. However, such film increase of the interfacial oxide film 12 is minimized by appropriately controlling the oxygen partial pressure during the reforming process using the apparatus of FIG. 9, that is, suppressing the film increase of the SiO 2 interfacial oxide film 12 from occurring. It is possible to do

본 실시예에서는 이와 같이 플라즈마 질화 처리 장치 (30)에 의해 활성 라디칼을 발생시키고, 이러한 라디칼을 사용하여 개질 처리를 행하기 때문에, 650 ℃ 이하의 저온에서의 개질 처리가 가능해진다. 이와 같이 저온에서 개질 처리를 행하는 경우에는, 개질 처리에 따른 고K 유전체막 (13)의 빈자리 댕글링 본드(vacancy dangling bond)를 없애 결정화 막 중에서의 결정 입계의 형성을 억제할 수 있다.In the present embodiment, since active radicals are generated by the plasma nitriding treatment device 30 and reforming is performed using such radicals, the reforming treatment at a low temperature of 650 ° C. or lower is possible. When the reforming treatment is performed at a low temperature in this manner, formation of crystal grain boundaries in the crystallized film can be suppressed by eliminating vacancy dangling bonds of the high K dielectric film 13 due to the reforming treatment.

<제3 실시예>Third Embodiment

도 10은, 이와 같이 하여 실리콘 기판 상에 형성된 고K 유전체막을 캐패시터 절연막으로 사용한 MOS 다이오드 (10)의 구성을 나타낸다. 단, 도 10 중 앞서 설명한 부분에는 동일 참조 부호를 붙이고 설명을 생략한다.FIG. 10 shows the configuration of the MOS diode 10 using the high K dielectric film formed on the silicon substrate in this manner as the capacitor insulating film. However, the same reference numerals are given to the above-described parts in FIG. 10 and the description thereof is omitted.

도 10을 참조하면, 본 실시예에서는 상기 실리콘 기판 (11)로서 n형 실리콘 기판을 사용하고, 추가로 상기 HfO2막 (13) 상에 직경이 200 ㎛인 백금 전극 (14)를 형성하고 있다.Referring to FIG. 10, in this embodiment, an n-type silicon substrate is used as the silicon substrate 11, and a platinum electrode 14 having a diameter of 200 μm is formed on the HfO 2 film 13. .

도 10 중, 상기 MOS 다이오드 (10)을 구성하는 SiO2 계면 산화막 (12) 및 HfO2막 (13)은, 도 1A에 대응하는 퇴적 직후의 상태에 있어서 각각 1 nm 및 3 nm의 막두께를 갖는다.In FIG. 10, the SiO 2 interfacial oxide film 12 and the HfO 2 film 13 constituting the MOS diode 10 have a film thickness of 1 nm and 3 nm, respectively, in a state immediately after deposition corresponding to FIG. 1A. Have

도 11은, 이와 같이 하여 형성된 MOS 다이오드 (10)의 누설 전류 특성을 나타낸다. 단, 도 11 중, ①은 상기 HfO2막 (13)을 2회의 ALCVD 퇴적 공정으로 나누어 형성하고, 각각의 퇴적 공정에 있어서 질소 분위기 중에 700 ℃에서 열처리를 행한 구조에 대한 누설 전류 특성을 나타내고, ②는 상기 ①의 구조를 1050 ℃에서 10 초간 고온 열처리한 후의 누설 전류 특성을 나타낸다. 또한, ③은 상기 HfO2막 (13)을 ALD법에 의해 11 사이클로 나누어 형성하고, 각각의 퇴적 사이클에 있어서 질소 분위기 중에 700 ℃에서 열처리를 행한 경우의 누설 전류 특성을 나타낸다. 단, 도 11 중 종축은 누설 전류를, 횡축은 상기 전극 (14)에 대한 인가 전압을 나타낸다.11 shows the leakage current characteristics of the MOS diode 10 thus formed. In Fig. 11,? Indicates the leakage current characteristics of the structure formed by dividing the HfO 2 film 13 into two ALCVD deposition processes, and performing heat treatment at 700 DEG C in a nitrogen atmosphere in each deposition process. ② shows the leakage current characteristic after the high temperature heat treatment of the structure of ① at 1050 ° C. for 10 seconds. 3 indicates the leakage current characteristics when the HfO 2 film 13 is divided into 11 cycles by the ALD method and heat-treated at 700 ° C. in a nitrogen atmosphere in each deposition cycle. 11, the vertical axis represents leakage current, and the horizontal axis represents voltage applied to the electrode 14.

도 11을 참조하면, 상기 HfO2막 (13)을 2회로 나누어 형성한 시료에서는, 상기 고온 열처리 결과, 누설 전류는 ①에서부터 ②로 크게 증대된다는 것을 알 수 있다. 이에 대하여, 상기 HfO2막 (13)을 11회로 나누어 형성한 시료에서는, 상기 고온 열처리를 행해도 누설 전류는 그다지 변화하지 않으며, 오히려 ③에서부터 ④로 다소 감소한다는 것을 알 수 있다.Referring to Fig. 11, it can be seen that in the sample formed by dividing the HfO 2 film 13 in two times, the leakage current increased greatly from ① to ② as a result of the high temperature heat treatment. In contrast, in the sample formed by dividing the HfO 2 film 13 into 11 times, it can be seen that the leakage current does not change very much even when the high temperature heat treatment is performed, but rather decreases from ③ to ④.

이와 같이, 도 11은 HfO2 등의 고K 유전체막 (13)을 형성할 때, 반드시 ALD법으로 한정되는 것은 아니지만, 막형성을 복수회로 나누어 행하고, 그 때마다 상기 개질 처리를 행함으로써 고K 유전체막 (13)의 누설 전류 특성을 향상시킬 수 있고, 막형성시의 반복 사이클을 증대시킴으로써 한층 더 막질의 향상을 얻을 수 있다는 것을 나타낸다.As described above, in forming a high K dielectric film 13 such as HfO 2 , FIG. 11 is not necessarily limited to the ALD method, but the film formation is divided into a plurality of times, and the modification process is performed every time. It is shown that the leakage current characteristics of the dielectric film 13 can be improved, and the film quality can be further improved by increasing the repetition cycle at the time of film formation.

도 12A 및 12B는 도 10의 MOS 다이오드의 제조시, 상기 개질 처리의 분위기를 여러가지로 변화시켰을 경우, 얻어진 MOS 다이오드의 용량 특성(C-V 특성)을 나타낸다. 단, 도 12A는 이러한 MOS 다이오드에 대하여 1050 ℃에서 10 초간 고온 열처리를 행하기 전의 특성을 나타내고, 도 12B는 상기 고온 열처리를 행한 후의 특성을 나타낸다.12A and 12B show the capacitance characteristics (C-V characteristics) of the MOS diodes obtained when the atmosphere of the modification process was variously changed during the manufacture of the MOS diodes of FIG. 10. 12A shows the characteristics before performing the high temperature heat treatment for 10 seconds at 1050 ° C. for this MOS diode, and FIG. 12B shows the properties after the high temperature heat treatment.

도 12A, 12B를 참조하면, ①은 앞선 도 1A의 예에 대응하여 상기 HfO2막 (13)을 도 2의 ALD법에 의해 약 3 nm의 두께로 형성하고, 이에 대하여 막형성 후 1050 ℃에서 10 초간의 고온 열처리를 행한 후에는 누설 전류 측정이 불가능해진다는 것을 알 수 있다. 이것은 HfO2막 중에 도 1B에서 보여지는 응집이 발생한다는 것을 나타낸다.12A and 12B,? Corresponds to the example of FIG. 1A, and forms the HfO 2 film 13 with a thickness of about 3 nm by the ALD method of FIG. It is understood that leakage current measurement becomes impossible after the high-temperature heat treatment for 10 seconds. This indicates that aggregation seen in FIG. 1B occurs in the HfO 2 film.

또한, 도 12A, 12B에 있어서, ②는 상기 HfO2막 (13)을 도 4에 나타낸 바와 같이 0.6 nm씩 3회로 나누어 형성하고, 이 때 상기 개질 처리를 NH3 분위기 중에서 행한 예를 나타내는데, 이 경우에도 1050 ℃에서 10 초간의 고온 열처리 후에 측정되는 캐패시턴스는 제로이며, 강환원성 NH3 분위기에 의해 HfO2막 (13) 중에 산소 결손이 발생하였다.12A and 12B, (2) shows an example in which the HfO 2 film 13 is formed by dividing the HfO 2 film 13 into three times of 0.6 nm as shown in FIG. 4, wherein the modification treatment is performed in an NH 3 atmosphere. Even in the case, the capacitance measured after the high temperature heat treatment at 1050 ° C. for 10 seconds was zero, and oxygen deficiency occurred in the HfO 2 film 13 by the strongly reducing NH 3 atmosphere.

이에 대하여, ③은 상기 HfO2막 (13)을 도 4의 공정 순서에 따라 0.6 nm씩 3회로 나누어 형성하고, 이 때 상기 개질 처리를 NO 분위기 중에서 행한 경우를 나타낸다. 이 경우, MOS 다이오드는 1050 ℃에서 10 초간의 열처리 후에도, 열처리 전과 거의 다름없는 캐패시턴스를 갖는다는 것을 알 수 있다.On the other hand, (3) shows the case where the HfO 2 film 13 is formed by dividing the HfO 2 film 13 in three steps of 0.6 nm in accordance with the process sequence of FIG. In this case, it can be seen that the MOS diode has almost the same capacitance as before the heat treatment even after the heat treatment for 10 seconds at 1050 ° C.

또한, 도 12A, 12B에 있어서, ④는 상기 HfO2막 (13)을 도 4의 공정 순서에 따라 0.6 nm씩 3회로 나누어 형성하고, 이 때 상기 개질 처리를 앞선 도 9에서 설명한 원격 플라즈마 질화 처리 장치에 의해 실행한 경우를 나타낸다. 이 경우에는 MOS 다이오드의 캐패시턴스가 개질 처리 후 더욱 증대되는 것을 알 수 있다.In Figs. 12A and 12B,? Indicates that the HfO 2 film 13 is formed by dividing the HfO 2 film 13 in three steps of 0.6 nm in accordance with the process sequence of Fig. 4, and the remote plasma nitridation treatment described above with reference to Fig. 9 is performed. It shows the case where it is executed by the device. In this case, it can be seen that the capacitance of the MOS diode is further increased after the modification process.

또한, 도 12B 중, ⑤는 상기 HfO2막 (13)을 도 4의 공정 순서에 따라 0.6 nm씩 3회로 나누어 형성하고, 상기 개질 처리를 질소 분위기 중에서 행한 경우의 결과를 나타낸다.In Fig. 12B,? Represents the result when the HfO 2 film 13 is formed by dividing the HfO 2 film 13 in three steps of 0.6 nm in accordance with the process procedure of Fig. 4, and the reforming process is performed in a nitrogen atmosphere.

앞서도 설명한 바와 같이, 본 발명에 따르면 고K 유전체막의 형성을 가능한 한 다수회로 나누어 행하고, 그 때마다 개질 처리를 행함으로써 고온에서의 안정성이 우수하고, 전기적 특성이 우수한 고K 유전체막을 얻을 수 있으며, 도 12A, 12B의 결과는 이러한 고K 유전체막의 형성을 복수회로 나누어 행할 때, 회수를 3회 이상으로 하면 실질적으로 충분한 막질의 고K 유전체막이 얻어지는 경우가 있다는 것을 나타내는 것이다.As described above, according to the present invention, a high K dielectric film is formed by dividing the high K dielectric film as many times as possible, and the modification process is performed every time, thereby obtaining a high K dielectric film having excellent stability at high temperature and excellent electrical characteristics. The results of Figs. 12A and 12B show that when the formation of such a high K dielectric film is divided into a plurality of times, if the number of recovery is three or more times, a high K dielectric film of substantially sufficient film quality may be obtained.

도 13은, 도 3A 내지 3D의 공정에 있어서 상기 HfO2막 (13)의 개질 처리를 질소 분위기 중의 열처리에 의해 행하는 경우의 열처리 온도와, 얻어진 HfO2막 (13)의 평균 막두께와의 관계를 1050 ℃에서 10 초간의 고온 열처리 전후로 비교하여 나타낸 것이다.FIG. 13 shows the relationship between the heat treatment temperature when the modification of the HfO 2 film 13 is performed by heat treatment in a nitrogen atmosphere in the processes of FIGS. 3A to 3D and the average film thickness of the obtained HfO 2 film 13. It is shown by comparing the before and after the high temperature heat treatment for 10 seconds at 1050 ℃.

도 13을 참조하면, 개질 처리 온도가 800 ℃를 초과하면 상기 고온 열처리 전의 평균 막두께가 약간 증대되고, 개질 처리시 앞서 도 1B에서 설명한 HfO2막의 응집이 발생한다는 것이 시사된다. 한편, 상기 개질 처리를 열처리에 의해 행하는 경우, 처리 온도가 500 ℃ 미만인 경우에는 개질 처리 후의 평균 막두께가 크게 증대되어 효과적인 개질 처리를 행할 수 없다는 것을 알 수 있다.Referring to FIG. 13, it is suggested that when the reforming temperature exceeds 800 ° C., the average film thickness before the high temperature heat treatment is slightly increased, and aggregation of the HfO 2 film described above with reference to FIG. 1B occurs during the reforming process. On the other hand, in the case where the modification treatment is performed by heat treatment, when the treatment temperature is less than 500 ° C., it can be seen that the average film thickness after the modification treatment is greatly increased, so that an effective modification treatment cannot be performed.

이에 대하여, 상기 개질 처리의 온도를 500 ℃ 이상 800 ℃ 미만으로 하면, 상기 고온 열처리 전후의 평균 막두께의 변화가 거의 없고, 당초의 평탄한 모폴로지가 유지된다는 것을 알 수 있다. 이 중, 특히 600 내지 700 ℃의 범위가 평균 막두께 변화의 관점에서는 바람직하다는 결론이다.On the other hand, when the temperature of the said modification process is made into 500 degreeC or more and less than 800 degreeC, it turns out that there is little change of the average film thickness before and behind the said high temperature heat processing, and the original flat morphology is maintained. Among these, it is the conclusion that especially the range of 600-700 degreeC is preferable from a viewpoint of an average film thickness change.

<제4 실시예>Fourth Example

도 14는, 본 발명의 제4 실시예에서 사용되는 개질 처리 장치 (40)의 구성을 나타낸다. 단, 도 14 중, 먼저 설명한 부분에는 동일 참조 부호를 붙여 설명을 생략한다.14 shows the configuration of a reforming apparatus 40 used in the fourth embodiment of the present invention. However, in FIG. 14, the same reference numeral is attached | subjected to the part demonstrated previously, and abbreviate | omits description.

도 14를 참조하면, 개질 처리 장치 (40)에서는, 처리 용기 (31) 중에 상기 원격 플라즈마 질화 처리 장치 (32)로부터 질소 라디칼 또는 산소 라디칼이 도입되는 것 이외에, 라인 (33)으로부터 SiH4 등의 실리콘 화합물 가스가 도입되어, 상기 피처리 기판 (W) 표면에 형성된 고K 유전체막 등의 표면을 개질시킨다.Referring to FIG. 14, in the reforming treatment apparatus 40, in addition to introducing nitrogen radicals or oxygen radicals from the remote plasma nitriding treatment apparatus 32 into the processing vessel 31, SiH 4 or the like may be introduced from the line 33. Silicon compound gas is introduced to modify the surface of the high K dielectric film or the like formed on the surface of the substrate W to be processed.

도 14의 개질 처리 장치 (40)을 도 7의 처리실 (23)에 사용하면, 예를 들면 도 3B 또는 도 3D의 공정에 있어서 상기 HfO2막 (13)의 표면에 Si-O-N 결합을 갖는 층이 형성되고, 막 (13)의 고온 열처리에 대한 안정성이 현저하게 개선된다.When the reforming apparatus 40 of FIG. 14 is used in the processing chamber 23 of FIG. 7, for example, in the process of FIG. 3B or 3D, a layer having a Si-ON bond on the surface of the HfO 2 film 13 Is formed, and the stability to the high temperature heat treatment of the film 13 is remarkably improved.

도 15, 16은 이러한 개질 처리를 650 ℃의 온도에서 행함으로써 형성된 HfO2막의 구조를 개략적으로 나타낸다.15 and 16 schematically show the structure of the HfO 2 film formed by performing such a modification treatment at a temperature of 650 ° C.

도 15를 참조하면, 이와 같이 하여 얻어진 HfO2막 중에는, 도 2A 내지 2D의 공정에 대응하여 도 16에 나타낸 HfO2층과 SiON층이 반복적으로 형성되어 있으며, 도시한 예에서는 HfO2의 2 분자층의 상하에 한쌍의 SiON 분자층이 형성되어 있다.Referring to FIG. 15, in the HfO 2 film thus obtained, the HfO 2 layer and the SiON layer shown in FIG. 16 are repeatedly formed in accordance with the processes of FIGS. 2A to 2D. In the illustrated example, two molecules of HfO 2 are shown. A pair of SiON molecular layers is formed above and below the layer.

이러한 구조를 도 15에 나타낸 바와 같이 반복적으로 형성함으로써, 막 중에 N-Si-O 결합을 포함한 고K 유전체막을 형성하는 것이 가능하다. 이러한 막 중에 N-Si-O 결합을 포함한 고K 유전체막에서는, 막 중의 원자 이동이 SiON층에 의해 차단되기 때문에 고온 열처리에 대하여 특히 안정하고, 게이트 전극으로부터의 B(붕소) 등의 확산에 대하여 우수한 내성을 얻을 수 있다.By repeatedly forming such a structure as shown in Fig. 15, it is possible to form a high K dielectric film containing N-Si-O bonds in the film. In such films, high-K dielectric films containing N-Si-O bonds are particularly stable against high temperature heat treatment because the atomic transport in the films is blocked by the SiON layer, and the diffusion of B (boron) and the like from the gate electrode is prevented. Excellent resistance can be obtained.

도 17은, 도 10의 MOS 다이오드에 있어서, 원격 플라즈마 처리에 의해 막 중에 Si-N 결합이 도입된 두께가 3 nm인 HfO2막을 사용했을 경우의 용량(C-V) 특성을 100 MHz 및 1 GHz의 주파수에서 측정한 결과를 나타낸다.FIG. 17 shows capacitance (CV) characteristics in the case of using a 3 nm thick HfO 2 film in which a Si-N bond is introduced into a film by a remote plasma treatment in the MOS diode of FIG. 10. The result measured at the frequency is shown.

도 17을 참조하면, ①, ③은 비교예이며, ①은 도 2에 나타낸 ALD법에 의해 3 nm의 막두께로 형성된 HfO2막 (13)을 막형성 후 질소 분위기 중에서 700 ℃로 열처리한 경우의 주파수 100 kHz에서의 C-V 특성을 나타내고, ③은 동일한 HfO2막 (13)을 갖는 MOS 다이오드의 주파수 1 MHz에서의 C-V 특성을 나타낸다. 즉, ① 및 ③에서는 1050 ℃에서의 10 초간의 고온 열처리는 행하지 않는다.Referring to Fig. 17, ① and ③ are comparative examples, and ① is a case where the HfO 2 film 13 formed with the film thickness of 3 nm by the ALD method shown in Fig. 2 is heat-treated at 700 ° C. in a nitrogen atmosphere after film formation. Denotes the CV characteristic at the frequency of 100 kHz, and? Denotes the CV characteristic at the frequency of 1 MHz of the MOS diode having the same HfO 2 film 13. That is, in (1) and (3), the high temperature heat treatment for 10 seconds at 1050 degreeC is not performed.

이에 대하여, ②는 3 nm의 HfO2막 (13)을 도 4의 공정 순서에 따라 0.6 nm씩 3회로 나누어 형성하고, 각각의 막형성마다 상기 개질 처리를 원격 플라즈마질화 처리에 의해 Si, O 및 N을 막에 도입함으로써 행하고, 얻어진 HfO2막을 1050 ℃에서 10 초간 고온 열처리한 경우의 주파수 100 kHz에서의 C-V 특성을 나타낸다. 또한, ④는 동일한 HfO2막 (13)을 갖는 MOS 다이오드의 주파수 1 MHz에서의 C-V 특성을 나타낸다.On the other hand, (2) forms a 3 nm HfO 2 film 13 divided into three steps of 0.6 nm in accordance with the process sequence of FIG. 4, and for each film formation, the modification process is performed by Si, O and performed by introducing a N in the film, it indicates a CV characteristic of the frequency 100 kHz in the case of HfO 2 film obtained in 1050 ℃ 10 chogan high temperature heat treatment. In addition,? Indicates CV characteristics at a frequency of 1 MHz of the MOS diode having the same HfO 2 film 13.

도 17로부터 알 수 있는 바와 같이, 주파수가 100 kHz인 경우에는 ②의 특성이 ①의 특성과 대략 동일한 데 비하여, 주파수가 1 MHz인 경우에는 1050 ℃에서의 고온 열처리를 행한 ④의 특성이, 이를 행하지 않은 ③의 특성보다 우수하다는 것을 알 수 있다. 이것은 ②, ④의 경우, 막에 HfO2막 (13)의 비유전율을 저하시킬 가능성이 있는 SiON층을 포함하고 있음에도 불구하고, 이러한 구성에서는 막의 결함이 해소되기 때문에, 특히 고온 열처리가 실시되었을 경우 결함이 많은 ① 또는 ③의 HfO2막을 능가하는 전기적 특성을 얻을 수 있다는 것을 나타낸다.As can be seen from Fig. 17, when the frequency is 100 kHz, the characteristic of ② is about the same as the characteristic of ①, whereas when the frequency is 1 MHz, the characteristic of ④ subjected to high temperature heat treatment at 1050 ° C. is obtained. It is understood that it is superior to the characteristic of (3) not performed. In the case of (2) and (4), even though the film contains a SiON layer which may lower the dielectric constant of the HfO 2 film 13, the film defects are eliminated in such a structure, and therefore, particularly when high temperature heat treatment is performed. It shows that electrical properties can be obtained that surpasses the HfO 2 film of defective ① or ③.

도 18은, 도 17의 ① 또는 ③의 MOS 다이오드와 ② 또는 ④의 MOS 다이오드에서의 누설 전류를 비교하여 나타낸다. 단, 도 18 중 ◆는 도 10의 MOS 다이오드에 있어서, 도 2의 ALD법에 의해 두께가 3 nm로 형성된 HfO2막을 질소 분위기 중에서 700 ℃로 열처리만 행했을 경우의 누설 전류를 나타내고, ■는 도 4의 공정 순서에 있어서 상기 개질 처리를 도 14의 원격 플라즈마 질화 처리 장치로 행함으로써 막에 N, O, Si를 도입하고, 이와 같이 하여 개질된 HfO2막을 1050 ℃에서 10 초간 고온 열처리한 경우의 누설 전류를 나타낸다.FIG. 18 compares and shows leakage currents in the MOS diodes 1 and 3 of FIG. 17 and the MOS diodes 2 and 4. 18 indicates a leakage current in the MOS diode of FIG. 10 when the HfO 2 film formed with a thickness of 3 nm by the ALD method of FIG. 2 is heat-treated only at 700 ° C. in a nitrogen atmosphere. In the process sequence of FIG. 4, when the reforming process is performed by the remote plasma nitriding apparatus of FIG. 14, N, O, and Si are introduced into the film, and the HfO 2 film thus modified is subjected to high temperature heat treatment at 1050 ° C. for 10 seconds. Indicates a leakage current.

도 18로부터 알 수 있는 바와 같이, HfO2막의 형성을 복수회로 나누어 행하고, 이것을 고온 열처리한 경우에 누설 전류 특성이 개선된다는 것을 알 수 있다.As can be seen from FIG. 18, it can be seen that the leakage current characteristics are improved when the HfO 2 film is formed in a plurality of times and subjected to high temperature heat treatment.

앞서도 설명한 바와 같이, 본 실시예에 있어서도 이와 같이 플라즈마 질화 처리 장치 (40)에 의해 활성 라디칼을 발생시키고, 이러한 라디칼을 사용하여 개질 처리를 행하기 때문에 650 ℃ 이하의 저온에서의 개질 처리가 가능해진다. 이와 같이 저온에서 개질 처리를 행했을 경우에는, 개질 처리에 따른 고K 유전체막 (13)의 결정화를 억제할 수 있고, 결정화 막 중의 결정 입계의 형성을 억제할 수 있다. 그 결과, 이러한 결정 입계에 따라 형성되는 누설 전류로를 차단할 수 있다.As described above, also in the present embodiment, since the active radicals are generated by the plasma nitriding treatment device 40 and the reforming treatment is performed using such radicals, the reforming treatment at a low temperature of 650 ° C. or lower becomes possible. . In this way, when the reforming treatment is performed at a low temperature, crystallization of the high K dielectric film 13 due to the reforming treatment can be suppressed, and formation of crystal grain boundaries in the crystallization film can be suppressed. As a result, the leakage current path formed according to such grain boundaries can be interrupted.

이 때, 본 실시예에서는 고유전체막 중에 단독으로 비정질막을 형성하는 SiON 성분을 도입함으로써, 불순물 활성화 처리에 사용되는 고온 열처리를 행한 후에도 고K 유전체막의 결정화를 억제할 수 있고, 입계 형성에 따른 누설 전류로의 형성이나 계면 준위 등의 결함 형성을 억제할 수 있다. At this time, in this embodiment, by introducing a SiON component that forms an amorphous film alone in the high dielectric film, crystallization of the high K dielectric film can be suppressed even after high temperature heat treatment used for impurity activation treatment, and leakage due to grain boundary formation. Formation of a current, defect formation such as an interface level can be suppressed.

<제5 실시예>Fifth Embodiment

도 19A 내지 19F는, 본 발명의 제5 실시예에 의한 반도체 장치의 제조 공정을 나타낸다. 19A to 19F show a semiconductor device manufacturing process according to the fifth embodiment of the present invention.

도 19A를 참조하면, p형 실리콘 기판 (51) 중에는 소자 영역 (51A)를 구획하는 소자 분리 영역 (51B)가 형성되고, 도 19B의 공정에 있어서 상기 소자 영역 (51A)에 As 또는 P을 이온 주입함으로써 채널 도핑 영역 (51a)가 형성된다.Referring to Fig. 19A, an element isolation region 51B for partitioning the element region 51A is formed in the p-type silicon substrate 51, and As or P is ionized in the element region 51A in the process of Fig. 19B. The channel doping region 51a is formed by implantation.

또한, 도 19C의 공정에 있어서, 도 19B의 구조 상에 상기 계면 산화막 (12)에 대응하여 두께가 약 1 nm인 열산화막을 동일하게 형성한 후, 그 위에 도 4의 공정 순서에 따라 HfO2 등의 고K 유전체막을 3 nm 정도의 두께로 형성함으로써 게이트 절연막 (52)를 형성한다.Further, in the process of FIG. 19C, a thermal oxide film having a thickness of about 1 nm is formed on the structure of FIG. 19B corresponding to the interfacial oxide film 12, and thereafter, HfO 2 is formed on the structure of FIG. The gate insulating film 52 is formed by forming a high K dielectric film such as a thickness of about 3 nm.

또한, 도 19D의 공정에 있어서, 상기 게이트 절연막 (52) 상에 폴리실리콘막을 동일하게 퇴적시키고, 이것을 패터닝함으로써 폴리실리콘 게이트 전극 (53)을 형성한다. 본 실시예에 있어서, 상기 폴리실리콘 게이트 전극 (53)은 0.1 ㎛ 이하의 게이트 길이를 갖는다.In the process shown in Fig. 19D, the polysilicon film is deposited on the gate insulating film 52 in the same manner, and the polysilicon gate electrode 53 is formed by patterning it. In the present embodiment, the polysilicon gate electrode 53 has a gate length of 0.1 μm or less.

또한, 도 19D의 공정에서는, 상기 폴리실리콘 게이트 전극 (53)을 마스크로 하여 As 또는 P의 경사 포켓 주입을 행하고, 이어서 확산 주입을 행함으로써 상기 소자 영역 (51A) 중, 상기 게이트 전극 (53)의 양측에 소스 확산 영역 (51b) 및 드레인 확산 영역 (51c)을 형성한다.Incidentally, in the process of Fig. 19D, inclined pocket injection of As or P is performed using the polysilicon gate electrode 53 as a mask, followed by diffusion injection, whereby the gate electrode 53 is in the element region 51A. Source diffusion regions 51b and drain diffusion regions 51c are formed on both sides of the substrate.

또한, 도 19E의 공정에 있어서, 상기 게이트 전극 (53)의 양측에 측벽 절연막 (53a)를 형성한 후, 도 19F의 공정에 있어서 상기 게이트 전극 (53) 및 측벽 절연막 (53a)를 마스크로 하여 As 또는 P를 이온 주입함으로써, 소스 영역 (51d) 및 드레인 영역 (51e)를 형성한다.In the process of Fig. 19E, after forming the sidewall insulating film 53a on both sides of the gate electrode 53, the gate electrode 53 and the sidewall insulating film 53a are masked in the process of Fig. 19F. By ion implantation of As or P, the source region 51d and the drain region 51e are formed.

본 실시예에서는, 도 19C의 공정에 있어서 상기 게이트 절연막 (52) 중의 고K 유전체막을 형성할 때, 이를 앞서 도 4에서 설명한 바와 같이 중간에 개질 공정을 설치하여 반복적으로 행하는 공정에 의해 실행한다. 이 때, 단계 1 및 단계 3은 ALD 공정일 수도 있고, MOCVD 공정일 수도 있으며, 단계 2 및 단계 3은 질소 분위기 중의 열처리일 수도 있고, 산소를 첨가한 질소 분위기 중의 열처리일 수도 있으며, 플라즈마 질화 처리, 또는 산소를 첨가한 플라즈마 질화 처리, 또는 SiH4 등의 Si 화합물 및 산소를 첨가한 플라즈마 질화 처리일 수도 있다.In the present embodiment, when the high K dielectric film in the gate insulating film 52 is formed in the process of Fig. 19C, it is executed by the step of repeatedly performing the modification process in the middle as described above with reference to Fig. 4. In this case, Step 1 and Step 3 may be an ALD process, may be a MOCVD process, Step 2 and Step 3 may be a heat treatment in a nitrogen atmosphere, a heat treatment in a nitrogen atmosphere with oxygen, plasma nitridation treatment Or a plasma nitridation treatment in which oxygen is added, or a plasma nitridation treatment in which Si compounds such as SiH 4 and oxygen are added.

상기 게이트 절연막 (52)를 이와 같이 하여 형성함으로써, 상기 게이트 절연막 (52) 중의 고K 유전체막은 열처리에 대한 내성이 향상되고, 상기 소스 확산 영역 (51a), 드레인 확산 영역 (51b), 소스 영역 (51d) 및 드레인 영역 (51e)에 주입된 As나 P 등의 불순물 원소를 고온 열처리에 의해 활성화할 때에도 막의 응집 등의 결함 형성이 발생하는 경우가 없다. 또한, 이와 같이 하여 형성된 게이트 절연막 (52)에서는 적은 누설 전류 및 우수한 C-V 특성으로 특징되는 우수한 전기적 특성이 상기 고온 열활성화 처리 후에도 유지된다.By forming the gate insulating film 52 in this manner, the high K dielectric film in the gate insulating film 52 has improved resistance to heat treatment, and the source diffusion region 51a, drain diffusion region 51b, and source region ( When the impurity elements such as As and P injected into the 51d) and the drain region 51e are activated by high temperature heat treatment, defect formation such as aggregation of the film does not occur. Further, in the gate insulating film 52 thus formed, excellent electrical characteristics, which are characterized by low leakage current and excellent C-V characteristics, are maintained even after the high temperature thermal activation treatment.

또한, 이상의 설명에서는 HfO2막을 ALD법에 의해 형성했지만, 이것을 MOCVD법으로 형성할 수도 있다. 이 경우에는 유기 금속 원료로서 TDEAH, TDMAH 등을 사용할 수 있다. 또한, HfO2막을 ALD법에 의해 형성할 때의 원료도 HfCl4로 한정되는 것은 아니며, TDMAH 등을 사용할 수 있다.In the above description, the HfO 2 film was formed by the ALD method, but it can also be formed by the MOCVD method. In this case, TDEAH, TDMAH, etc. can be used as an organic metal raw material. Further, not limited to HfCl 4 as a raw material is also at the time of forming a film HfO 2 by the ALD method may be used such as TDMAH.

또한, 본 발명에서는 고K 유전체막을 HfO2막으로 한정하지 않으며, ZrO2막, Al2O3막, Ta2O5, Y2O3 등의 금속 산화물 또는 전이 금속 산화물, 나아가 희토류 산화물, HfSiO4, ZrSiO4막 등의 전이 금속이나 희토류 금속의 실리케이트, 나아가 이들의 알루미네이트를 사용할 수 있다.In addition, in the present invention, the high K dielectric film is not limited to the HfO 2 film, but a metal oxide or transition metal oxide such as a ZrO 2 film, an Al 2 O 3 film, Ta 2 O 5 , Y 2 O 3 , or a rare earth oxide, HfSiO, etc. 4 , a silicate of transition metals such as ZrSiO 4 films, rare earth metals, and aluminates thereof may be used.

또한, 앞서 도 7에서는 고K 유전체막의 형성과 개질 처리를 매엽형 처리 장치를 이용하여, 별개의 처리실에서 기판을 이동시키면서 행하는 예를 설명했지만, 상기 고K 유전체막의 형성과 개질 처리를 동일한 처리 장치 중에서 처리 가스를 교체하면서 행할 수도 있다.In addition, in FIG. 7, the example in which the formation and modification of the high K dielectric film is carried out by moving the substrate in a separate processing chamber using a sheet type processing apparatus is described. However, the formation and modification of the high K dielectric film are performed in the same processing apparatus. It can also be performed, replacing a process gas in the process.

또한, 본 발명에서는 상기 개질 처리 공정을 질소 가스에 산소, NO, O3, SiH4, Si2H6, NH3, H2, He 중 어느 하나를 첨가한 분위기에서 행할 수도 있다.In the present invention, the reforming process may be performed in an atmosphere in which any one of oxygen, NO, O 3 , SiH 4 , Si 2 H 6 , NH 3 , H 2 , and He is added to nitrogen gas.

또한, 이상의 설명에서는 고K 유전체막을 고속 반도체 장치의 게이트 절연막에 사용하는 예를 설명했지만, 본 발명은 고K 유전체막을 캐패시터 절연막으로서 사용하는 DRAM의 제조에도 적용할 수 있다.In addition, although the above description demonstrated the example which uses a high K dielectric film for the gate insulating film of a high speed semiconductor device, this invention is applicable also to manufacture of DRAM which uses a high K dielectric film as a capacitor insulating film.

이상, 본 발명의 바람직한 실시예에 대하여 설명했지만, 본 발명이 이러한 특정한 실시예로 한정되는 것은 아니며, 본 발명의 요지 내에서 여러가지 변형ㆍ변경이 가능하다. As mentioned above, although preferred embodiment of this invention was described, this invention is not limited to this specific embodiment, A various deformation | transformation and a change are possible within the summary of this invention.

본 발명에 따르면, 고K 유전체막을 게이트 절연막으로서 사용하는 반도체 장치의 제조 공정에 있어서, 상기 고K 유전체막의 형성을 복수회로 나누어 행하고, 상기 복수회로 행하는 각각의 형성 공정에 있어서 질소 분위기 중에 개질 처리를 행함으로써 고K 유전체막 중의 응력이 작아지고 막의 결함이 해소된다. 그 결과, 이러한 고K 유전체막을 게이트 전극에 사용한 반도체 장치의 제조 공정에 있어서 고K 유전체막의 형성 후 실행되는, 이온 주입 공정에 의해 기판 중에 도입된 불순물 원소의 고온에서의 활성화 열처리 공정에 대하여 안정된 고K 유전체막을 얻을 수 있다. 특히, 상기 개질 처리 공정을 산소를 첨가한 질소 분위기 중에서의 열처리 공정에 의해 행함으로써, 반도체 기판과 고K 유전체막의 계면을 안정화시킬 수 있고, 고K 유전체막 중의 산소 결손의 발생을 억제할 수 있다. 또한, 고K 유전체막에 Si, O 및 N을 반복적으로 도입함으로써, 고온 열처리에 대한 안정성이 더 향상됨과 동시에 누설 전류가 감소된다. 또한, 이와 같이 막에 Si, O 및 N이 도입된 고K 유전체막은, 게이트 전극 중에 포함되는 B(붕소) 등의 불순물 원소의 확산을 효과적으로 제어할 수 있다. 또한, 상기 개질 처리 공정을 플라즈마 처리에 의해 행할 수도 있다.According to the present invention, in the manufacturing process of a semiconductor device using a high K dielectric film as a gate insulating film, the formation of the high K dielectric film is divided into a plurality of times, and a reforming process is carried out in a nitrogen atmosphere in each of the forming steps of the plurality of times. By doing so, the stress in the high K dielectric film is reduced, and the defect of the film is eliminated. As a result, in the manufacturing process of the semiconductor device using such a high K dielectric film as the gate electrode, it is stable to the activation heat treatment process at high temperature of the impurity element introduced into the substrate by the ion implantation process, which is performed after the formation of the high K dielectric film. A K dielectric film can be obtained. In particular, by performing the above modification treatment step in a heat treatment step in a nitrogen-added nitrogen atmosphere, the interface between the semiconductor substrate and the high K dielectric film can be stabilized and generation of oxygen vacancies in the high K dielectric film can be suppressed. . In addition, by repeatedly introducing Si, O, and N into the high K dielectric film, the stability against high temperature heat treatment is further improved and the leakage current is reduced. In addition, the high K dielectric film in which Si, O, and N are introduced into the film can effectively control diffusion of impurity elements such as B (boron) contained in the gate electrode. Moreover, the said modification process can also be performed by a plasma process.

Claims (20)

기판 표면에 유전체막을 복수회로 나누어 형성하는 공정, 및 Forming a dielectric film by dividing it into a plurality of times on the substrate surface; and 상기 복수회로 나누어 행하는 유전체막의 각각의 형성 공정에 있어서, 형성된 유전체막을 질소가 주가 되는 분위기 중에서 개질시키는 처리 공정을 포함하는 것을 특징으로 하는, 기판 표면에 유전체막을 형성하는 유전체막의 형성 방법.A method of forming a dielectric film for forming a dielectric film on the surface of a substrate, comprising the step of modifying the dielectric film formed by dividing the plurality of times, wherein the formed dielectric film is modified in an atmosphere mainly containing nitrogen. 제1항에 있어서, 상기 분위기가 산소를 더 포함하는 것을 특징으로 하는 유전체막의 형성 방법.The method of forming a dielectric film according to claim 1, wherein the atmosphere further contains oxygen. 제1항에 있어서, 상기 분위기가 Si 화합물의 기상 분자를 더 포함하는 것을 특징으로 하는 유전체막의 형성 방법.The method of forming a dielectric film according to claim 1, wherein the atmosphere further contains gaseous molecules of a Si compound. 제1항에 있어서, 상기 열처리 공정에 있어서 상기 분위기에 NO, O3, SiH4, Si2H6, NH3, H2 및 He로 이루어지는 군으로부터 선택되는 하나 또는 복수의 가스가 추가로 첨가되는 것을 특징으로 하는 유전체막의 형성 방법.The method of claim 1, wherein one or more gases selected from the group consisting of NO, O 3 , SiH 4 , Si 2 H 6 , NH 3 , H 2 and He are further added to the atmosphere in the heat treatment step. A method of forming a dielectric film, characterized by the above-mentioned. 제1항에 있어서, 상기 개질 처리 공정이 열처리 공정을 포함하는 것을 특징으로 하는 유전체막의 형성 방법.The method of forming a dielectric film according to claim 1, wherein the modification treatment step includes a heat treatment step. 제5항에 있어서, 상기 열처리 공정이 500 ℃ 이상 800 ℃ 미만의 온도에서 행해지는 것을 특징으로 하는 유전체막의 형성 방법.The method of forming a dielectric film according to claim 5, wherein the heat treatment step is performed at a temperature of 500 ° C or more and less than 800 ° C. 제5항에 있어서, 상기 열처리 공정이 600 내지 700 ℃의 온도에서 행해지는 것을 특징으로 하는 유전체막의 형성 방법.The method of forming a dielectric film according to claim 5, wherein said heat treatment step is performed at a temperature of 600 to 700 캜. 제1항에 있어서, 상기 개질 처리 공정이 플라즈마 처리 공정을 포함하는 것을 특징으로 하는 유전체막의 형성 방법.The method of forming a dielectric film according to claim 1, wherein the modification treatment step includes a plasma treatment step. 제8항에 있어서, 상기 플라즈마 처리 공정이 상기 유전체막을 질소 라디칼 에 노출시키는 공정을 포함하는 것을 특징으로 하는 유전체막의 형성 방법.The method of forming a dielectric film according to claim 8, wherein said plasma processing step comprises exposing said dielectric film to nitrogen radicals. 제9항에 있어서, 상기 플라즈마 처리 공정이 상기 유전체막을 산소 라디칼에 노출시키는 공정을 더 포함하는 것을 특징으로 하는 유전체막의 형성 방법.10. The method of claim 9, wherein said plasma treatment step further comprises exposing said dielectric film to oxygen radicals. 제10항에 있어서, 상기 플라즈마 처리 공정이 상기 유전체막을 Si 화합물 분자에 노출시키는 공정을 더 포함하는 것을 특징으로 하는 유전체막의 형성 방법.The method of claim 10, wherein the plasma treatment process further comprises exposing the dielectric film to Si compound molecules. 제8항에 있어서, 상기 플라즈마 처리 공정이 원격 플라즈마 처리 공정에 의해 실행되는 것을 특징으로 하는 유전체막의 형성 방법.9. The method of forming a dielectric film according to claim 8, wherein said plasma processing step is performed by a remote plasma processing step. 제8항에 있어서, 상기 플라즈마 처리 공정이 650 ℃ 이하의 온도에서 실행되는 것을 특징으로 하는 유전체막의 형성 방법.The method of forming a dielectric film according to claim 8, wherein said plasma treatment step is performed at a temperature of 650 DEG C or lower. 제1항에 있어서, 상기 복수회로 나누어 행하는 각각의 유전체막 형성 공정이 ALD법에 의해 행해지는 것을 특징으로 하는 유전체막의 형성 방법.The method of forming a dielectric film according to claim 1, wherein each dielectric film forming step of dividing the plurality of times is performed by an ALD method. 제1항에 있어서, 상기 복수회로 나누어 행하는 각각의 유전체막 형성 공정이 MOCVD법에 의해 행해지는 것을 특징으로 하는 유전체막의 형성 방법.The method of forming a dielectric film according to claim 1, wherein each dielectric film forming step of dividing the plurality of times is performed by a MOCVD method. 기판, 이 기판 상에 형성된 고K 유전체 게이트 절연막, 이 고K 유전체 게이트 절연막 상에 형성된 게이트 전극, 및 상기 기판 중 상기 게이트 전극의 양측에 형성된 한쌍의 확산 영역을 구비하며, A substrate, a high K dielectric gate insulating film formed on the substrate, a gate electrode formed on the high K dielectric gate insulating film, and a pair of diffusion regions formed on both sides of the gate electrode of the substrate; 상기 기판 상에 고K 유전체 게이트 절연막을 복수회로 나누어 형성하는 공정, 및Forming a high K dielectric gate insulating film on the substrate in a plurality of times; and 상기 복수회로 나누어 행하는 각각의 고K 유전체막의 형성 공정에 있어서, 형성된 고K 유전체막을 질소가 주가 되는 분위기 중에서 개질시키는 처리 공정을 포함하는 것을 특징으로 하는, 반도체 장치의 제조 방법. A method of manufacturing a semiconductor device, comprising: a step of forming a high K dielectric film divided into a plurality of times, wherein the formed high K dielectric film is modified in an atmosphere mainly containing nitrogen. 제16항에 있어서, 상기 분위기가 산소를 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device according to claim 16, wherein the atmosphere further contains oxygen. 제16항에 있어서, 상기 분위기가 Si 화합물의 기상 분자를 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of manufacturing a semiconductor device according to claim 16, wherein the atmosphere further comprises gaseous molecules of a Si compound. 기판, Board, 이 기판 상에 형성된 고K 유전체 게이트 절연막, A high K dielectric gate insulating film formed on the substrate, 이 고K 유전체 게이트 절연막 상에 형성된 게이트 전극, 및 A gate electrode formed on the high K dielectric gate insulating film, and 상기 기판 중 상기 게이트 전극의 양측에 형성된 한쌍의 확산 영역을 구비하며,A pair of diffusion regions formed on both sides of the gate electrode of the substrate, 상기 고K 유전체 게이트 절연막은 고K 유전체 분자층과 SiON 분자층을 반복적으로 적층한 구조를 갖는 것을 특징으로 하는 반도체 장치.The high K dielectric gate insulating film has a structure in which a high K dielectric molecular layer and a SiON molecular layer are repeatedly stacked. 제19항에 있어서, 상기 고K 유전체 분자층이 금속 산화물, 전이 금속 산화물, 금속 실리케이트 및 금속 알루미네이트 중 어느 하나로부터 선택되는 것을 특징으로 하는 반도체 장치. 20. The semiconductor device of claim 19, wherein the high K dielectric molecular layer is selected from any one of metal oxides, transition metal oxides, metal silicates, and metal aluminates.
KR1020057011048A 2005-06-16 2003-01-17 Dielectric film forming method, semiconductor device and manufacturing method therefor KR100791197B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020057011048A KR100791197B1 (en) 2005-06-16 2003-01-17 Dielectric film forming method, semiconductor device and manufacturing method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020057011048A KR100791197B1 (en) 2005-06-16 2003-01-17 Dielectric film forming method, semiconductor device and manufacturing method therefor

Publications (2)

Publication Number Publication Date
KR20050092368A true KR20050092368A (en) 2005-09-21
KR100791197B1 KR100791197B1 (en) 2008-01-02

Family

ID=37273741

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057011048A KR100791197B1 (en) 2005-06-16 2003-01-17 Dielectric film forming method, semiconductor device and manufacturing method therefor

Country Status (1)

Country Link
KR (1) KR100791197B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100601995B1 (en) * 2005-03-02 2006-07-18 삼성전자주식회사 Transistor using property of matter transforming layer and methods of operating and manufacturing the same
KR100925028B1 (en) * 2006-09-29 2009-11-03 주식회사 하이닉스반도체 A dielectric layer, forming method thereof and a capacitor of semiconductor device and forming method thereof using the same
CN110941107A (en) * 2018-09-21 2020-03-31 三星电子株式会社 Multilayer thin film structure and phase shift device using the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3432997B2 (en) * 1996-04-23 2003-08-04 株式会社東芝 Insulating film used for semiconductor devices
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
JP2002231903A (en) * 2001-02-06 2002-08-16 Sanyo Electric Co Ltd Dielectric element and method of manufacturing the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100601995B1 (en) * 2005-03-02 2006-07-18 삼성전자주식회사 Transistor using property of matter transforming layer and methods of operating and manufacturing the same
KR100925028B1 (en) * 2006-09-29 2009-11-03 주식회사 하이닉스반도체 A dielectric layer, forming method thereof and a capacitor of semiconductor device and forming method thereof using the same
CN110941107A (en) * 2018-09-21 2020-03-31 三星电子株式会社 Multilayer thin film structure and phase shift device using the same
US11947238B2 (en) 2018-09-21 2024-04-02 Samsung Electronics Co., Ltd. Multilayer thin-film structure and phase shifting device using the same

Also Published As

Publication number Publication date
KR100791197B1 (en) 2008-01-02

Similar Documents

Publication Publication Date Title
US7563729B2 (en) Method of forming a dielectric film
JP4047075B2 (en) Semiconductor device
US9252281B2 (en) Silicon on germanium
US7235501B2 (en) Lanthanum hafnium oxide dielectrics
US7473994B2 (en) Method of producing insulator thin film, insulator thin film, method of manufacturing semiconductor device, and semiconductor device
US8524618B2 (en) Hafnium tantalum oxide dielectrics
US7365027B2 (en) ALD of amorphous lanthanide doped TiOx films
US7423311B2 (en) Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
KR100640638B1 (en) Method for forming high dielectric film by atomic layer deposition and method of fabricating semiconductor device having high dielectric film
US7727908B2 (en) Deposition of ZrA1ON films
JP2006344837A (en) Semiconductor apparatus and manufacturing method thereof
JP5050351B2 (en) Manufacturing method of semiconductor device
KR100791197B1 (en) Dielectric film forming method, semiconductor device and manufacturing method therefor
US20080128833A1 (en) High-Dielectric-Constant Film, Field-Effect Transistor and Semiconductor Integrated Circuit Device Using the Same, and Method for Producing High-Dielectric-Constant Film
KR20050061077A (en) Method for forming dielectric layer in semiconductor device
KR20050046291A (en) Semiconductor device including a dielectric layer having aluminum and nitrogen therein and method of fabricating the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131210

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141205

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151201

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161129

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20181129

Year of fee payment: 12