KR20050067556A - Semiconductor device including contact and method for forming the same - Google Patents

Semiconductor device including contact and method for forming the same Download PDF

Info

Publication number
KR20050067556A
KR20050067556A KR1020030098542A KR20030098542A KR20050067556A KR 20050067556 A KR20050067556 A KR 20050067556A KR 1020030098542 A KR1020030098542 A KR 1020030098542A KR 20030098542 A KR20030098542 A KR 20030098542A KR 20050067556 A KR20050067556 A KR 20050067556A
Authority
KR
South Korea
Prior art keywords
gate
oxide film
epitaxial layer
silicon epitaxial
semiconductor substrate
Prior art date
Application number
KR1020030098542A
Other languages
Korean (ko)
Inventor
김봉수
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020030098542A priority Critical patent/KR20050067556A/en
Publication of KR20050067556A publication Critical patent/KR20050067556A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Abstract

본 발명은 고집적화에 따른 콘택저항 증가로 인해 초래되는 리프레시 저하 및 접합의 전계증가를 억제하는데 적합한 반도체소자 및 그 제조 방법을 제공하기 위한 것으로, 본 발명의 반도체 소자는 반도체 기판, 상기 반도체 기판 상부에 형성된 복수개의 게이트라인, 상기 게이트라인의 측벽 및 상부를 덮으면서 상기 게이트라인의 측벽으로부터 일정 길이만큼 측면연장된 연장부분이 상기 반도체 기판 표면 상에 형성된 게이트버퍼산화막, 상기 게이트버퍼산화막의 연장부분에 의해 노출된 상기 반도체 기판의 표면 상에 형성된 실리콘에피택셜층, 상기 실리콘에피택셜층 아래의 상기 반도체 기판 내에 형성된 소스/드레인, 및 상기 실리콘에피택셜층의 상부 및 측면을 덮으면서 상기 게이트라인 사이에 매립된 폴리실리콘플러그를 포함한다. The present invention is to provide a semiconductor device and a method of manufacturing the same, which are suitable for suppressing the reduction of the refresh and the increase of the electric field of the junction caused by the increase in contact resistance due to high integration, the semiconductor device of the present invention is a semiconductor substrate, A plurality of gate lines formed on the gate buffer oxide layer and the gate buffer oxide layer may be formed on the gate buffer oxide layer formed on the surface of the semiconductor substrate and extending from the sidewalls of the gate line. A silicon epitaxial layer formed on the surface of the semiconductor substrate exposed by the source, a source / drain formed in the semiconductor substrate under the silicon epitaxial layer, and the top and side surfaces of the silicon epitaxial layer while covering the gate line. Embedded polysilicon plugs.

Description

콘택을 포함하는 반도체 소자 및 그 제조 방법{SEMICONDUCTOR DEVICE INCLUDING CONTACT AND METHOD FOR FORMING THE SAME} A semiconductor device including a contact and a method of manufacturing the same {SEMICONDUCTOR DEVICE INCLUDING CONTACT AND METHOD FOR FORMING THE SAME}

본 발명은 반도체 제조 기술에 관한 것으로, 특히 콘택(contact)을 포함하는 반도체소자 및 그 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to semiconductor manufacturing technology, and more particularly, to a semiconductor device including a contact and a method of manufacturing the same.

반도체소자 제조 공정시 디자인룰(design rule)의 감소에 따른 콘택 면적 감소는 콘택저항의 증가를 초래하여, 전류마진(current margin) 부족에 의한 리프레시 페일(refresh fail.)을 초래하는 문제로 인해 고집적화를 통해 순수 다이(net die) 증가로 생산원가를 낮춰야 하는 최근의 반도체소자에서는 피할 수 없는 결과로 나타난다.Higher integration due to the problem that the contact area decreases due to the reduction of design rules in the semiconductor device manufacturing process, resulting in an increase in contact resistance, resulting in a refresh fail due to a lack of current margin. This results in inevitable results in recent semiconductor devices that require lower production costs due to increased net die.

따라서, 콘택저항을 낮추기 위해 종래에는 접합(junction)의 불순물 농도를 증가시키고 있다.Therefore, in order to lower contact resistance, the impurity concentration of the junction is conventionally increased.

도 1은 종래 기술에 따른 반도체소자의 콘택 형성 방법을 간략히 도시한 도면이다.1 is a view briefly illustrating a method for forming a contact of a semiconductor device according to the prior art.

도 1에 도시된 바와 같이, 반도체 기판(11) 상에 게이트산화막(12), 게이트전극(13) 및 게이트하드마스크(14)의 순서로 적층된 게이트라인을 형성한 후, 게이트라인 상부에 스페이서 형태의 게이트버퍼산화막(15)과 게이트측벽질화막(16)을 형성한다.As shown in FIG. 1, after the gate lines stacked in the order of the gate oxide film 12, the gate electrode 13, and the gate hard mask 14 are formed on the semiconductor substrate 11, spacers are disposed on the gate lines. A gate buffer oxide film 15 and a gate side wall nitride film 16 are formed.

그리고 나서, 게이트라인 사이의 반도체 기판(11)에 소스/드레인(17)을 형성한 후, 전면에 층간절연막(18)을 증착한다. Then, after the source / drain 17 is formed on the semiconductor substrate 11 between the gate lines, the interlayer insulating film 18 is deposited on the entire surface.

계속해서, 자기정렬콘택 식각을 이용하여 층간절연막(18)을 식각하여 콘택홀(19)을 형성한 후, 콘택저항 감소를 위해 소스/드레인(17)에 추가로 도펀트를 이온주입하여 이온주입층(20)을 형성한다.Subsequently, the interlayer insulating layer 18 is etched using self-aligned contact etching to form the contact hole 19, and then ion dopants are further implanted into the source / drain 17 to reduce contact resistance. 20 is formed.

그러나, 상술한 종래 기술과 같이, 소스/드레인(17)과 같은 접합의 불순물 농도를 증가시키기 위해 이온주입층(20)을 형성하는 경우에는 소스/드레인(17)의 전계(Electric field) 증가로 인해 핫캐리어 열화(hot carrier degradation)를 더욱 증가시키고, 리프레시(Refresh)를 감소시키는 문제를 초래하여 결국에는 수율의 감소를 수반하기 때문에 생산원가 측면에서는 오히려 더 좋지 않은 결과를 가져온다. However, in the case of forming the ion implantation layer 20 to increase the impurity concentration of the junction, such as the source / drain 17, as in the conventional technique described above, the electric field of the source / drain 17 is increased. This results in a further increase in hot carrier degradation and a decrease in refresh, which in turn leads to a decrease in yield, which results in worse production costs.

본 발명은 상기한 종래 기술의 문제점을 해결하기 위해 제안된 것으로, 고집적화에 따른 콘택저항 증가로 인해 초래되는 리프레시 저하 및 접합의 전계증가를 억제하는데 적합한 반도체소자 및 그 제조 방법을 제공하는데 그 목적이 있다. The present invention has been proposed to solve the above problems of the prior art, and provides a semiconductor device and a method of manufacturing the same suitable for suppressing the decrease in refresh caused by the increase in contact resistance due to the high integration and the increase in the electric field of the junction. have.

상기 목적을 달성하기 위한 본 발명의 반도체 소자는 반도체 기판, 상기 반도체 기판 상부에 형성된 복수개의 게이트라인, 상기 게이트라인의 측벽 및 상부를 덮으면서 상기 게이트라인의 측벽으로부터 일정 길이만큼 측면연장된 연장부분이 상기 반도체 기판 표면 상에 형성된 게이트버퍼산화막, 상기 게이트버퍼산화막의 연장부분에 의해 노출된 상기 반도체 기판의 표면 상에 형성된 실리콘에피택셜층, 상기 실리콘에피택셜층 아래의 상기 반도체 기판 내에 형성된 소스/드레인, 및 상기 실리콘에피택셜층의 상부 및 측면을 덮으면서 상기 게이트라인 사이에 매립된 폴리실리콘플러그를 포함하는 것을 특징으로 하며, 상기 게이트버퍼산화막은 습식산화 또는 건식산화법에 의해 형성한 산화막, 원자층증착법으로 증착한 산화막, 저압화학기상증착법으로 증착한 산화막 또는 플라즈마인핸스드화학기상증착법으로 증착한 산화막 중에서 선택되는 것을 특징으로 하고, 상기 게이트버퍼산화막의 연장부분의 폭은 50Å∼300Å 두께인 것을 특징으로 한다.The semiconductor device of the present invention for achieving the above object is a semiconductor substrate, a plurality of gate lines formed on the semiconductor substrate, the side portion extending from the sidewall of the gate line by a predetermined length covering the top and sidewalls of the gate line A gate buffer oxide film formed on the surface of the semiconductor substrate, a silicon epitaxial layer formed on the surface of the semiconductor substrate exposed by an extended portion of the gate buffer oxide film, a source formed in the semiconductor substrate under the silicon epitaxial layer / And a polysilicon plug buried between the gate lines covering the drain and the upper and side surfaces of the silicon epitaxial layer, wherein the gate buffer oxide film is an oxide film, an atom formed by a wet oxidation method or a dry oxidation method. Oxide film deposited by layer deposition, low pressure chemical vapor deposition It is characterized in that it is selected from the oxide film deposited by the deposited oxide film or the plasma enhanced chemical vapor deposition method, the width of the extension portion of the gate buffer oxide film is characterized in that the thickness 50 ~ 300Å.

그리고, 본 발명의 반도체 소자의 제조 방법은, 반도체 기판 상부에 복수개의 게이트라인을 형성하는 단계, 상기 게이트라인 상에 게이트버퍼산화막과 게이트측벽질화막을 차례로 형성하는 단계, 상기 게이트측벽질화막 상에 상기 게이트라인 사이를 충분히 채울때까지 층간절연막을 형성하는 단계, 상기 게이트측벽질화막과 상기 게이트버퍼산화막을 상기 게이트라인의 상부 및 측벽을 덮는 스페이서 형태로 잔류시키면서 상기 층간절연막을 식각하여 상기 게이트라인 사이의 반도체 기판 표면을 노출시키는 콘택홀을 형성하는 단계, 상기 콘택홀 바닥에 노출된 상기 반도체 기판 표면 상에 실리콘에피택셜층을 성장시키는 단계, 상기 콘택홀의 면적을 넓히기 위해 상기 게이트측벽질화막을 선택적으로 제거하는 단계, 및 상기 면적이 넓어진 콘택홀에 폴리실리콘플러그를 매립시키는 단계를 포함하는 것을 특징으로 하며, 상기 게이트측벽질화막을 제거한 후에, 상기 실리콘에피택셜층 아래의 반도체 기판 내에 소스/드레인을 형성하기 위한 이온주입 단계를 더 포함하는 것을 특징으로 한다. In the method of manufacturing a semiconductor device of the present invention, forming a plurality of gate lines on a semiconductor substrate, sequentially forming a gate buffer oxide film and a gate side wall nitride film on the gate line, and forming the gate side wall nitride film on the gate side wall nitride film. Forming an interlayer insulating film until the gap between the gate lines is sufficiently filled; etching the interlayer insulating film while leaving the gate sidewall nitride film and the gate buffer oxide film in the form of a spacer covering the top and sidewalls of the gate line. Forming a contact hole exposing a surface of the semiconductor substrate, growing a silicon epitaxial layer on the surface of the semiconductor substrate exposed to the bottom of the contact hole, and selectively removing the gate sidewall nitride film to increase the area of the contact hole And the contact hole having the enlarged area And embedding the polysilicon plug, and after removing the gate sidewall nitride layer, further comprising an ion implantation step for forming a source / drain in the semiconductor substrate under the silicon epitaxial layer. do.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부 도면을 참조하여 설명하기로 한다.Hereinafter, the preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. .

도 2는 본 발명의 실시예에 따른 반도체소자의 구조를 도시한 도면이다.2 is a diagram showing the structure of a semiconductor device according to an embodiment of the present invention.

도 2에 도시된 바와 같이, 반도체 기판(21)에 소자간 분리를 위한 필드산화막(22)이 형성되고, 반도체 기판(21) 상부에 게이트산화막(23), 게이트전극(24) 및 게이트하드마스크(25)의 순서로 적층된 복수개의 게이트라인이 소정 간격을 갖고 배치된다. As shown in FIG. 2, a field oxide film 22 is formed on the semiconductor substrate 21 to separate the devices, and the gate oxide film 23, the gate electrode 24, and the gate hard mask are disposed on the semiconductor substrate 21. A plurality of gate lines stacked in the order of 25 are arranged at predetermined intervals.

그리고, 게이트라인의 측벽과 상부를 덮는 게이트버퍼산화막(26)이 형성되되, 게이트버퍼산화막(26)은 게이트라인의 측벽으로부터 일정 길이만큼 측면연장된 연장부분(26a)이 반도체 기판(21) 표면 상에 형성된다. 여기서, 연장부분(26a)의 폭은 50Å∼300Å 두께이다.In addition, a gate buffer oxide layer 26 is formed to cover the sidewalls and the upper portion of the gate line, and the gate buffer oxide layer 26 has an extension portion 26a extending from the sidewall of the gate line by a predetermined length to the surface of the semiconductor substrate 21. Is formed on the phase. Here, the width | variety of the extension part 26a is 50 micrometers-300 micrometers in thickness.

그리고, 게이트버퍼산화막(26)의 연장부분(26a)에 의해 노출되는 반도체 기판(21) 표면 상에는 실리콘에피택셜층(30)이 성장되어 있고, 실리콘에피택셜층(30) 상부에는 실리콘에피택셜층(30)의 상부는 물론 실리콘에피택셜층(30)의 측면까지 덮는 폴리실리콘플러그(32a)가 형성된다.The silicon epitaxial layer 30 is grown on the surface of the semiconductor substrate 21 exposed by the extension portion 26a of the gate buffer oxide film 26, and the silicon epitaxial layer is formed on the silicon epitaxial layer 30. A polysilicon plug 32a is formed that covers the upper portion of the upper portion 30 as well as the side surface of the silicon epitaxial layer 30.

그리고, 실리콘에피택셜층(30) 아래의 반도체 기판(21) 내에는 소스/드레인(31)이 형성되어 있다.A source / drain 31 is formed in the semiconductor substrate 21 under the silicon epitaxial layer 30.

도 2에 따르면, 게이트라인 사이의 콘택홀(29)에 실리콘에피택셜층(30)과 폴리실리콘플러그(32a)가 매립된 형태이며, 폴리실리콘플러그(32a)가 실리콘에피택셜층(30)의 상부 및 측면까지 덮는 형태를 가져 콘택홀(29)의 면적이 증가하고 있다.According to FIG. 2, the silicon epitaxial layer 30 and the polysilicon plug 32a are embedded in the contact hole 29 between the gate lines, and the polysilicon plug 32a is formed of the silicon epitaxial layer 30. The area of the contact hole 29 is increased by covering the top and side surfaces.

이와 같은 콘택홀(29) 면적의 증가는 후술하겠지만, 게이트버퍼산화막(26)의 측벽에 형성되었던 게이트측벽질화막을 제거한 후에 폴리실리콘플러그(32a)를 형성하므로써 가능하다.The increase in the area of the contact hole 29 will be described later, but it is possible by removing the gate side wall nitride film formed on the sidewall of the gate buffer oxide film 26 to form the polysilicon plug 32a.

도 3a 내지 도 3h는 도 2에 도시된 반도체소자의 제조 방법을 도시한 공정 단면도이다.3A through 3H are cross-sectional views illustrating a method of manufacturing the semiconductor device illustrated in FIG. 2.

도 3a에 도시된 바와 같이, 반도체 기판(21)에 소자간 분리를 위한 필드산화막(22)을 형성한 후, 반도체 기판(21) 상에 게이트산화막(23), 게이트전극(24) 및 게이트하드마스크(25)의 순서로 적층된 게이트라인을 형성한다. 여기서, 게이트하드마스크(25)는 실리콘질화막(Si3N4)을 이용한다.As shown in FIG. 3A, after forming the field oxide film 22 for isolation between devices on the semiconductor substrate 21, the gate oxide film 23, the gate electrode 24, and the gate hard on the semiconductor substrate 21 are formed. The gate lines stacked in the order of the mask 25 are formed. Here, the gate hard mask 25 uses a silicon nitride film (Si 3 N 4 ).

상기 게이트라인 형성을 위한 식각공정시에 발생한 반도체 기판(21) 표면의 식각손실(etch damage)을 제거하기 위해 건식산화 또는 습식산화를 진행하여 반도체 기판 표면을 산화시키거나, 또는 열(thermal)을 이용한 수소베이크(H2 bake), 수소플라즈마(H2 plasma) 처리를 진행할 수 있다.In order to remove the etch damage of the surface of the semiconductor substrate 21 generated during the etching process for forming the gate line, dry or wet oxidation is performed to oxidize the surface of the semiconductor substrate, or thermal Used hydrogen bake (H 2 bake), hydrogen plasma (H 2 plasma) treatment can proceed.

도 3b에 도시된 바와 같이, 게이트라인을 포함한 반도체 기판(21) 상부에 게이트버퍼산화막(Gate buffer oxide, 26)과 게이트측벽질화막(Gate sidewall nitride, 27)을 순차적으로 증착한다.As shown in FIG. 3B, a gate buffer oxide 26 and a gate sidewall nitride 27 are sequentially deposited on the semiconductor substrate 21 including the gate lines.

이때, 게이트버퍼산화막(26)은 게이트측벽질화막(27) 증착시 초래되는 스트레스를 완화시켜주기 위한 것으로, 습식산화 또는 건식산화법에 의해 형성한 산화막, 원자층증착법(Atomic Layer Deposition; ALD)으로 증착한 산화막, 저압화학기상증착법(Low Pressure Chemical Vapor Deposition; LPCVD)으로 증착한 산화막 또는 플라즈마인핸스드화학기상증착법(Plasma Enhanced CVD; PECVD)으로 증착한 산화막 중에서 선택되며, 그 두께는 300Å∼500Å이 적당하다.In this case, the gate buffer oxide layer 26 is to alleviate the stress caused when the gate side wall nitride layer 27 is deposited. The gate buffer oxide layer 26 is deposited by an oxide layer or an atomic layer deposition method (ALD) formed by a wet oxidation method or a dry oxidation method. It is selected from an oxide film, an oxide film deposited by Low Pressure Chemical Vapor Deposition (LPCVD), or an oxide film deposited by Plasma Enhanced CVD (PECVD). Do.

그리고, 게이트측벽질화막(27)은 후속 콘택을 형성하기위한 자기정렬콘택식각시 식각배리어로서, 저압화학기상증착법(LPCVD) 또는 플라즈마인핸스드화학기상증착법(PECVD)으로 50Å∼300Å 두께로 증착한다.The gate side wall nitride film 27 is an etching barrier for forming a subsequent contact, and is deposited to have a thickness of 50 kPa to 300 kPa by low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD).

다음으로, 게이트측벽질화막(27) 상에 게이트라인 사이를 충분히 채울때까지 층간절연막(28)을 증착한다.Next, the interlayer insulating film 28 is deposited on the gate side wall nitride film 27 until the gate lines are sufficiently filled.

도 3c에 도시된 바와 같이, 자기정렬콘택식각(Self Aligned Contact; SAC) 공정을 이용하여 층간절연막(28)을 식각하여 게이트라인 사이의 반도체 기판(21) 표면을 노출시키는 콘택홀(29)을 형성한다. 이때, 층간절연막(28) 식각후에 노출되는 게이트버퍼산화막(26)과 게이트측벽질화막(27)은 층간절연막(28) 식각후에 후속 식각공정을 진행하여 식각함에 따라 게이트라인의 양측벽을 덮는 스페이서 형태로 잔류한다.As shown in FIG. 3C, the contact hole 29 exposing the surface of the semiconductor substrate 21 between the gate lines by etching the interlayer insulating layer 28 using a Self Aligned Contact (SAC) process. Form. In this case, the gate buffer oxide layer 26 and the gate side wall nitride layer 27 exposed after the interlayer insulating layer 28 are etched are subjected to a subsequent etching process after the interlayer insulating layer 28 is etched to form etching spacers covering both sidewalls of the gate line. Remains.

도 3d에 도시된 바와 같이, 후속 선택적에피택셜성장(SEG) 공정을 원활하게 진행하기 위해 HF 용액 또는 BOE(Buffered Oxide Etchant) 용액을 사용하여 전세정(Pre-cleaning) 공정을 진행한다. 이러한 전세정 공정을 통해 콘택홀(29) 바닥 표면에 잔류할 것으로 예상되는 자연산화막(native oxide)을 제거할 수 있다. As shown in FIG. 3D, a pre-cleaning process is performed using HF solution or BOE (Buffered Oxide Etchant) solution to facilitate the subsequent selective epitaxial growth (SEG) process. Through this pre-cleaning process, the native oxide that is expected to remain on the bottom surface of the contact hole 29 may be removed.

상기한 자연산화막 제거를 위해 전세정 공정외에 수소 베이크(H2 bake) 공정을 800℃∼1200℃의 범위에서 20초동안 실시하거나, HF 증기(Vapor)를 이용한 세정을 실시할 수 있다.Subjected to a hydrogen bake (H 2 bake) the process addition charter positive process for removing the natural oxide film in the range of 800 ℃ ~1200 ℃ for 20 seconds, or may be performed a cleaning with HF vapor (Vapor).

다음으로, 선택적에피택셜성장(Selective Epitaxial Growth)을 이용하여 콘택홀(29) 바닥으로부터 위로 돌출되는 형태의 실리콘에피택셜층(30)을 게이트전극(24) 높이 즉, 100Å∼1000Å 두께로 성장시킨다.Next, by using the selective epitaxial growth, the silicon epitaxial layer 30 protruding upward from the bottom of the contact hole 29 is grown to the gate electrode 24 height, that is, 100 Å to 1000 Å thick. .

이때, 실리콘에피택셜층(30)을 형성하기 위한 선택적에피택셜성장 공정은 다음의 제1방법과 제2방법 중에서 선택하여 사용한다.At this time, the selective epitaxial growth process for forming the silicon epitaxial layer 30 is selected and used from the following first and second methods.

먼저, 제1방법은 0.1torr∼200torr의 압력과 700℃∼1200℃ 범위의 온도에서 실리콘소스가스로 DCS(SiH2Cl2) 가스를 사용하고 도핑가스로 PH3 또는 AsH 3 가스를 사용하여 n형 도전형 실리콘에피택셜층으로 형성한다. 이때, n형 도전형 실리콘에피택셜층이 반도체 기판(21) 표면상에서만 성장되도록 하는 선택성을 유지하기 위해 HCl 가스를 첨가할 수 있다.First, the first method uses DCS (SiH 2 Cl 2 ) gas as a silicon source gas and a pH 3 or AsH 3 gas as a doping gas at a pressure of 0.1torr to 200torr and a temperature in the range of 700 ° C to 1200 ° C. It is formed of a type conductive silicon epitaxial layer. At this time, HCl gas may be added to maintain selectivity such that the n-type conductive silicon epitaxial layer is grown only on the surface of the semiconductor substrate 21.

다음으로, 제2방법은 10-7torr∼10-4torr의 압력과 500℃∼800℃ 범위의 온도에서 실리콘소스가스로 Si2H6 가스를 사용하고 도핑가스로 PH3 또는 AsH 3 가스를 사용하여 n형 도전형 실리콘에피택셜층으로 형성한다. 이때, n형 도전형 실리콘에피택셜층이 반도체 기판(21) 표면상에서만 성장되도록 하는 선택성을 유지하기 위해 Cl 가스를 첨가할 수 있다.Next, the second method uses Si 2 H 6 gas as the silicon source gas at a pressure of 10 −7 torr to 10 −4 torr and a temperature in the range of 500 ° C. to 800 ° C., and PH 3 or AsH 3 gas as the doping gas. To form an n-type conductive silicon epitaxial layer. At this time, Cl gas may be added to maintain selectivity such that the n-type conductive silicon epitaxial layer is grown only on the surface of the semiconductor substrate 21.

위 제1방법 및 제2방법에서, 도핑가스를 사용하는 이유는 실리콘에피택셜층이 전도성을 갖도록 하기 위함이며, 이와 같이 실리콘에피택셜(30) 성장시에 도핑을 진행하므로써 후속 이온주입공정시 도핑농도 제어가 용이하다.In the above first and second methods, the reason for using the doping gas is to make the silicon epitaxial layer conductive, and thus doping at the subsequent ion implantation process by doping during the silicon epitaxial 30 growth. Concentration control is easy.

도 3e에 도시된 바와 같이, 게이트측벽질화막(27)을 선택적으로 제거한다. 이때, 게이트측벽질화막(27)은 습식딥(wet dip)을 통해 제거하는데, 하부의 게이트버퍼산화막(26)이 습식식각되지 않도록, 즉 질화막과 산화막의 습식식각 선택성을 유지하기 위해 인산(H3PO4) 용액을 사용하는 용기(Bath)에서 진행한다.As shown in Fig. 3E, the gate side wall nitride film 27 is selectively removed. At this time, the gate side wall nitride layer 27 is removed through a wet dip, so that the lower gate buffer oxide layer 26 is not wet etched, that is, phosphoric acid (H 3) to maintain wet etching selectivity of the nitride layer and the oxide layer. PO 4 ) proceed in the Bath using the solution.

위와 같은 게이트측벽질화막(27) 제거를 통해 콘택홀(29)의 면적을 증가시킬 수 있다. 즉, 게이트측벽질화막(27)이 차지하고 있던 면적(27a)만큼 콘택홀(29)의 면적이 더 증가한다.The area of the contact hole 29 may be increased by removing the gate side wall nitride layer 27 as described above. That is, the area of the contact hole 29 further increases by the area 27a occupied by the gate side wall nitride film 27.

결국, 게이트버퍼산화막(26)은 게이트라인의 측벽과 상부를 덮으면서 게이트라인의 측벽으로부터 일정 길이만큼 측면연장된 연장부분(26a)이 반도체 기판(21) 표면 상에 형성된다. 여기서, 연장부분(26a)의 폭은 50Å∼300Å 두께이다.As a result, the gate buffer oxide layer 26 is formed on the surface of the semiconductor substrate 21 with an extension portion 26a extending from the sidewall of the gate line by a predetermined length while covering the sidewall and the top of the gate line. Here, the width | variety of the extension part 26a is 50 micrometers-300 micrometers in thickness.

도 3f에 도시된 바와 같이, 콘택저항 감소를 위해 게이트측벽질화막(27) 제거후에 n형 도펀트를 블랭킷(blanket) 이온주입법을 이용하여 이온주입하여 반도체기판(21) 내에 소스/드레인(31)을 형성한다. 이때, 블랭킷 이온주입시 n형 도펀트로는 31P, 75As 또는 122Sb 중에서 선택하고, 도즈량을 1×1012ions/cm2∼5×1013ions/cm2 범위로 하고, 이온주입에너지를 20keV ∼200keV로 한다. 그리고, 이온주입을 골고루 진행하기 위해 틸크각(tilt angel)을 0°∼9°로 사용할 수 있으며, 웨이퍼 로테이션(rotation)을 2회, 4회, 8회 또는 16회로 나누어 진행할 수 있다. 그리고, 블랭킷 이온주입은 배치형(bath type) 또는 싱글형(single type) 장비를 이용할 수 있는데, 배치형 장비를 이용하는 경우에 트위스트를 사용할 때는 그 범위를 0°∼63°로 하며, α,β를 사용할 경우에는 α,β 모두 0°∼5°로 사용한다.As shown in FIG. 3F, after the gate sidewall nitride layer 27 is removed to reduce the contact resistance, an n-type dopant is ion-implanted using a blanket ion implantation method to thereby source / drain 31 into the semiconductor substrate 21. Form. In this case, as the n-type dopant at the time of blanket ion implantation, it is selected from 31 P, 75 As or 122 Sb, and the dose amount is in the range of 1 × 10 12 ions / cm 2 to 5 × 10 13 ions / cm 2 , and ion implantation energy Is 20keV to 200keV. In addition, the tilt angel may be used at 0 ° to 9 ° to evenly perform ion implantation, and the wafer rotation may be divided into two, four, eight, or sixteen times. In addition, blanket ion implantation may use bath type or single type equipment. When using a twist type equipment, the range is 0 ° to 63 ° when using twist, and α, β In the case of using, α and β are used at 0 ° to 5 °.

상기한 소스/드레인(31) 형성시에 실리콘에피택셜층(30)에도 이온주입이 진행되므로 콘택저항을 감소시킬 수 있고, 실리콘에피택셜층(30)이 존재하는 상태에서 이온주입을 진행하므로 소스/드레인(31)의 전계가 증가하는 것을 억제한다.Since the implantation of the silicon epitaxial layer 30 proceeds at the time of forming the source / drain 31, the contact resistance can be reduced, and the ion implantation is performed in the state where the silicon epitaxial layer 30 is present. The increase in the electric field of the drain 31 is suppressed.

도 3g에 도시된 바와 같이, 게이트측벽질화막(27) 제거후에 면적이 넓어진 콘택홀(29)을 포함한 전면에 폴리실리콘막(32)을 증착한다.As shown in FIG. 3G, the polysilicon film 32 is deposited on the entire surface including the contact hole 29 having an enlarged area after the gate sidewall nitride film 27 is removed.

도 3h에 도시된 바와 같이, 게이트버퍼산화막(26)의 표면이 드러날때까지 폴리실리콘막(32)을 평탄화하여 폴리실리콘콘택플러그(32a)를 형성한다. 여기서, 폴리실리콘막(32)은 화학적기계적연마 또는 에치백을 통해 평탄화하는데, 이때 층간절연막(28)과 게이트측벽질화막(27)이 동시에 제거된다.As shown in FIG. 3H, the polysilicon film 32 is planarized to form the polysilicon contact plug 32a until the surface of the gate buffer oxide film 26 is exposed. Here, the polysilicon film 32 is planarized by chemical mechanical polishing or etch back, wherein the interlayer insulating film 28 and the gate side wall nitride film 27 are simultaneously removed.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다. Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 본 발명은 콘택홀의 면적을 증가시킨 후에 폴리실리콘플러그를 형성하므로써 디자인룰 감소에 따른 콘택저항 한계를 극복하여 콘택저항을 감소시킬 수 있는 효과가 있다.According to the present invention, the polysilicon plug is formed after the area of the contact hole is increased, thereby overcoming the contact resistance limit due to the reduction of the design rule, thereby reducing the contact resistance.

또한, 선택적에피택셜성장후에 콘택저항 감소를 위한 이온주입을 진행하므로 접합의 전계를 감소시켜 리프레시 특성을 좋게 하여 수율을 증가시킬 수 있는 효과가 있다. In addition, since ion implantation is performed to reduce contact resistance after selective epitaxial growth, the electric field of the junction is reduced, thereby improving the refresh characteristics and increasing the yield.

도 1은 종래 기술에 따른 반도체소자의 콘택 형성 방법을 간략히 도시한 도면,1 is a view briefly illustrating a method for forming a contact of a semiconductor device according to the prior art;

도 2는 본 발명의 실시예에 따른 반도체소자의 콘택 구조를 도시한 도면,2 illustrates a contact structure of a semiconductor device according to an embodiment of the present invention;

도 3a 내지 도 3h는 도 2에 도시된 반도체소자의 콘택 형성 방법을 도시한 공정 단면도.3A to 3H are cross-sectional views illustrating a method for forming a contact for the semiconductor device illustrated in FIG. 2.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

21 : 반도체 기판 22 : 필드산화막21 semiconductor substrate 22 field oxide film

23 : 게이트산화막 24 : 게이트전극23: gate oxide film 24: gate electrode

25 : 게이트하드마스크 26 : 게이트버퍼산화막25: gate hard mask 26: gate buffer oxide film

27 : 게이트측벽질화막 28 : 층간절연막27 gate side wall nitride film 28 interlayer insulating film

29 : 콘택홀 30 : 실리콘에피택셜층29 contact hole 30 silicon epitaxial layer

32a : 폴리실리콘플러그 32a: polysilicon plug

Claims (16)

반도체 기판;Semiconductor substrates; 상기 반도체 기판 상부에 형성된 복수개의 게이트라인;A plurality of gate lines formed on the semiconductor substrate; 상기 게이트라인의 측벽 및 상부를 덮으면서 상기 게이트라인의 측벽으로부터 일정 길이만큼 측면연장된 연장부분이 상기 반도체 기판 표면 상에 형성된 게이트버퍼산화막;A gate buffer oxide layer covering a sidewall and an upper portion of the gate line and having an extended portion extending from the sidewall of the gate line by a predetermined length on a surface of the semiconductor substrate; 상기 게이트버퍼산화막의 연장부분에 의해 노출된 상기 반도체 기판의 표면 상에 형성된 실리콘에피택셜층; A silicon epitaxial layer formed on the surface of the semiconductor substrate exposed by the extension portion of the gate buffer oxide film; 상기 실리콘에피택셜층 아래의 상기 반도체 기판 내에 형성된 소스/드레인;및A source / drain formed in said semiconductor substrate below said silicon epitaxial layer; and 상기 실리콘에피택셜층의 상부 및 측면을 덮으면서 상기 게이트라인 사이에 매립된 폴리실리콘플러그A polysilicon plug embedded between the gate lines covering the top and side surfaces of the silicon epitaxial layer 를 포함하는 반도체 소자.Semiconductor device comprising a. 제1항에 있어서,The method of claim 1, 상기 실리콘에피택셜층은, 상기 게이트전극의 높이까지 성장된 것임을 특징으로 하는 반도체 소자.And the silicon epitaxial layer is grown to a height of the gate electrode. 제2항에 있어서,The method of claim 2, 상기 실리콘에피택셜층은, 100Å∼1000Å 두께인 것을 특징으로 하는 반도체 소자.The silicon epitaxial layer is 100 kW to 1000 kW thick. 제1항에 있어서,The method of claim 1, 상기 게이트버퍼산화막은,The gate buffer oxide film, 습식산화 또는 건식산화법에 의해 형성한 산화막, 원자층증착법으로 증착한 산화막, 저압화학기상증착법으로 증착한 산화막 또는 플라즈마인핸스드화학기상증착법으로 증착한 산화막 중에서 선택되는 것을 특징으로 하는 반도체 소자.A semiconductor device, comprising: an oxide film formed by wet oxidation or dry oxidation, an oxide film deposited by atomic layer deposition, an oxide film deposited by low pressure chemical vapor deposition, or an oxide film deposited by plasma enhanced chemical vapor deposition. 제4항에 있어서,The method of claim 4, wherein 상기 게이트버퍼산화막의 연장부분의 폭은 50Å∼300Å 두께인 것을 특징으로 하는 반도체 소자.And the width of the extension portion of the gate buffer oxide film is 50 mW to 300 mW. 반도체 기판 상부에 복수개의 게이트라인을 형성하는 단계;Forming a plurality of gate lines on the semiconductor substrate; 상기 게이트라인 상에 게이트버퍼산화막과 게이트측벽질화막을 차례로 형성하는 단계;Sequentially forming a gate buffer oxide film and a gate sidewall nitride film on the gate line; 상기 게이트측벽질화막 상에 상기 게이트라인 사이를 충분히 채울때까지 층간절연막을 형성하는 단계;Forming an interlayer insulating film on the gate side wall nitride film until the gate lines are sufficiently filled with the interlayer insulating film; 상기 게이트측벽질화막과 상기 게이트버퍼산화막을 상기 게이트라인의 상부 및 측벽을 덮는 스페이서 형태로 잔류시키면서 상기 층간절연막을 식각하여 상기 게이트라인 사이의 반도체 기판 표면을 노출시키는 콘택홀을 형성하는 단계;Forming a contact hole exposing the surface of the semiconductor substrate between the gate lines by etching the interlayer insulating layer while leaving the gate side wall nitride layer and the gate buffer oxide layer in the form of a spacer covering the top and sidewalls of the gate line; 상기 콘택홀 바닥에 노출된 상기 반도체 기판 표면 상에 실리콘에피택셜층을 성장시키는 단계;Growing a silicon epitaxial layer on the surface of the semiconductor substrate exposed at the bottom of the contact hole; 상기 콘택홀의 면적을 넓히기 위해 상기 게이트측벽질화막을 선택적으로 제거하는 단계; 및Selectively removing the gate side wall nitride film to increase an area of the contact hole; And 상기 면적이 넓어진 콘택홀에 폴리실리콘플러그를 매립시키는 단계 Embedding a polysilicon plug in the contact hole having a larger area; 를 포함하는 반도체 소자의 제조 방법.Method for manufacturing a semiconductor device comprising a. 제6항에 있어서,The method of claim 6, 상기 게이트측벽질화막을 제거한 후에,After removing the gate side wall nitride film, 상기 실리콘에피택셜층 아래의 반도체 기판 내에 소스/드레인을 형성하기 위한 이온주입 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.And implanting an ion implantation step to form a source / drain in the semiconductor substrate under the silicon epitaxial layer. 제7항에 있어서,The method of claim 7, wherein 상기 이온주입 단계는,The ion implantation step, 블랭킷 이온주입을 이용하는 것을 특징으로 하는 반도체 소자의 제조 방법.A method for manufacturing a semiconductor device, comprising using blanket ion implantation. 제8항에 있어서,The method of claim 8, 상기 블랭킷 이온주입시, 도펀트로는 31P, 75As 또는 122Sb 중에서 선택하고, 도즈량을 1×1012ions/cm2∼5×1013ions/cm2 범위로 하고, 이온주입에너지를 20keV ∼200keV로 하는 것을 특징으로 하는 반도체 소자의 제조 방법.In the blanket ion implantation, the dopant is selected from 31 P, 75 As or 122 Sb, the dose is in the range of 1 × 10 12 ions / cm 2 to 5 × 10 13 ions / cm 2 , and the ion implantation energy is 20 keV. The manufacturing method of the semiconductor element characterized by setting it as -200 keV. 제9항에 있어서,The method of claim 9, 상기 블랭킷 이온주입시, 이온주입을 골고루 진행하기 위해 틸크각을 0°∼9°로 사용하면서 웨이퍼 로테이션을 2회, 4회, 8회 또는 16회로 나누어 진행하는 것을 특징으로 하는 반도체 소자의 제조 방법.In the blanket ion implantation, the wafer rotation is divided into 2, 4, 8 or 16 cycles while using the tilt angle at 0 ° to 9 ° to evenly proceed ion implantation. . 제6항에 있어서,The method of claim 6, 상기 게이트버퍼산화막은,The gate buffer oxide film, 습식산화 또는 건식산화법에 의해 형성한 산화막, 원자층증착법으로 증착한 산화막, 저압화학기상증착법으로 증착한 산화막 또는 플라즈마인핸스드화학기상증착법으로 증착한 산화막 중에서 선택되는 것을 특징으로 하는 반도체 소자의 제조 방법.Method of manufacturing a semiconductor device, characterized in that selected from oxide film formed by wet oxidation or dry oxidation method, oxide film deposited by atomic layer deposition method, oxide film deposited by low pressure chemical vapor deposition method or oxide film deposited by plasma enhanced chemical vapor deposition method. . 제6항에 있어서,The method of claim 6, 상기 게이트측벽질화막을 제거하는 단계는,Removing the gate side wall nitride film, 습식딥을 통해 이루어지되, 인산(H3PO4) 용액을 사용하는 용기에서 진행하는 것을 특징으로 하는 반도체 소자의 제조 방법.The method of manufacturing a semiconductor device, characterized in that it is made through a wet dip, but proceeds in a vessel using a phosphoric acid (H 3 PO 4 ) solution. 제6항에 있어서,The method of claim 6, 상기 실리콘에피택셜층은,The silicon epitaxial layer is, 0.1torr∼200torr의 압력과 700℃∼1200℃ 범위의 온도에서 실리콘소스가스로 DCS(SiH2Cl2) 가스를 사용하고, 도핑가스로 PH3 또는 AsH3 가스를 사용하여 n형 도전형 실리콘에피택셜층으로 형성하는 것을 특징으로 하는 반도체소자의 제조 방법.N-type conductive silicon epi by using DCS (SiH 2 Cl 2 ) gas as silicon source gas and doping gas as PH 3 or AsH 3 gas at pressure of 0.1torr ~ 200torr and temperature ranging from 700 ℃ ~ 1200 ℃ A method of manufacturing a semiconductor device, characterized in that it is formed of a tactile layer. 제13항에 있어서,The method of claim 13, 상기 n형 도전형 실리콘에피택셜층 형성시, HCl 가스를 첨가하는 것을 특징으로 하는 반도체 소자의 제조 방법.When forming the n-type conductive silicon epitaxial layer, HCl gas is added. 제6항에 있어서,The method of claim 6, 상기 실리콘에피택셜층은,The silicon epitaxial layer is, 10-7torr∼10-4torr의 압력과 500℃∼800℃ 범위의 온도에서 실리콘소스가스로 Si2H6 가스를 사용하고, 도핑가스로 PH3 또는 AsH3 가스를 사용하여 n형 도전형 실리콘에피택셜층으로 형성하는 것을 특징으로 하는 반도체소자의 제조 방법.N-type conductivity type using Si 2 H 6 gas as the silicon source gas and PH 3 or AsH 3 gas as the doping gas at a pressure of 10 -7 torr to 10 -4 torr and a temperature in the range of 500 ° C to 800 ° C. A method for manufacturing a semiconductor device, characterized in that it is formed of a silicon epitaxial layer. 제15항에 있어서,The method of claim 15, 상기 n형 도전형 실리콘에피택셜층 형성시, Cl 가스를 첨가하는 것을 특징으로 하는 반도체 소자의 제조 방법.Cl gas is added when the n-type conductive silicon epitaxial layer is formed.
KR1020030098542A 2003-12-29 2003-12-29 Semiconductor device including contact and method for forming the same KR20050067556A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030098542A KR20050067556A (en) 2003-12-29 2003-12-29 Semiconductor device including contact and method for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030098542A KR20050067556A (en) 2003-12-29 2003-12-29 Semiconductor device including contact and method for forming the same

Publications (1)

Publication Number Publication Date
KR20050067556A true KR20050067556A (en) 2005-07-05

Family

ID=37258197

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030098542A KR20050067556A (en) 2003-12-29 2003-12-29 Semiconductor device including contact and method for forming the same

Country Status (1)

Country Link
KR (1) KR20050067556A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100816182B1 (en) * 2007-05-16 2008-03-24 주식회사 동부하이텍 Semiconductor device and method for manufacturing the same
KR100935194B1 (en) * 2007-11-14 2010-01-06 주식회사 하이닉스반도체 Semiconductor device and method of manufacturing the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100816182B1 (en) * 2007-05-16 2008-03-24 주식회사 동부하이텍 Semiconductor device and method for manufacturing the same
KR100935194B1 (en) * 2007-11-14 2010-01-06 주식회사 하이닉스반도체 Semiconductor device and method of manufacturing the same

Similar Documents

Publication Publication Date Title
US6355533B2 (en) Method for manufacturing semiconductor device
TWI816685B (en) Semiconductor device and manufacturing method thereof
TWI482236B (en) Semiconductor trench structure having a sealing plug and method
US7842574B2 (en) Method of manufacturing a semiconductor power device
JP2008305927A (en) Semiconductor device and manufacturing method thereof
TW201304068A (en) Semiconductor device with buried bit line and method for fabricating the same
KR100416627B1 (en) Semiconductor device and Method for manufacturing the same
CN111755525A (en) Trench MOS power device and preparation method
JP2013545306A (en) Extended drain MOS transistor
TWI694544B (en) Semiconductor device and method of preparing the same
KR20030029400A (en) Method for forming plug in semiconductor device
KR20010076906A (en) Semiconductor device having contact plug formed of dual epitaxial layer and method for fabricating the same
KR100517328B1 (en) Semiconductor device having contact plug using selective epitaxial growth and method of fabricating the same
US20030068885A1 (en) Method of forming a contact plug for a semiconductor device
KR20050067556A (en) Semiconductor device including contact and method for forming the same
KR20090040989A (en) Semiconductor device and method of manufacturing a semiconductor device
KR100971421B1 (en) Semiconductor device with recessed sidewall type active regtion
KR20090022360A (en) Method for forming contact in semiconductor device
KR20010064119A (en) A method for forming of semiconductor device using to Selective Epitaxial Growth
CN113764353B (en) Forming method of air spacing layer and semiconductor structure
KR100744689B1 (en) Method for forming contact in semiconductor device
KR100955924B1 (en) Method for forming contact plug of semicondutor device
KR100524801B1 (en) Method of forming contact plug having double doping profile in semiconductor device
KR100755058B1 (en) semiconductor device has Step gate and fabricating method the same
KR20060046909A (en) Method for manufacturing a transistor having a recessed channel

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application