KR20050057255A - Method for forming insulating film on substrate, method for manufacturing semiconductor device and substrate-processing apparatus - Google Patents

Method for forming insulating film on substrate, method for manufacturing semiconductor device and substrate-processing apparatus Download PDF

Info

Publication number
KR20050057255A
KR20050057255A KR1020057003930A KR20057003930A KR20050057255A KR 20050057255 A KR20050057255 A KR 20050057255A KR 1020057003930 A KR1020057003930 A KR 1020057003930A KR 20057003930 A KR20057003930 A KR 20057003930A KR 20050057255 A KR20050057255 A KR 20050057255A
Authority
KR
South Korea
Prior art keywords
substrate
nitrogen
gas
oxygen
radicals
Prior art date
Application number
KR1020057003930A
Other languages
Korean (ko)
Other versions
KR100701714B1 (en
Inventor
마사노부 이게타
신타로 아오야마
히로시 신리키
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20050057255A publication Critical patent/KR20050057255A/en
Application granted granted Critical
Publication of KR100701714B1 publication Critical patent/KR100701714B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

A substrate-processing apparatus (100, 40) comprises a radical-forming unit (26) for forming nitrogen radicals and oxygen radicals through a high-frequency plasma, a processing vessel (21) in which a substrate (W) to be processed is held, and a gas- supplying unit (30) which is connected to the radical-forming unit. The gas-supplying unit (30) controls the mixture ratio between a first raw material gas containing nitrogen and a second raw material gas containing oxygen, and supplies a mixture gas of a desired mixture ratio to the radical-forming unit. By supplying nitrogen radicals and oxygen radicals mixed at the controlled mixture ratio to the surface of the substrate, an insulating film having a desired nitrogen concentration is formed on the surface of the substrate.

Description

기판상으로의 절연막의 형성 방법, 반도체 장치의 제조 방법 및 기판 처리 장치{METHOD FOR FORMING INSULATING FILM ON SUBSTRATE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE-PROCESSING APPARATUS}METHODS FOR FORMING INSULATING FILM ON SUBSTRATE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE-PROCESSING APPARATUS}

본 발명은 넓게는 반도체 장치의 제조에 관한 것으로서, 특히 고유전체막을 게이트 절연막에 적응한 초미세화 고속 반도체 장치의 기판처리 기술에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates generally to the manufacture of semiconductor devices, and more particularly, to a substrate processing technology for ultrafine high speed semiconductor devices in which a high dielectric film is adapted to a gate insulating film.

오늘날의 초고속 반도체 장치에서는 미세화 프로세스의 진보와 함께, 0.1㎛ 이하의 게이트길이가 가능하게 되고 있다. 일반적으로 미세화와 함께 반도체 장치의 동작속도는 향상되지만, 이와 같이 매우 미세화된 반도체 장치에서는 게이트 절연막의 막두께를 미세화에 의한 게이트길이의 단축에 수반해서 스케일링칙(則)을 따라 감소시킬 필요가 있다. In today's ultrafast semiconductor devices, with advances in the miniaturization process, gate lengths of 0.1 [mu] m or less are enabled. In general, although the operation speed of the semiconductor device is improved with miniaturization, in such a highly refined semiconductor device, it is necessary to reduce the thickness of the gate insulating film along the scaling rule with shortening the gate length due to miniaturization. .

그러나, 게이트길이가 0.1㎛이하로 되면, 게이트 절연막의 두께도 종래의 열산화막을 사용한 경우, 1∼2㎚ 혹은 그 이하로 설정할 필요가 있지만, 이와 같이 매우 얇은 게이트 절연막에서는 터널 전류가 증대하고, 그 결과 게이트 리크 전류가 증대하는 문제를 회피할 수 없다. However, when the gate length is 0.1 μm or less, the thickness of the gate insulating film needs to be set to 1 to 2 nm or less when using a conventional thermal oxide film. However, in such a very thin gate insulating film, the tunnel current increases, As a result, the problem that gate leak current increases cannot be avoided.

이와 같은 사정으로부터, 비유전율이 열산화막보다 훨씬 큰 고유전체 재료를 게이트 절연막에 적용하는 것이 제안되어 있다. 특히, 실제의 막두께가 크더라도 SiO2막으로 환산한 경우의 막두께가 작은 Ta2O5, A12O3, ZrO2, HfO2, ZrSiO4, HfSiO4 등을 이용하는 시도가 이루어지고 있다. 이와 같은 고유전체 재료를 사용하는 것에 의해, 게이트길이가 0. 1㎛이하와, 매우 짧은 초고속 반도체 장치에 있어서도 10㎚정도의 물리적 막두께의 게이트 절연막을 사용할 수 있어 터널 효과에 의한 게이트 리크 전류를 억제할 수 있다.From such a situation, it has been proposed to apply a high dielectric material with a relative dielectric constant much higher than that of a thermal oxide film to a gate insulating film. In particular, attempts have been made to use Ta 2 O 5 , A1 2 O 3 , ZrO 2 , HfO 2 , ZrSiO 4 , HfSiO 4, etc., which have a small film thickness when converted to SiO 2 film even though the actual film thickness is large. . By using such a high dielectric material, a gate insulating film having a gate length of 0.01 μm or less and a physical film thickness of about 10 nm can be used even in a very short ultrafast semiconductor device. It can be suppressed.

Ta2O5막은 Ta(OC2H5)5과 O2를 기상원료로 한 CVD법에 의해 형성할 수 있는 것이 알려져 있다. 전형적인 경우, CVD 프로세스는 감압 환경하, 약 480℃ 혹은 그 이상의 온도에서 실행된다. 이와 같이 해서 형성된 Ta2O5막은 또한 산소분위기중에 있어서 열처리되고, 그 결과 막중의 산소결손이 해소되고 또한 막 자체가 결정화한다. 결정화된 Ta2O5막은 큰 비유전율을 나타낸다.It is known that a Ta 2 O 5 film can be formed by a CVD method using Ta (OC 2 H 5 ) 5 and O 2 as gaseous raw materials. In a typical case, the CVD process is run at a temperature of about 480 ° C. or higher under a reduced pressure environment. The Ta 2 O 5 film thus formed is further subjected to heat treatment in an oxygen atmosphere, as a result of which the oxygen deficiency in the film is eliminated and the film itself crystallizes. The crystallized Ta 2 O 5 film shows a large relative dielectric constant.

채널 영역중의 캐리어 유동성(모빌리티)을 향상시키는 관점으로부터는 고유전체 게이트 산화막과 실리콘 기판 사이에 1㎚이하, 바람직하게는 0.8㎚이하의 두께의 극히 얇은 베이스 산화막을 개재시키는 것이 바람직하다. 베이스 산화막의 막두께가 두꺼워지면, 고유전체막을 게이트 절연막에 사용한 효과가 상쇄되어 버리므로, 베이스 산화막을 매우 얇게 할 필요가 있다. 매우 얇은 베이스 산화막으로 실리콘 기판 표면을 균일하게 피복함과 동시에, 베이스 산화막중에 계면준위 등의 결함을 형성하지 않는 것이 요구된다. From the viewpoint of improving carrier flowability (mobility) in the channel region, it is preferable to interpose an extremely thin base oxide film having a thickness of 1 nm or less, preferably 0.8 nm or less, between the high-k gate oxide film and the silicon substrate. When the thickness of the base oxide film becomes thick, the effect of using the high dielectric film for the gate insulating film cancels out, so the base oxide film needs to be made very thin. It is required to uniformly cover the silicon substrate surface with a very thin base oxide film and not to form defects such as the interface level in the base oxide film.

도 1에는 고유전체 게이트 절연막을 갖는 고속 반도체 장치(10)의 개략적인 구성을 도시한다. 1 shows a schematic configuration of a high speed semiconductor device 10 having a high dielectric gate insulating film.

도 1을 참조함에 있어서, 반도체 장치(10)는 실리콘 기판(11)상에 형성되어 있고, 실리콘 기판(11)상에는 얇은 베이스 산화막(12)을 거쳐서 Ta2O5, A12O3, ZrO2, HfO2, ZrSiO4, HfSiO4 등의 고유전체 게이트 절연막(13)이 형성된다. 고유전체 게이트 절연막(13)상에는 게이트 전극(14)이 형성되어 있다.Referring to FIG. 1, a semiconductor device 10 is formed on a silicon substrate 11, and a Ta 2 O 5 , A1 2 O 3 , ZrO 2 is formed on the silicon substrate 11 via a thin base oxide film 12. A high dielectric gate insulating film 13 such as, HfO 2 , ZrSiO 4 , HfSiO 4, etc. is formed. The gate electrode 14 is formed on the high dielectric gate insulating film 13.

그러나, 반도체 장치(10)에 있어서 베이스 산화막(12)상에 형성되는 고유전체 게이트 절연막(13)의 기능을 발현시키기 위해서는 퇴적된 고유전체막(13)을 열처리에 의해 결정화하고, 또한 산소결손 보상을 실행할 필요가 있다. 이와 같은 열처리를 고유전체막(13)에 대해 실행한 경우, 베이스 산화막(12)의 막두께가 증대해 버린다고 하는 문제가 있었다. However, in order to express the function of the high dielectric gate insulating film 13 formed on the base oxide film 12 in the semiconductor device 10, the deposited high dielectric film 13 is crystallized by heat treatment, and further, oxygen deficiency compensation is performed. You need to run When such heat treatment is performed on the high dielectric film 13, there is a problem that the film thickness of the base oxide film 12 increases.

열처리에 수반되는 베이스 산화막(12)의 막두께의 증대의 원인의 하나로서 열처리를 실행했을 때에 베이스 산화막층(12)의 실리콘과 상기 고유전체막(13)의 금속이 상호 확산하여 실리케이트층을 형성하고 있는 것이 추찰된다. 베이스 산화막(12)의 열처리에 수반되는 막두께 증대의 문제는 특히 베이스 산화막(12)의 막두께를 베이스 산화막으로서 바람직한 수원자층 이하의 막두께까지 저감할 필요가 있는 경우에 매우 심각한 문제로 된다. As one of the causes of the increase in the film thickness of the base oxide film 12 accompanying the heat treatment, the silicon of the base oxide film layer 12 and the metal of the high dielectric film 13 are diffused to form a silicate layer. It is guessed that we are doing. The problem of increasing the film thickness accompanying the heat treatment of the base oxide film 12 becomes a serious problem especially when it is necessary to reduce the film thickness of the base oxide film 12 to a film thickness below the water atom layer which is preferable as the base oxide film. .

베이스 산화막의 막두께의 증대를 억제하는 대책으로서 베이스 산화막층의 표면을 질화하고 산질화막을 형성하는 것이 제안되어 있다. 도 2에는 베이스 산화막의 표면을 질화한 반도체 장치(20)의 개략 구성을 도시한다. 도면 중, 도 1의 반도체 장치(10)과 동일 부분에는 동일 참조 부호를 붙이고 설명을 생략한다. As a countermeasure for suppressing the increase in the film thickness of the base oxide film, it is proposed to nitride the surface of the base oxide film layer and form an oxynitride film. 2 shows a schematic configuration of a semiconductor device 20 in which the surface of the base oxide film is nitrided. In the figure, the same components as those of the semiconductor device 10 of FIG. 1 are denoted by the same reference numerals, and description thereof is omitted.

도 2에 있어서, 베이스 산화막층(12)의 표면 부분에 실리콘 기판(11)과 베이스 산화막(12) 사이의 계면의 평탄성이 유지되는 바와 같은 범위에서 질소(N)가 도프되고, 산질화막(12A)이 형성되어 있다. 이 산질화막(12A)에 의해, 실리케이트층의 생성을 방지하여 베이스 산화막(12)의 막두께가 증대하는 것을 방지하고 있다. In FIG. 2, nitrogen (N) is doped in a range where the flatness of the interface between the silicon substrate 11 and the base oxide film 12 is maintained on the surface portion of the base oxide film layer 12, and the oxynitride film 12A is formed. ) Is formed. This oxynitride film 12A prevents the generation of the silicate layer and prevents the film thickness of the base oxide film 12 from increasing.

그러나, 이 방법에서는 베이스 산화막층(12)을 질화하고 산질화막(12A)을 생성하기 위한 질화공정을 새로이 추가할 필요가 생겨 생산성이 저하해 버린다. 또한, 베이스 산화막층(12)의 깊이 방향에 있어서의 질소농도의 제어는 매우 곤란하다. 특히, 실리콘 기판(11)과 베이스 산화막(12)의 계면근방에 질소가 농집(濃集)하면 계면준위가 형성되어 캐리어의 포획이나 리크 전류 경로의 형성 등의 문제를 일으키는 것이 알려져 있다. However, in this method, it is necessary to add a nitriding process for nitriding the base oxide film layer 12 and producing the oxynitride film 12A, and the productivity decreases. In addition, it is very difficult to control the nitrogen concentration in the depth direction of the base oxide film layer 12. In particular, when nitrogen is concentrated in the vicinity of the interface between the silicon substrate 11 and the base oxide film 12, it is known that an interface level is formed, which causes problems such as trapping of a carrier and formation of a leak current path.

본 발명의 다른 목적, 특징 및 이 점은 첨부 도면을 참조하여 이하에 기술하는 상세한 설명에 의해 한층 명료해진다. Other objects, features and advantages of the present invention will become apparent from the following detailed description with reference to the accompanying drawings.

도 1은 고유전체 게이트 절연막과 베이스 산화막을 갖는 반도체 장치의 구성을 도시한 도면. 1 is a diagram showing a configuration of a semiconductor device having a high dielectric gate insulating film and a base oxide film.

도 2는 고유전체 게이트 절연막과 베이스 산화막간에 산질화막을 갖는 반도체 장치의 구성을 도시한 도면. Fig. 2 is a diagram showing the configuration of a semiconductor device having an oxynitride film between a high dielectric gate insulating film and a base oxide film.

도 3은 본 발명의 1실시예에 관한 기판 처리 장치의 구성을 도시한 도면. 3 is a diagram showing the configuration of a substrate processing apparatus according to an embodiment of the present invention.

도 4는 도 3의 기판 처리 장치에 있어서 이용되는 리모트 플라즈마원의 구성을 도시한 도면. 4 is a diagram illustrating a configuration of a remote plasma source used in the substrate processing apparatus of FIG. 3.

도 5는 도 4의 리모트 플라즈마원에 의해 생성되는 RF 리모트 플라즈마의 특성과 마이크로파 플라즈마의 특성을 비교한 도면. 5 is a view comparing the characteristics of the microwave plasma and the characteristics of the RF remote plasma generated by the remote plasma source of FIG.

도 6은 RF 리모트 플라즈마와 마이크로파 플라즈마의 방전에 관한 특성을 비교한 도면. 6 is a diagram comparing characteristics of discharges of RF remote plasma and microwave plasma;

도 7은 마이크로파에 의해 플라즈마를 여기하는 경우와 고주파에 의해 플라즈마를 여기하는 경우의 비교를 도시한 도면. FIG. 7 is a diagram showing a comparison between a case of exciting plasma by microwaves and a case of exciting plasma by high frequency;

도 8의 (a) 및 8의 (b)는 도 3의 기판 처리 장치를 이용하여 산질화막을 형성할 때의 래디컬의 흐름을 나타내는 측면도와 상면도. 8A and 8B are side and top views illustrating the flow of radicals when an oxynitride film is formed by using the substrate processing apparatus of FIG. 3.

도 9의 (a) 및 9의 (b)는 도 8의 (a) 및 8의 (b)에 도시한 기판 처리 장치의 변형예를 나타내는 측면도와 상면도. 9A and 9B are side and top views illustrating a modification of the substrate processing apparatus shown in FIGS. 8A and 8B.

도 10은 기판 처리 장치에서 이용되는 가스 공급 장치의 구성을 도시한 도면. 10 is a diagram illustrating a configuration of a gas supply device used in a substrate processing apparatus.

도 11은 본 발명의 1실시예에 있어서의 질소와 산소의 혼합비 제어의 제 1 예를 도시한 도면. Fig. 11 is a diagram showing a first example of mixing ratio control of nitrogen and oxygen in one embodiment of the present invention.

도 12는 질소와 산소의 혼합비 제어의 제 2 예를 도시한 도면. 12 is a diagram showing a second example of mixing ratio control of nitrogen and oxygen.

도 13은 질소와 산소의 혼합비 제어의 제 3 예를 도시한 도면. FIG. 13 is a diagram showing a third example of mixing ratio control of nitrogen and oxygen. FIG.

도 14는 질소와 산소의 혼합비 제어의 제 4 예를 도시한 도면. 14 shows a fourth example of controlling the mixing ratio of nitrogen and oxygen.

도 15는 질소와 산소의 혼합비 제어의 제 5 예를 도시한 도면. 15 is a diagram showing a fifth example of mixing ratio control of nitrogen and oxygen.

발명의 개시Disclosure of the Invention

그래서, 본 발명은 상기의 과제를 해결하는 신규이고 또한 유용한 반도체 장치의 기판처리 기술을 제공한다. Thus, the present invention provides a novel and useful substrate processing technology for semiconductor devices that solves the above problems.

보다 구체적으로는 실리콘 기판 표면에 매우 얇은 전형적으로는 1∼3원자층의 산질화막을 단일 공정으로 형성할 수 있는 기판처리 기술을 제공하는 것을 목적으로 한다. More specifically, it is an object of the present invention to provide a substrate processing technique capable of forming a very thin, typically 1-3 atomic layer oxynitride film on a silicon substrate surface in a single process.

또한, 실리콘 기판 표면에 매우 얇은 전형적으로는 1∼3원자층의 산질화막의 질소농도를 깊이 방향으로 적정하게 제어할 수 있는 기판처리 기술을 제공하는 것을 목적으로 한다. It is also an object of the present invention to provide a substrate processing technique capable of appropriately controlling the nitrogen concentration of an oxynitride film of one to three atomic layers, which is very thin on the surface of a silicon substrate.

상기 목적을 달성하기 위해, 본 발명의 제 1 측면에서는 기판상으로의 절연막의 형성 방법을 제공한다. 이 방법은 고주파 플라즈마에 의해 질소 래디컬 및 산소 래디컬을 형성하는 공정과, 상기 질소 래디컬과 상기 산소 래디컬을 피처리 기판 표면으로 공급하여 상기 피처리 기판 표면에 절연막을 형성하는 공정을 포함한다. In order to achieve the above object, a first aspect of the present invention provides a method of forming an insulating film on a substrate. The method includes forming nitrogen radicals and oxygen radicals by a high frequency plasma, and supplying the nitrogen radicals and the oxygen radicals to the surface of the substrate to be treated to form an insulating film on the surface of the substrate.

보다 구체적인 형태로서 기판상으로의 절연막의 형성 방법은 More specifically, the method of forming an insulating film on a substrate is

[a] 질소 가스 또는 질소 화합물 가스와, 산소 가스 또는 산소 화합물 가스를 혼합하여 혼합 가스를 생성하는 공정과, [a] a process of mixing nitrogen gas or nitrogen compound gas with oxygen gas or oxygen compound gas to generate a mixed gas;

[b] 상기 혼합 가스를 고주파 플라즈마에 의해 여기하여 질소 래디컬 및 산소 래디컬을 형성하는 공정과, [b] exciting the mixed gas with a high frequency plasma to form nitrogen radicals and oxygen radicals;

[c] 상기 질소 래디컬 및 상기 산소 래디컬을 실리콘을 포함하는 피처리 기판 표면으로 공급하는 공정과, [c] supplying the nitrogen radicals and the oxygen radicals to a surface of a substrate to be treated containing silicon;

[d] 상기 질소 래디컬 및 상기 산소 래디컬에 의해 상기 피처리 기판 표면에 질소를 포함하는 절연막을 형성하는 공정을 포함한다. [d] forming an insulating film containing nitrogen on the surface of the substrate by the nitrogen radicals and the oxygen radicals.

피처리 기판은 예를 들면 실리콘 기판이고, 절연막은 예를 들면 산질화막이다. The substrate to be processed is, for example, a silicon substrate, and the insulating film is, for example, an oxynitride film.

혼합 가스의 생성공정은 혼합 가스중의 질소 가스 또는 질소 화합물 가스에 대한 산소 가스 또는 산소 화합물 가스의 비율을 시간과 함께 변화시키는 공정을 포함한다. The step of generating the mixed gas includes changing the ratio of oxygen gas or oxygen compound gas to nitrogen gas or nitrogen compound gas in the mixed gas with time.

질소 래디컬과 산소 래디컬은 피처리 기판의 표면을 따라 흐르도록 형성된 가스의 흐름에 실려 피처리 기판상으로 공급된다. Nitrogen radicals and oxygen radicals are supplied onto the substrate by being carried by a flow of gas formed to flow along the surface of the substrate.

상기 가스의 흐름은 피처리 기판의 제 1 측으로부터 직경 방향에서 대향하는 제 2 측으로 흐르는 것이 바람직하다. The gas flow preferably flows from the first side of the substrate to be processed to the second side opposite in the radial direction.

고주파 플라즈마는 질소 가스 및 산소 가스를 400∼500㎑의 주파수로 여기하는 것에 의해 형성된다. The high frequency plasma is formed by exciting nitrogen gas and oxygen gas at a frequency of 400 to 500 kHz.

본 발명의 제 2 측면에서는 상술한 기판 처리를 사용한 반도체 장치의 제조 방법을 제공한다. 반도체 장치의 제조 방법은 고주파 플라즈마에 의해 질소 래디컬 및 산소 래디컬을 형성하는 공정과, 상기 질소 래디컬과 상기 산소 래디컬을 반도체 기판 표면으로 공급하여 기판 표면을 처리하는 공정과, 표면 처리된 기판상에 활성 소자를 형성하는 공정을 포함한다. In a second aspect of the present invention, there is provided a method of manufacturing a semiconductor device using the substrate treatment described above. A method of manufacturing a semiconductor device includes the steps of forming nitrogen radicals and oxygen radicals by high frequency plasma, supplying the nitrogen radicals and oxygen radicals to the surface of the semiconductor substrate, and treating the surface of the substrate; Forming a device.

보다 구체적인 형태에서는 반도체 장치의 제조 방법은 In a more specific form, the method of manufacturing a semiconductor device

[a] 질소 가스 또는 질소 화합물 가스와, 산소 가스 또는 산소 화합물 가스를 혼합하여 혼합 가스를 형성하는 공정과, [a] forming a mixed gas by mixing nitrogen gas or nitrogen compound gas with oxygen gas or oxygen compound gas;

[b] 상기 혼합 가스를 고주파 플라즈마에 의해 여기하여 질소 래디컬 및 산소 래디컬을 형성하는 공정과, [b] exciting the mixed gas with a high frequency plasma to form nitrogen radicals and oxygen radicals;

[c] 상기 질소 래디컬 및 상기 산소 래디컬을 실리콘을 포함하는 피처리 기판 표면으로 공급하는 공정과, [c] supplying the nitrogen radicals and the oxygen radicals to a surface of a substrate to be treated containing silicon;

[d] 상기 질소 래디컬 및 상기 산소 래디컬에 의해 상기 피처리 기판 표면에 질소를 포함하는 절연막을 형성하는 공정과, [d] forming an insulating film containing nitrogen on the surface of the substrate by the nitrogen radicals and the oxygen radicals;

[e] 상기 절연막을 갖는 피처리 기판상에 반도체 소자를 형성하는 공정을 포함한다. [e] forming a semiconductor element on the substrate to be processed having the insulating film.

본 발명의 제 3 측면에서는 상술한 기판 처리를 실행할 수 있는 기판 처리 장치를 제공한다. 이 기판 처리 장치는 고주파 플라즈마에 의해 질소 래디컬과 산소 래디컬을 형성하는 래디컬 형성부와, 피처리 기판을 유지하는 처리용기를 구비하고, 상기 래디컬 형성부는 형성한 질소 래디컬과 산소 래디컬을 상기 처리용기로 공급하는 공급 포트를 갖고, 상기 처리용기내에 유지되는 피처리 기판 표면으로 상기 질소 래디컬과 상기 산소 래디컬을 공급하는 것에 의해, 상기 피처리 기판 표면에 절연막을 형성한다. A third aspect of the present invention provides a substrate processing apparatus capable of performing the above-described substrate processing. The substrate processing apparatus includes a radical forming portion for forming nitrogen radicals and oxygen radicals by a high frequency plasma, and a processing vessel for holding a substrate to be processed, wherein the radical forming portion transfers the formed nitrogen radicals and oxygen radicals into the processing vessel. An insulating film is formed on the surface of the to-be-processed substrate by supplying the nitrogen radical and the oxygen radical to the surface of the substrate to be held in the processing container, which has a supply port for supplying.

기판 처리 장치는 또한, 질소를 포함하는 제 1 원료 가스와 산소를 포함하는 제 2 원료 가스의 혼합비를 제어하여 원하는 혼합비의 혼합 가스를 상기 래디컬 형성부로 공급하는 가스 공급부를 구비하고, 상기 제 1 원료 가스와 제 2 원료 가스의 혼합비를 제어하는 것에 의해 상기 래디컬 형성부에서 생성되어 상기 처리용기로 공급되는 상기 질소 래디컬과 상기 산소 래디컬의 공급비가 제어된다.  The substrate processing apparatus further includes a gas supply unit configured to control a mixing ratio of the first source gas containing nitrogen and the second source gas containing oxygen to supply a mixed gas having a desired mixing ratio to the radical forming unit, wherein the first raw material is provided. By controlling the mixing ratio of the gas and the second source gas, the supply ratio of the nitrogen radicals and the oxygen radicals generated in the radical forming unit and supplied to the processing vessel is controlled.

래디컬 형성부는 처리용기의 측면에 위치하고, 상기 피처리 기판의 표면을 따라 흐르는 가스류를 형성하고, 상기 질소 래디컬과 산소 래디컬을 상기 피처리 기판의 표면에 따른 가스류에 실어 상기 피처리 기판의 표면으로 공급한다. The radical forming portion is located on the side of the processing container, forms a gas flow flowing along the surface of the substrate, and loads the nitrogen radicals and oxygen radicals into a gas flow along the surface of the substrate to be treated. To supply.

처리용기는 래디컬 형성부의 공급 포트와는 반대측에 질소 래디컬 및 산소 래디컬을 배기하는 배기구를 갖는다. 이것에 의해, 처리용기내를 래디컬 형성부측으로부터 피처리 기판을 따라 반대측에 흐르는 가스류가 형성된다. The processing vessel has an exhaust port for exhausting nitrogen radicals and oxygen radicals on the side opposite to the supply port of the radical forming portion. Thereby, the gas flow which flows in a process container from the radical formation part side to the other side along a to-be-processed substrate is formed.

래디컬 형성부는 혼합 가스에 400㎑∼500㎑의 주파수를 인가하여 여기하는 주파수 인가 수단을 더 갖는다. The radical forming portion further has a frequency applying means for applying and exciting a frequency of 400 Hz to 500 Hz to the mixed gas.

상술한 기판처리 기술에 근거하는 절연막의 형성 방법, 반도체 장치의 제조 방법, 및 기판 처리 장치에 따르면, 고주파 플라즈마에 의해 여기된 질소 래디컬과 산소 래디컬을 사용하여 매우 얇은 산질화막을 단일 공정으로 형성하는 것이 가능하게 된다. 산화막을 질화하고 산질화막을 형성하는 경우에 비해 공정수가 저감되어 생산성을 향상시키는 것이 가능해진다. According to the method for forming an insulating film, the method for manufacturing a semiconductor device, and the substrate processing apparatus based on the substrate processing technique described above, a very thin oxynitride film is formed in a single process using nitrogen radicals and oxygen radicals excited by high frequency plasma. It becomes possible. Compared with the case where the oxide film is nitrided and the oxynitride film is formed, the number of steps is reduced, and the productivity can be improved.

또한, 산질화막 형성공정에 있어서 공급되는 질소 래디컬에 첨가하는 산소 래디컬의 양을 산질화막 형성중에 제어하는 것이 가능하게 된다. 그 결과, 형성되는 산질화막중의 질소농도를 막두께 방향에 있어서 원하는 프로파일로 제어하는 것이 가능해진다. In addition, the amount of oxygen radicals added to the nitrogen radicals supplied in the oxynitride film forming step can be controlled during the oxynitride film formation. As a result, it becomes possible to control the nitrogen concentration in the oxynitride film formed to a desired profile in the film thickness direction.

또한 이 경우, 종래 이용되고 있던 실리콘 산화막에 비해 산질화막의 유전율이 크기 때문에, 열산화막 환산 막두께를 감소시키는 것이 가능해진다. In this case, since the dielectric constant of the oxynitride film is larger than that of the silicon oxide film used conventionally, it is possible to reduce the thermal oxide film conversion film thickness.

발명을 실시하기 위한 최량의 형태Best Mode for Carrying Out the Invention

이하, 본 발명의 실시예를 도면에 근거하여 설명한다. EMBODIMENT OF THE INVENTION Hereinafter, the Example of this invention is described based on drawing.

도 3은 본 발명의 1실시예에 관한 기판 처리 장치(100)의 개략구성도이다. 기판 처리 장치(100)를 이용하여 도 2에 도시한 실리콘 기판(11)상에 산질화막을 형성한다. 3 is a schematic configuration diagram of a substrate processing apparatus 100 according to an embodiment of the present invention. An oxynitride film is formed on the silicon substrate 11 shown in FIG. 2 using the substrate processing apparatus 100.

기판 처리 장치(100)는 처리용기(21)와 고주파 플라즈마에 의해 질소 래디컬과 산소 래디컬을 형성하는 리모트 플라즈마원(26)과, 리모트 플라즈마원(26)으로 원료 가스를 공급하는 가스 공급 장치(30)를 포함한다. The substrate processing apparatus 100 includes a remote plasma source 26 for forming nitrogen radicals and oxygen radicals by a processing vessel 21 and a high frequency plasma, and a gas supply device 30 for supplying source gas to the remote plasma source 26. ).

처리용기(21)는 프로세스 위치와 기판 반입·반출위치 사이를 상하동 자유롭게 마련된 비터(22A)가 부착된 기판유지대(22)를 수납한다. 처리용기(21)와 기판유지대(22)에서 프로세스 공간(21B)을 형성한다. 기판유지대(22)는 구동 장치(22C)에 의해 회전운동된다. 처리용기(21)의 내벽면은 석영유리로 이루어진 내부라이너(21G)에 의해 덮어져 있고, 이것에 의해, 노출 금속면으로부터의 피처리 기판 W의 금속오염을 1×1010원자/㎠ 이하의 레벨로 억제하고 있다.The processing container 21 accommodates a substrate holder 22 with a beater 22A provided freely up and down between a process position and a substrate loading / exporting position. The process space 21B is formed in the processing vessel 21 and the substrate holder 22. The substrate holder 22 is rotated by the driving device 22C. The inner wall surface of the processing vessel 21 is covered with an inner liner 21G made of quartz glass, thereby reducing the metal contamination of the substrate W from the exposed metal surface to 1 × 10 10 atoms / cm 2 or less. It is suppressed at the level.

기판유지대(22)와 구동 장치(22C)와의 결합부에는 자기밀봉(seal)(28)이 배치되어 있다. 자기밀봉(28)은 진공환경으로 유지되는 자기밀봉실(22B)과, 대기환경중에 형성되는 구동 장치(22C)를 분리한다. 자기밀봉(28)은 액체이기 때문에, 기판유지대(22)는 회전운동 자유롭게 유지된다. A magnetic seal 28 is disposed at the coupling portion between the substrate holder 22 and the drive device 22C. The magnetic sealing 28 separates the magnetic sealing chamber 22B maintained in the vacuum environment from the driving device 22C formed in the atmospheric environment. Since the magnetic seal 28 is a liquid, the substrate holder 22 is free to rotate freely.

도 3의 상태에서는 기판유지대(22)는 프로세스 위치에 있고, 프로세스 위치의 아래쪽에 피처리 기판 W의 반입·반출을 위한 반입·반출실(21C)이 형성되어 있다. 처리용기(21)는 게이트밸브(27A)를 거쳐서 기판반송유닛(T/M)(27)에 결합되어 있다. 기판유지대(22)가 반입·반출실(21C) 내의 반입·반출위치로 하강한 상태에서 게이트밸브(27A)를 거쳐서, 기판반송유닛(27)으로부터 피처리 기판 W가 기판유지대(22)상으로 반송된다. 또한, 처리완료의 기판 W가 기판유지대(22)로부터 기판반송유닛(27)으로 반송된다. In the state of FIG. 3, the board | substrate holding stand 22 is in a process position, and the carrying-in / out chamber 21C for carrying in / out of the to-be-processed board | substrate W is formed below the process position. The processing vessel 21 is coupled to the substrate transfer unit (T / M) 27 via a gate valve 27A. The substrate holder 22 is moved from the substrate transfer unit 27 to the substrate holder 22 via the gate valve 27A while the substrate holder 22 is lowered to the loading / exporting position in the loading / exporting chamber 21C. Is returned to the award. In addition, the processed substrate W is conveyed from the substrate holder 22 to the substrate transfer unit 27.

도 3의 기판 처리 장치(100)에서는 처리용기(21)의 게이트밸브(27A)에 가까운 부분에 배기구(21A)가 형성되어 있고, 배기구(21A)에는 밸브(23A)를 거쳐서 터보분자펌프(23B)가 결합되어 있다. 터보분자펌프(23B)에는 또한 드라이 펌프(dry pump: D.P.) 및 메카니컬 부스터 펌프(mechnaical booster pump: MBP)를 결합하여 구성한 펌프(24)가 밸브(23C)를 거쳐서 결합되어 있다. 터보분자펌프(turbo molecular pump:TMP)(23B)와 드라이 펌프를 구동하는 것에 의해, 프로세스 공간(21B)의 압력을 1.33×10-1∼1.33×10-4Pa(10-3∼10-6 Torr)까지 감압하는 것이 가능해진다.In the substrate processing apparatus 100 of FIG. 3, an exhaust port 21A is formed at a portion close to the gate valve 27A of the processing vessel 21, and the turbo molecular pump 23B is provided at the exhaust port 21A via a valve 23A. ) Is combined. The turbomolecular pump 23B is further coupled with a pump 24 constructed by combining a dry pump (DP) and a mechanical booster pump (MBP) via a valve 23C. A turbo-molecular pump by driving the (turbo molecular pump TMP) (23B ) and a dry pump, the pressure of the processing space (21B) 1.33 × 10 -1 ~1.33 × 10 -4 Pa (10 -3 ~10 -6 Torr) can be reduced.

한편, 배기구(21A)는 밸브(24A) 및 APC(24B)를 거쳐 직접 펌프(24)에 결합되어 있으며, 밸브(24A)를 개방하는 것에 의해, 프로세스공간은 펌프(24)에 의해 1.33 Pa∼13.3kPa(0.01∼100 Torr)의 압력까지 감압된다. On the other hand, the exhaust port 21A is directly coupled to the pump 24 via the valve 24A and the APC 24B, and by opening the valve 24A, the process space is 1.33 Pa-by the pump 24. The pressure is reduced to a pressure of 13.3 kPa (0.01 to 100 Torr).

리모트 플라즈마원(26)은 처리용기(21)의 배기구(21A)와 대향하는 측에 설치되어 있고, 리모트 플라즈마원(26)에는 질소 및 산소를 공급하기 위한 가스 공급 장치(30)가 접속되어 있다. 가스 공급 장치(30)에서는 공급하는 질소에 대해 미량의 산소를 혼합하고, 소정의 혼합비(산소농도로 약 10ppm∼600ppm 정도)의 혼합 가스를 생성한다. 혼합비의 제어 방법에 대해서는 도 9∼도 13을 참조하여 후술한다. 생성된 질소/산소 혼합 가스는 아르곤(Ar) 등의 불활성 가스와 함께 리모트 플라즈마원(26)으로 공급된다. 질소/산소 혼합 가스를 플라즈마에 의해 활성화하는 것에 의해, 소정의 혼합비로 질소 래디컬과 산소 래디컬을 생성할 수 있다. 환언하면, 리모트 플라즈마원(26)에 공급되는 질소와 산소의 혼합비를 조정하는 것에 의해, 리모트 플라즈마원(26)에서 생성되는 질소 래디컬과 산소 래디컬의 비를 조정할 수 있다. 그 결과, 피처리 기판 W 상에 원하는 질소농도로 조정된 산질화막을 형성하는 것이 가능해진다. The remote plasma source 26 is provided on the side opposite to the exhaust port 21A of the processing vessel 21, and the gas supply apparatus 30 for supplying nitrogen and oxygen is connected to the remote plasma source 26. . In the gas supply device 30, a small amount of oxygen is mixed with nitrogen to be supplied, and a mixed gas having a predetermined mixing ratio (about 10 ppm to 600 ppm in oxygen concentration) is generated. A method of controlling the mixing ratio will be described later with reference to FIGS. 9 to 13. The generated nitrogen / oxygen mixed gas is supplied to the remote plasma source 26 together with an inert gas such as argon (Ar). By activating the nitrogen / oxygen mixed gas by plasma, it is possible to generate nitrogen radicals and oxygen radicals at a predetermined mixing ratio. In other words, by adjusting the mixing ratio of nitrogen and oxygen supplied to the remote plasma source 26, the ratio of nitrogen radicals and oxygen radicals generated in the remote plasma source 26 can be adjusted. As a result, it becomes possible to form the oxynitride film adjusted to desired nitrogen concentration on the to-be-processed substrate W. FIG.

도 3의 기판 처리 장치(100)에는 반입·반출실(21C)을 질소 가스에 의해 퍼지하는 퍼지라인(21c)과, 자기밀봉실(22B)을 질소 가스에 의해 퍼지하는 퍼지라인(22b)과, 그 배기라인(22c)이 마련되어 있다. 보다 상세하게 설명하면, 배기라인(22c)에는 밸브(29A)를 거쳐서 터보분자펌프(29B)가 결합되고, 터보분자펌프(29B)는 밸브(29C)를 거쳐서 펌프(24)에 결합되어 있다. 배기라인(22c)은 또 밸브(29D)에 의해서도 펌프(24)에 직접 결합되어 있고, 이것에 의해 자기밀봉실(22B)을 각종 압력으로 유지하는 것이 가능해진다. The substrate processing apparatus 100 of FIG. 3 includes a purge line 21c for purging the loading and unloading chamber 21C with nitrogen gas, a purge line 22b for purging the self-sealing chamber 22B with nitrogen gas, and The exhaust line 22c is provided. In more detail, the turbo molecular pump 29B is coupled to the exhaust line 22c via the valve 29A, and the turbo molecular pump 29B is coupled to the pump 24 via the valve 29C. The exhaust line 22c is also directly coupled to the pump 24 by the valve 29D, thereby allowing the self-sealing chamber 22B to be maintained at various pressures.

반입·반출실(21C) 내의 가스는 펌프(24)에 의해 밸브(24C)를 거쳐서 배기된다. 혹은 터보분자펌프(23B)에 의해 밸브(23D)를 거쳐서 배기된다. 프로세스공간(21B)에 있어서 오염이 생기는 것을 피하기 위해, 반입·반출실(21C)은 프로세스공간(21B)보다 저압으로 유지된다. 자기밀봉실(22B)은 차동배기에 의해 반입·반출실(21C)보다 더욱 저압으로 유지된다. The gas in the carry-in / out chamber 21C is exhausted through the valve 24C by the pump 24. Alternatively, the gas is exhausted through the valve 23D by the turbo molecular pump 23B. In order to avoid contamination in the process space 21B, the carry-in / out chamber 21C is kept at a lower pressure than the process space 21B. The self-sealing chamber 22B is maintained at a lower pressure than the carry-in / out chamber 21C by differential exhaust.

도 4에는 도 3의 기판 처리 장치(100)에서 이용되는 리모트 플라즈마원(26)의 구성을 도시한다. 리모트 플라즈마원(26)은 일반적으로 알루미늄으로 구성되는 블럭(26A)을 포함하고, 블럭(26A)의 일부에 페라이트 코어(26B)가 형성되어 있다. 블럭(26A)의 내부에는 가스순환통로(26a)와 이것과 연통한 가스입구(26b) 및 가스출구(26c)가 형성되어 있다. 4 shows the configuration of a remote plasma source 26 used in the substrate processing apparatus 100 of FIG. The remote plasma source 26 includes a block 26A generally made of aluminum, and a ferrite core 26B is formed in a part of the block 26A. Inside the block 26A, a gas circulation passage 26a, a gas inlet 26b and a gas outlet 26c in communication therewith are formed.

가스순환통로(26a)와 가스입구(26b), 가스출구(26c)의 내면에는 불소 수지코팅(26d)이 실시되어 있다. 페라이트 코어(26B)에 감겨진 코일로 주파수가 400㎑인 고주파를 공급하는 것에 의해, 상기 가스순환통로(26a) 내에 플라즈마(26C)가 형성된다. Fluorine resin coating 26d is applied to the inner surfaces of the gas circulation passage 26a, the gas inlet 26b, and the gas outlet 26c. By supplying a high frequency with a frequency of 400 Hz to the coil wound around the ferrite core 26B, plasma 26C is formed in the gas circulation passage 26a.

플라즈마(26C)의 여기에 수반되어 상기 가스순환통로(26a) 내에는 질소 래디컬, 산소 래디컬 및 질소이온, 산소이온이 형성된다. 질소이온과 산소이온은 순환통로(26a)를 순환할 때에 소멸되며, 가스출구(26c)로부터는 주로 질소 래디컬 N2*과 산소 래디컬 O2*가 방출된다. 또한 도 4의 구성에서는 접지된 이온 필터(26e)를 가스출구(26c)에 마련하고 있다. 이것에 의해, 질소이온을 비롯한 하전입자가 제거되어 처리공간(21B)으로는 질소 래디컬과 산소 래디컬만이 공급된다. 이온 필터(26e)를 접지시키지 않은 경우에도 이온 필터(26e)는 확산판으로서 작용하기 때문에, 질소이온을 비롯한 하전입자를 충분히 제거할 수 있다.Accompanying excitation of the plasma 26C, nitrogen radicals, oxygen radicals, nitrogen ions, and oxygen ions are formed in the gas circulation passage 26a. Nitrogen ions and oxygen ions dissipate when circulating in the circulation passage 26a, and mainly nitrogen radicals N 2 * and oxygen radicals O 2 * are released from the gas outlet 26c. 4, the grounded ion filter 26e is provided in the gas outlet 26c. As a result, charged particles including nitrogen ions are removed, and only nitrogen radicals and oxygen radicals are supplied to the processing space 21B. Even when the ion filter 26e is not grounded, the ion filter 26e acts as a diffusion plate, so that charged particles including nitrogen ions can be sufficiently removed.

도 5는 도 4의 리모트 플라즈마원(26)에 의해 형성되는 이온의 수와 전자에너지의 관계를 마이크로파 플라즈마원의 경우와 비교하여 도시한 그래프이다. 마이크로파에 의해 플라즈마를 여기한 경우에는 질소분자와 산소분자의 이온화가 촉진되어 다량의 질소이온과 산소이온이 형성되게 된다. 이에 대해, 500㎑ 이하의 고주파에 의해 플라즈마를 여기한 경우에는 형성되는 질소이온과 산소이온의 수가 대폭 감소한다. 마이크로파에 의해 플라즈마 처리를 실행하는 경우에는 도 6에 도시한 바와 같이, 1.33×10-3∼1.33×10-6Pa(10-1∼10-4 Torr)의 고진공이 필요하게 되지만, 고주파 플라즈마 처리는 13.3∼13.3kPa(0.1∼100Torr)의 비교적 높은 압력으로 실행가능하다.FIG. 5 is a graph showing the relationship between the number of ions formed by the remote plasma source 26 and the electron energy in FIG. 4 compared with the case of the microwave plasma source. When the plasma is excited by microwaves, ionization of nitrogen molecules and oxygen molecules is promoted, and a large amount of nitrogen ions and oxygen ions are formed. In contrast, when the plasma is excited by a high frequency of 500 Hz or less, the number of nitrogen ions and oxygen ions formed is greatly reduced. When the plasma treatment is performed by microwaves, as shown in Fig. 6, high vacuum of 1.33 × 10 −3 to 1.33 × 10 −6 Pa (10 −1 to 10 −4 Torr) is required, but the high frequency plasma treatment is performed. Can be executed at a relatively high pressure of 13.3 to 13.3 kPa (0.1 to 100 Torr).

도 7에는 마이크로파에 의해 플라즈마를 여기하는 경우와 고주파에 의해 플라즈마를 여기하는 경우 사이에서의 이온화 에너지 변환효율, 방전가능 압력범위, 플라즈마 소비전력, 프로세스 가스 유량의 비교를 도시한다. 이온화 에너지 변환효율은 마이크로파 여기인 경우에 약 1×10-2정도인데 반해, RF 여기의 경우, 약 1×10-7까지 감소해 있고, 또한 방전 가능 압력은 마이크로파 여기의 경우 0. 1mTorr∼0. lTorr(133mPa∼13.3Pa) 정도인데 반해, RF여기의 경우에는 0.1∼100Torr(13.3Pa∼13.3kPa) 정도인 것을 알 수 있다. 이것에 수반되어 플라즈마 소비 전력은 RF 여기의 경우 쪽이 마이크로파 여기의 경우보다 크고, 프로세스 가스 유량은 RF 여기의 경우 쪽이 마이크로파 여기의 경우보다 훨씬 커져 있다.Fig. 7 shows a comparison of ionization energy conversion efficiency, dischargeable pressure range, plasma power consumption, and process gas flow rate between the case of exciting the plasma by microwave and the case of exciting the plasma by high frequency. The ionization energy conversion efficiency is about 1 × 10 −2 for microwave excitation, but decreases to about 1 × 10 −7 for RF excitation, and the dischargeable pressure is 0.1mTorr to 0 for microwave excitation. . While it is about lTorr (133 mPa to 13.3 Pa), in the case of RF excitation, it can be seen that it is about 0.1 to 100 Torr (13.3 Pa to 13.3 kPa). With this, the plasma power consumption is larger in the case of RF excitation than in the case of microwave excitation, and the process gas flow rate is much larger in the case of RF excitation than in the case of microwave excitation.

도 3에 도시한 기판 처리 장치(100)에서는 산질화막의 형성을 질소이온, 산소이온이 아닌, 질소 래디컬과 산소 래디컬로 실행하고 있고, 이 때문에 여기되는 질소이온과 산소이온의 수는 적은 쪽이 바람직하다. 또한, 피처리 기판에 가해지는 손상을 최소화하는 관점으로부터도, 여기되는 질소이온과 산소이온의 수는 적은 것이 바람직하다. 또한, 도 3의 기판 처리 장치(100)에서는 여기되는 질소 래디컬과 산소 래디컬의 수도 적어 고유전체 게이트 절연막 아래의 매우 얇은 산질화막을 형성하는 데 바람직하다. In the substrate processing apparatus 100 shown in FIG. 3, the formation of the oxynitride film is carried out by nitrogen radicals and oxygen radicals instead of nitrogen ions and oxygen ions. Therefore, the number of excited nitrogen and oxygen ions is smaller. desirable. In addition, from the viewpoint of minimizing damage to the substrate to be processed, it is preferable that the number of nitrogen ions and oxygen ions to be excited is small. In addition, in the substrate processing apparatus 100 of FIG. 3, the number of nitrogen radicals and oxygen radicals to be excited is small, which is preferable to form a very thin oxynitride film under the high dielectric gate insulating film.

도 8의 (a)와 도 8의 (b)는 각각 도 3의 기판 처리 장치(100)를 사용하여 피처리 기판 W에 산질화막을 형성할 때의 래디컬의 흐름을 도시한 측면도와 평면도이다. 도면 중, 먼저 설명한 구성요소와 동일한 것에는 동일한 참조 부호를 붙이고 설명을 생략한다. 8A and 8B are side views and plan views illustrating the flow of radicals when the oxynitride film is formed on the substrate W using the substrate processing apparatus 100 of FIG. 3, respectively. In the drawings, the same reference numerals are given to the same components as those described above, and description thereof will be omitted.

실제로 상기 피처리 기판 W에 산질화막이 형성되는 수순은 다음과 같게 된다. 우선, 리모트 플라즈마 래디컬원(26)에는 아르곤(Ar) 가스와, 가스 공급 장치(30)로부터 보내져 오는 소정의 혼합비로 조정된 질소 가스 및 산소 가스가 공급된다. 플라즈마를 수100㎑의 주파수로 고주파 여기하는 것에 의해, 소정의 혼합비의 질소 래디컬 및 산소 래디컬이 형성된다. 형성된 질소 래디컬과 산소 래디컬은 피처리 기판 W의 표면을 따라 흐르고, 배기구(21A) 및 펌프(24)를 거쳐서 배기된다. 그 결과, 상기 프로세스공간(21B)은 기판 W의 래디컬 산질화에 적당한 6.65Pa∼1.33kPa(0.05∼10Torr)의 범위의 프로세스압으로 설정된다. 이와 같이 해서, 질소 래디컬과 산소 래디컬이 피처리 기판 W의 표면을 따라 흐를 때에 회전하고 있는 피처리 기판 W의 표면에 매우 얇은 전형적으로는 1∼3원자층의 산질화막이 형성된다. In fact, the procedure for forming an oxynitride film on the substrate W to be processed is as follows. First, argon (Ar) gas and nitrogen gas and oxygen gas adjusted to the predetermined mixing ratio sent from the gas supply device 30 are supplied to the remote plasma radical source 26. By exciting the plasma at a frequency of several 100 kHz, nitrogen radicals and oxygen radicals of a predetermined mixing ratio are formed. The formed nitrogen radicals and oxygen radicals flow along the surface of the substrate W to be processed and are exhausted through the exhaust port 21A and the pump 24. As a result, the process space 21B is set to a process pressure in the range of 6.65 Pa to 1.33 kPa (0.05 to 10 Torr) suitable for the radical oxynitride of the substrate W. As shown in FIG. In this way, when the nitrogen radicals and the oxygen radicals flow along the surface of the substrate W, a very thin, typically 1-3 atomic layer oxynitride film is formed on the surface of the substrate W being rotated.

도 8의 (a) 및 도 8의 (b)에 도시한 산질화막형성에 있어서, 산질화막의 형성에 앞서 이하에 기술하는 퍼지공정을 실행하는 것도 가능하다. 퍼지공정에서는 밸브(23A) 및 (23C)가 개방되고 밸브(24A)가 폐쇄되는 것에 의해, 처리공간(21B)의 압력이 1.33×10-1∼1.33×10-4Pa의 압력까지 감압된다. 그 후의 산질화막 형성공정에서는 밸브(23A) 및 (23C)는 폐쇄된다. 터보분자펌프(23B)는 프로세스공간(21B)의 배기 경로에는 포함되지 않는다.In the oxynitride film formation shown in Figs. 8A and 8B, it is also possible to perform the purge step described below before the formation of the oxynitride film. In the purge step, the valves 23A and 23C are opened and the valve 24A is closed, so that the pressure in the processing space 21B is reduced to a pressure of 1.33 × 10 −1 to 1.33 × 10 −4 Pa. In the subsequent oxynitride film forming step, the valves 23A and 23C are closed. The turbomolecular pump 23B is not included in the exhaust path of the process space 21B.

상기 퍼지공정을 부가하는 것에 의해, 처리공간(21B) 내에 잔류되어 있는 산소나 수분을 퍼지하는 것이 가능하다. By adding the said purge process, it is possible to purge the oxygen and water which remain in the process space 21B.

또한, 도 8의 (b)의 평면도로부터 알 수 있는 바와 같이, 터보분자펌프(23B)는 기판반송유닛(27)을 피해 처리용기(21) 옆으로 돌출하는 바와 같은 형태로 배치되어 있다. In addition, as can be seen from the plan view of FIG. 8B, the turbo molecular pump 23B is disposed so as to protrude to the processing container 21 away from the substrate transfer unit 27.

도 9의 (a) 및 도 9의 (b)는 도 8의 (a) 및 도 8의 (b)에 도시하는 기판 처리 장치의 변형예(40)의 배치구성을 도시한 측면도와 평면도이다. 변형예에 관한 기판 처리 장치(40)에서는 터보분자펌프(23B)의 배치가 변경되어 있다. 도면중, 먼저 설명한 구성요소와 동일 부분에는 동일한 참조 부호를 붙이고 설명을 생략한다. 9A and 9B are side views and plan views showing the arrangement of a modification 40 of the substrate processing apparatus shown in FIGS. 8A and 8B. In the substrate processing apparatus 40 according to the modification, the arrangement of the turbomolecular pump 23B is changed. In the drawings, the same components as those described above are denoted by the same reference numerals and description thereof will be omitted.

도 9의 (a) 및 9의 (b)에 도시하는 바와 같이, 기판 처리 장치(40)에서는 터보분자펌프(23B)는 기판반송유닛(27)과는 반대측이고 처리용기(21)의 외측에 배치된다. 이것에 수반되어 처리용기(21)에는 터보분자 펌프(23B)와 협동하는 배기구(21E)가 기판반송유닛(27)과는 반대측에 형성된다. As shown in FIGS. 9A and 9B, in the substrate processing apparatus 40, the turbomolecular pump 23B is opposite to the substrate transfer unit 27 and is disposed on the outer side of the processing container 21. Is placed. Accompanying this, the processing container 21 is provided with an exhaust port 21E which cooperates with the turbomolecular pump 23B on the side opposite to the substrate transfer unit 27.

터보분자펌프(23B)는 처리용기(21)의 하부와 수직인 방향으로, 즉 흡기구와 배기구가 상하에 배열하는 바와 같은 방향으로 밸브(23A)를 거쳐서 결합되어 있다. 터보분자펌프(23B)의 배기구는 처리용기(21)의 배기구(21A)로부터 밸브(24A)를 거쳐서 펌프(24)에 이르는 배기라인에 밸브(24A)의 하류측에서 결합되어 있다. The turbomolecular pump 23B is coupled via the valve 23A in a direction perpendicular to the lower portion of the processing vessel 21, that is, in the inlet and exhaust ports arranged up and down. The exhaust port of the turbomolecular pump 23B is coupled downstream of the valve 24A to an exhaust line from the exhaust port 21A of the processing vessel 21 to the pump 24 via the valve 24A.

기판 처리 장치(40)에서는 터보분자펌프(23B)가 처리용기(21)의 하측에 배치되기 때문에, 도 8의 (a) 및 도 8의 (b)에 도시한 기판 처리 장치(100)의 배치구성에 비해, 기판 처리 장치의 점유공간을 저감할 수 있다. In the substrate processing apparatus 40, since the turbomolecular pump 23B is disposed below the processing container 21, the arrangement of the substrate processing apparatus 100 shown in Figs. 8A and 8B. In comparison with the configuration, the space occupied by the substrate processing apparatus can be reduced.

도 9의 (a) 및 도 9의 (b)의 배치구성의 기판 처리 장치(40)에 있어서, 실제로 피처리 기판 W에 산질화막이 형성되는 수순은 다음과 같다. In the substrate processing apparatus 40 of the arrangement | positioning structure of FIG. 9A and FIG. 9B, the procedure which an oxynitride film is actually formed in the to-be-processed substrate W is as follows.

우선, 리모트 플라즈마 래디컬원(26)에는 아르곤(Ar) 가스와, 가스 공급 장치(30)로부터 보내져 오는 소정의 혼합비로 조정된 질소 가스 및 산소 가스가 공급된다. 플라즈마를 수 100㎑의 주파수로 고주파 여기하는 것에 의해, 소정의 혼합비의 질소 래디컬 및 산소 래디컬이 형성된다. 형성된 질소 래디컬과 산소 래디컬은 피처리 기판 W의 표면을 따라 흐르고, 배기구(21A) 및 펌프(24)를 거쳐서 배기된다. 그 결과, 프로세스공간(21B)은 기판 W의 래디컬 산질화에 적당한 6.65Pa∼1.33kPa(0.05∼10 Torr)의 범위의 프로세스압으로 설정된다. 질소 래디컬과 산소 래디컬이 상기 피처리 기판 W의 표면에 따라 흐를 때에, 회전하고 있는 피처리 기판 W의 표면에 매우 얇은 전형적으로는 1∼3원자층의 산질화막이 형성된다. First, argon (Ar) gas and nitrogen gas and oxygen gas adjusted to the predetermined mixing ratio sent from the gas supply device 30 are supplied to the remote plasma radical source 26. By high frequency excitation of the plasma at a frequency of several 100 Hz, nitrogen radicals and oxygen radicals of a predetermined mixing ratio are formed. The formed nitrogen radicals and oxygen radicals flow along the surface of the substrate W to be processed and are exhausted through the exhaust port 21A and the pump 24. As a result, the process space 21B is set to a process pressure in the range of 6.65 Pa to 1.33 kPa (0.05 to 10 Torr) suitable for radical oxynitride of the substrate W. As shown in FIG. When nitrogen radicals and oxygen radicals flow along the surface of the substrate W to be processed, a very thin typically oxynitride film of 1-3 atomic layers is formed on the surface of the substrate to be rotated.

도 9의 (a), (b)에 도시한 산질화막형성에 있어서도 산질화막의 형성에 앞서 상술한 퍼지공정을 실행해도 좋다. 즉, 밸브(23A) 및 (23C)를 개방하고 밸브(24A)를 폐쇄하는 것에 의해, 처리공간(21B)의 압력을 1.33×10-1∼1.33×10-4Pa의 압력까지 감압한다. 그 후의 산질화 처리에서는 밸브(23A) 및 (23C)를 폐쇄한다. 터보분자펌프(23B)는 프로세스공간(21B)의 배기 경로에는 포함되지 않는다. 이와 같은 퍼지공정을 부가하는 것에 의해, 처리공간(21B) 내에 잔류되어 있는 산소나 수분을 퍼지할 수 있다.Also in the oxynitride film formation shown in Figs. 9A and 9B, the above-described purge step may be performed before the oxynitride film is formed. In other words, by opening the valves 23A and 23C and closing the valve 24A, the pressure in the processing space 21B is reduced to a pressure of 1.33 × 10 −1 to 1.33 × 10 −4 Pa. In the subsequent oxynitriding process, the valves 23A and 23C are closed. The turbomolecular pump 23B is not included in the exhaust path of the process space 21B. By adding such a purge process, oxygen and moisture remaining in the processing space 21B can be purged.

도 10에는 리모트 플라즈마원(26)에 질소 가스와 산소 가스를 공급하는 가스 공급 장치(30)의 구성을 도시한다. 가스 공급 장치(30)는 질소도입밸브(31A)를 포함하는 질소도입라인(31), 산소도입밸브(32A)를 포함하는 산소도입라인(32),혼합탱크(30A), 혼합기 공급밸브(33A)를 포함하는 혼합기 공급라인(33)으로 구성된다. 질소도입라인(31)에 있어서 질소도입밸브(31A)를 개방하는 것에 의해 혼합탱크(30A)에 질소가 도입된다. 혼합탱크(30A)에 산소를 혼합하는 경우에는 질소가 공급되어 있는 동안에 산소도입밸브(32A)를 단시간만 개방하고, 산소도입라인(32)으로부터 미량의 산소를 혼합탱크내에 도입한다. 혼합되는 산소의 농도는 산소도입밸브(32A)의 개방 시간에 의해 조정된다. 혼합탱크(30A)에 있어서 혼합된 질소와 산소는 혼합 가스 공급밸브(33A)를 개방하는 것에 의해 혼합 가스 공급라인(33)으로부터 리모트 플라즈마원(26)으로 공급된다. 10 shows a configuration of a gas supply device 30 that supplies nitrogen gas and oxygen gas to the remote plasma source 26. The gas supply device 30 includes a nitrogen introduction line 31 including a nitrogen introduction valve 31A, an oxygen introduction line 32 including an oxygen introduction valve 32A, a mixing tank 30A, and a mixer supply valve 33A. It consists of a mixer supply line 33 comprising a). Nitrogen is introduced into the mixing tank 30A by opening the nitrogen introduction valve 31A in the nitrogen introduction line 31. When oxygen is mixed in the mixing tank 30A, the oxygen introduction valve 32A is opened for a short time while nitrogen is supplied, and a small amount of oxygen is introduced into the mixing tank from the oxygen introduction line 32. The concentration of oxygen to be mixed is adjusted by the opening time of the oxygen introduction valve 32A. Nitrogen and oxygen mixed in the mixing tank 30A are supplied from the mixed gas supply line 33 to the remote plasma source 26 by opening the mixed gas supply valve 33A.

리모트 플라즈마원(26)에 공급되는 혼합 가스중의 질소에 대한 산소의 농도를 조정하는 것에 의해, 형성되는 질소 래디컬과 산소 래디컬의 비를 조정할 수 있다. 따라서, 처리용기(21)내에 있어서 원하는 질소농도로 매우 얇은 전형적으로는 1∼3원자층의 산질화막을 형성하는 것이 가능해진다. By adjusting the concentration of oxygen to nitrogen in the mixed gas supplied to the remote plasma source 26, the ratio of the nitrogen radicals formed to the oxygen radicals can be adjusted. Therefore, it becomes possible to form an oxynitride film of typically 1-3 atomic layers which is very thin in the processing vessel 21 with a desired nitrogen concentration.

또한, 산화막을 형성한 후에 표면을 질화하고 산질화막을 형성하는 경우와 비교하면, 산질화막을 하나의 연속하는 공정에서 형성하는 것이 가능하여 공정수를 저감할 수 있다. 결과적으로, 생산성을 향상시킬 수 있다. In addition, compared with the case where the surface is nitrided after forming the oxide film and the oxynitride film is formed, the oxynitride film can be formed in one continuous process, and the number of steps can be reduced. As a result, productivity can be improved.

다음에, 형성되는 산질화막의 질소농도를 제어하는 방법을 구체적으로 설명한다. Next, the method of controlling the nitrogen concentration of the oxynitride film formed is demonstrated concretely.

도 11은 시간경과를 횡축에 취하고, 상기 질소 가스 공급밸브(31A)와 산소 가스 공급밸브(32A)의 개폐 타이밍의 제 1 예를 나타내는 타이밍도이다. 질소 가스 공급밸브(31A)는 질소와 산소의 혼합 가스를 공급하는 동안에는 개방해 둔다. 산소공급밸브(32A)는 단시간 개방하여 닫고, 일정시간 경과후 다시 단시간 개방하고 닫는다고 하는 동작을 반복하며, 질소중에 소정량의 산소를 혼입하여 원하는 산소농도로 조정한다. 이 때의 산소공급밸브(32A)의 개방 시간을 t1, 산소공급밸브(32A)가 개방하고 나서 다시 개방될 때까지의 시간을 S1이라고 하면, t1과 S1의 값을 조정하는 것에 의해, 질소에 혼합되는 산소농도를 조정할 수 있다. 그 결과, 리모트 플라즈원(26)에 있어서 생성되는 질소 래디컬과 산소 래디컬의 비를 조정할 수 있어 피처리 기판 W에 형성되는 산질화막의 질소농도를 원하는 값으로 조정하는 것이 가능해진다. FIG. 11 is a timing diagram showing a first example of opening and closing timing of the nitrogen gas supply valve 31A and the oxygen gas supply valve 32A, taking a time passage on the horizontal axis. The nitrogen gas supply valve 31A is opened while supplying a mixed gas of nitrogen and oxygen. The oxygen supply valve 32A opens and closes for a short time, repeats the operation of opening and closing again for a short time after elapse of a predetermined time, and mixes a predetermined amount of oxygen in nitrogen to adjust to a desired oxygen concentration. If the opening time of the oxygen supply valve 32A at this time is t1 and the time from the opening of the oxygen supply valve 32A to being opened again is S1, by adjusting the values of t1 and S1 to nitrogen, The oxygen concentration to be mixed can be adjusted. As a result, the ratio of nitrogen radicals and oxygen radicals generated in the remote plasma source 26 can be adjusted, so that the nitrogen concentration of the oxynitride film formed on the substrate W to be processed can be adjusted to a desired value.

이 경우, 질화에 비해 산화의 반응속도가 빠르기 때문에, 질소에 첨가하는 산소농도가 10ppm∼600ppm 정도에서 산질화막의 질소농도를 약 10∼40%로 제어할 수 있다. In this case, since the reaction rate of oxidation is faster than that of nitriding, the nitrogen concentration of the oxynitride film can be controlled to about 10 to 40% at an oxygen concentration added to nitrogen of about 10 ppm to 600 ppm.

산질화막의 형성 조건은 예를 들면, 처리용기(21)의 압력을 6.65Pa∼1.33kPa(0.05∼10Torr), Ar 가스유량 0.7∼2slm, 질소유량0.05∼0.9slm, 산소유량0∼0.1slm, 질소와 산소의 혼합기중의 산소농도 10ppm∼600ppm, 또는 질소와 Ar 과 산소의 혼합기중의 산소농도 10∼300ppm, 피처리 기판의 온도 400∼700℃로하면, 형성되는 산질화막중의 질소농도는 10∼40% 정도로 된다. The conditions for forming the oxynitride film include, for example, a pressure of the processing vessel 21 at 6.65 Pa to 1.33 kPa (0.05 to 10 Torr), Ar gas flow rate 0.7 to 2 slm, nitrogen flow rate 0.05 to 0.9 slm, oxygen flow rate 0 to 0.1 slm, When the oxygen concentration in the nitrogen-oxygen mixer is 10 ppm to 600 ppm, or the oxygen concentration in the nitrogen-Ar and oxygen mixer is 10-300 ppm, and the temperature of the substrate to be treated is 400-700 ° C., the nitrogen concentration in the oxynitride film formed is It is about 10 to 40%.

다음에, 도 12에, 질소와 산소의 혼합비 제어의 제 2 예를 도시한다. 도 12도 질소공급밸브(31A)와 산소공급밸브(32A)의 시간경과를 횡축에 취한 타이밍도로서 도시되어 있다. Next, FIG. 12 shows a second example of mixing ratio control of nitrogen and oxygen. FIG. 12 is also shown as a timing chart in which the time course of the nitrogen supply valve 31A and the oxygen supply valve 32A is taken along the horizontal axis.

도 12의 예에서는 도 11의 제 1 예에 비해, S1(산소공급밸브(32A)가 개방하고 나서 다시 개방할 때까지의 시간)은 동일하지만, 산소공급밸브(32A)가 개방되어 있는 시간 t2가, 제 1 예에 있어서의 시간 t1에 비해 짧아져 있다. 이 때문에 혼합되는 산소의 양이 감소한다. 그 결과, 리모트 플라즈마원(26)에 있어서 생성되는 산소 래디컬의 양이 감소하여 피처리 기판 W 상에 산질화막을 형성할 때의 산화반응이 억제된다. 환언하면, 산질화막형성의 공정에서 제 1 예에 비해 질화가 진행한 상태로 되어 형성되는 산질화막중의 질소농도를 증가시킬 수 있다. In the example of FIG. 12, compared to the first example of FIG. 11, S1 (the time from when the oxygen supply valve 32A opens to again to open) is the same, but the time t2 when the oxygen supply valve 32A is opened. Is shorter than the time t1 in the first example. This reduces the amount of oxygen mixed. As a result, the amount of oxygen radicals generated in the remote plasma source 26 is reduced to suppress the oxidation reaction when the oxynitride film is formed on the substrate W to be processed. In other words, in the oxynitride film formation process, the nitrogen concentration in the oxynitride film formed in the state where nitriding has advanced compared with the first example can be increased.

다음에, 도 13에, 질소와 산소의 혼합비 제어의 제 3 예를 질소공급밸브(31A)와 산소공급밸브(32A)의 시간경과를 횡축에 취한 타이밍도로서 도시한다. 도 13의 예에서는 도 11에 도시한 제 1 예에 비해, 산소공급밸브(32A)의 개방 시간 t1은 동일하지만, 산소공급밸브(32A)가 개방되고 나서 다시 개방될 때까지의 시간 S2가, 제 1 예에 있어서의 S1에 비해 길게 되어 있다. 이 때문에 혼합되는 산소의 양이 감소한다. 그 결과, 리모트 플라즈마원(26)에 있어서 생성되는 산소 래디컬의 양이 감소하여 피처리 기판 W 상에 산질화막을 형성할 때의 산화반응이 억제된다. 환언하면, 산질화막형성의 공정에서 제 1 예에 비해 질화가 진행한 상태로 되어 형성되는 산질화막중의 질소농도를 증가시키는 것이 가능해진다. Next, in FIG. 13, the 3rd example of the mixing ratio control of nitrogen and oxygen is shown as the timing chart which taken the time-lapse of the nitrogen supply valve 31A and the oxygen supply valve 32A along the horizontal axis. In the example of FIG. 13, the opening time t1 of the oxygen supply valve 32A is the same as in the first example shown in FIG. 11, but the time S2 from the opening of the oxygen supply valve 32A to the opening again is It is longer than S1 in the first example. This reduces the amount of oxygen mixed. As a result, the amount of oxygen radicals generated in the remote plasma source 26 is reduced to suppress the oxidation reaction when the oxynitride film is formed on the substrate W to be processed. In other words, it becomes possible to increase the nitrogen concentration in the oxynitride film | membrane formed in the state which nitriding advanced compared with the 1st example in the process of forming an oxynitride film | membrane.

다음에, 도 14에, 질소와 산소의 혼합비 제어의 제 4 예를 질소공급밸브(31A)와 산소공급밸브(32A)의 시간경과를 횡축에 취한 타이밍도로서 도시한다. 도 14의 예에서는 동일한 산질화공정내에서 도 11에 도시한 제 1 예와 도 12에 도시한 제 2 예를 조합시킨 것이다. Next, in FIG. 14, the 4th example of the mixing ratio control of nitrogen and oxygen is shown as the timing chart which taken the time-lapse of the nitrogen supply valve 31A and the oxygen supply valve 32A along the horizontal axis. In the example of FIG. 14, the first example shown in FIG. 11 and the second example shown in FIG. 12 are combined in the same oxynitride process.

공급개시 직후에 계속되는 기간 A는 산질화공정의 전반부분이고, 제 1 예와 마찬가지로, 산소밸브 개방 시간과 산소 공급의 주기는 각각 t1, S1으로 설정되어 있다. 그 후, 산질화공정의 후반인 기간 B에서는 산소 공급 주기를 S1으로 유지한 상태에서 산소밸브 개방 시간을 t1에서 t2로 변경한다(t1>t2). 산질화공정의 후반에서 산소공급밸브(32A)의 개방 시간을 짧게 하는 것에 의해서, 산소의 혼합량이 감소한다. 따라서, 산질화막 형성의 공정에서 전반(기간 A)에 비해 질화가 진행한 상태로 되어 형성되는 산질화막중의 질소농도를 산질화막 형성공정의 후반에서 증가시킬 수 있다. 즉, 산질화막의 막두께 방향으로 질소농도가 높아지도록 조정할 수 있다.The period A which continues immediately after the start of supply is the first part of the oxynitride process. As in the first example, the oxygen valve opening time and the cycle of oxygen supply are set to t1 and S1, respectively. Thereafter, in the period B, which is the second half of the oxynitride process, the oxygen valve opening time is changed from t1 to t2 while the oxygen supply cycle is maintained at S1 (t1> t2). By shortening the opening time of the oxygen supply valve 32A in the second half of the oxynitride process, the amount of oxygen mixed decreases. Therefore, the nitrogen concentration in the oxynitride film formed in the state where nitriding has advanced compared to the first half (period A) in the step of forming the oxynitride film can be increased later in the oxynitride film forming step. That is, it can adjust so that nitrogen concentration may increase in the film thickness direction of an oxynitride film.

실제의 반도체 장치에 있어서는 디바이스 특성을 고려하면, 실리콘(Si) 기판에 가까운 부분, 즉 산질화공정의 전반에 있어서는 실리콘과 산질화막의 계면이 평탄하게 형성되기 쉽기 때문에 질소농도가 낮은 것이 요구된다. 또한, 산질화막상에 형성되는 고유전체막에 가까운 부분, 즉 산질화공정 후반에 형성되는 부분에 있어서는 금속과 실리콘의 상호확산을 방지하기 위해 질소농도가 높은 쪽이 좋다. 본 실시예에 있어서는 산질화막의 막두께 방향에 있어서 상기한 바와 같은 디바이스 특성의 요구를 만족시키는 질소농도로 조정된 산질화막을 형성하는 것이 가능하다. In the actual semiconductor device, considering the device characteristics, a low nitrogen concentration is required because the interface between the silicon and the oxynitride film is easily formed in the portion close to the silicon (Si) substrate, that is, in the first half of the oxynitride process. Also, in the portion close to the high dielectric film formed on the oxynitride film, i.e., the portion formed later in the oxynitride process, the nitrogen concentration is higher to prevent mutual diffusion of metal and silicon. In this embodiment, it is possible to form an oxynitride film adjusted to nitrogen concentration that satisfies the requirements of the device characteristics as described above in the film thickness direction of the oxynitride film.

다음에, 도 15에, 질소와 산소의 혼합비 제어의 제 5 예를 질소공급밸브(31A)와 산소공급밸브(32A)의 시간경과를 횡축에 취한 타이밍도로서 도시한다. 도 15의 예에서는 동일한 산질화공정내에서 도 11에 도시한 제 1 예와 도 13에 도시한 제 3 예를 조합시킨 것이다. Next, in FIG. 15, the 5th example of the mixing ratio control of nitrogen and oxygen is shown as the timing chart which taken the time-lapse of the nitrogen supply valve 31A and the oxygen supply valve 32A along the horizontal axis. In the example of FIG. 15, the first example shown in FIG. 11 and the third example shown in FIG. 13 are combined in the same oxynitride process.

공급개시 직후부터 기간A로 나타내어지는 산질화공정의 전반 부분에서는 산소밸브 개방 시간과 산소공급주기 S1이, 제 1 예와 마찬가지로 각각 t1, S1로 설정되어 있다. 기간 B로 나타내어지는 산질화공정의 후반에 있어서, 산소밸브 개방 시간은 t1으로 유지한 채로, 산소공급주기 S1을 S2로 변경하고 있다 (S1<S2). 따라서, 질소 공급에 대한 산소의 혼합량이 감소하여 산질화막 형성의 후반에서 전반보다 질화가 진행한 상태로 되어, 산질화막중의 질소농도를 막두께 방향으로 증가시키는 구성이 가능해진다.In the first half of the oxynitridation step indicated by the period A immediately after the start of supply, the oxygen valve opening time and the oxygen supply period S1 are set to t1 and S1 as in the first example, respectively. In the second half of the oxynitride process represented by the period B, the oxygen supply cycle S1 is changed to S2 while the oxygen valve opening time is kept at t1 (S1 <S2). Therefore, the amount of oxygen mixed with the nitrogen supply decreases, so that nitriding proceeds more than the first half of the oxynitride film formation, and the structure in which the nitrogen concentration in the oxynitride film is increased in the film thickness direction becomes possible.

이와 같이, 산소 공급밸브(32A)의 개방 시간 t와 산소공급주기(산소공급밸브(32A)의 개방주기) S의 적어도 한쪽을 조정하는 것에 의해, 산질화막의 막두께 방향으로 질소농도를 적절히 제어하면서, 단일 공정으로 매우 얇은 베이스 산화막과 산질화막을 형성하는 것이 가능해진다. Thus, by adjusting at least one of the opening time t of the oxygen supply valve 32A and the oxygen supply cycle (opening period of the oxygen supply valve 32A) S, the nitrogen concentration is appropriately controlled in the film thickness direction of the oxynitride film. At the same time, it becomes possible to form a very thin base oxide film and an oxynitride film in a single process.

또한, 질소 래디컬중에 산소 래디컬을 첨가하는 방법으로서는 질소 가스에 산소 가스를 첨가하는 방법에 한정되는 것이 아니라, 질소와 산소를 포함하는 임의의 가스의 조합에 있어서 가능하다. 예를 들면, 질소 가스에 NO 가스를 첨가하거나 또는 NO 가스에 산소를 첨가하는 등의 방법이 가능하다. In addition, the method of adding oxygen radical in nitrogen radical is not limited to the method of adding oxygen gas to nitrogen gas, It is possible in the combination of arbitrary gases containing nitrogen and oxygen. For example, a method such as adding NO gas to nitrogen gas or oxygen to NO gas is possible.

본 발명에 의해, 실리콘 기판 표면에 매우 얇은 전형적으로는 1∼3원자층의 산질화막을 단일 공정으로 형성하는 것이 가능하게 되었다. The present invention makes it possible to form an oxynitride film of a very thin, typically 1-3 atomic layer, on a silicon substrate surface in a single step.

또한, 상기 산질화막을, 형성되는 깊이 방향에 있어서 원하는 질소농도로 제어하는 것이 가능하게 되었다. Moreover, it became possible to control the said oxynitride film to desired nitrogen concentration in the depth direction formed.

이상, 본 발명을 바람직한 실시예에 대해 설명했지만, 본 발명은 상기의 특정한 실시예에 한정되는 것은 아니고, 특허청구범위에 기재한 요지내에 있어서 여러가지로 변형·변경이 가능하다. As mentioned above, although this invention was demonstrated about the preferable Example, this invention is not limited to said specific Example, A various deformation | transformation and a change are possible within the summary described in a claim.

Claims (20)

고주파 플라즈마에 의해 질소 래디컬 및 산소 래디컬을 형성하는 공정과, Forming a nitrogen radical and an oxygen radical by a high frequency plasma, 상기 질소 래디컬과 상기 산소 래디컬을 피처리 기판 표면으로 공급하여 상기 피처리 기판 표면에 절연막을 형성하는 공정을 포함하는 것을 특징으로 하는 기판상으로의 절연막의 형성 방법. And supplying the nitrogen radicals and the oxygen radicals to the surface of the substrate to be formed, thereby forming an insulating film on the surface of the substrate. 질소 가스 또는 질소 화합물 가스와, 산소 가스 또는 산소화합물 가스를 혼합하여 혼합 가스를 생성하는 공정과, Mixing nitrogen gas or nitrogen compound gas with oxygen gas or oxygen compound gas to generate a mixed gas; 상기 혼합 가스를 고주파 플라즈마에 의해 여기하여 질소 래디컬 및 산소 래디컬을 형성하는 공정과, Exciting the mixed gas with a high frequency plasma to form nitrogen radicals and oxygen radicals; 상기 질소 래디컬 및 산소 래디컬을 실리콘을 포함하는 피처리 기판 표면으로 공급하는 공정과, Supplying the nitrogen radicals and oxygen radicals to the surface of the substrate to be treated containing silicon; 상기 질소 래디컬 및 산소 래디컬에 의해 상기 피처리 기판 표면에 질소를 포함하는 절연막을 형성하는 공정을 포함하는 것을 특징으로 하는 기판상으로의 절연막의 형성 방법. And forming an insulating film containing nitrogen on the surface of the substrate by the nitrogen radicals and oxygen radicals. 제2항에 있어서,The method of claim 2, 상기 피처리 기판은 실리콘 기판이고, 상기 질소를 포함하는 절연막은 산질화막인 것을 특징으로 하는 기판상으로의 절연막의 형성 방법. The substrate to be processed is a silicon substrate, and the insulating film containing nitrogen is an oxynitride film. 제2항에 있어서,The method of claim 2, 상기 혼합 가스의 생성공정은 상기 혼합 가스중에 포함되는 상기 질소 가스 또는 질소화합물 가스에 대한 상기 산소 가스 또는 산소화합물 가스의 비율을 시간과 함께 변화시키는 공정을 포함하는 것을 특징으로 하는 기판상으로의 절연막의 형성 방법. The step of generating the mixed gas includes a step of changing the ratio of the oxygen gas or the oxygen compound gas to the nitrogen gas or the nitrogen compound gas contained in the mixed gas with time. Method of formation. 제2항에 있어서,The method of claim 2, 상기 피처리 기판 표면으로의 래디컬의 공급공정은 상기 질소 래디컬과 산소 래디컬을 피처리 기판의 표면을 따라 흐르도록 형성된 가스의 흐름에 실어 상기 피처리 기판상으로 공급하는 것을 특징으로 하는 기판상으로의 절연막의 형성 방법. The supplying of radicals to the surface of the substrate can be performed by supplying the nitrogen radicals and oxygen radicals onto the substrate to be treated in a flow of gas formed to flow along the surface of the substrate. Method of forming an insulating film. 제5항에 있어서, The method of claim 5, 상기 가스의 흐름은 상기 피처리 기판의 제 1 측으로부터 직경 방향에서 대향하는 제 2 측으로 흐르도록 형성되는 것을 특징으로 기판상으로의 절연막의 형성 방법. And the gas flows so as to flow from the first side of the substrate to the second side opposite in the radial direction. 제2항에 있어서, The method of claim 2, 상기 고주파 플라즈마는 질소 가스 및 산소 가스를 400㎑∼500㎑의 주파수로 여기하는 것에 의해 형성되는 것을 특징으로 하는 기판상으로의 절연막의 형성 방법. The high frequency plasma is formed by exciting nitrogen gas and oxygen gas at a frequency of 400 Hz to 500 Hz. 고주파 플라즈마에 의해 질소 래디컬 및 산소 래디컬을 형성하는 공정과, Forming a nitrogen radical and an oxygen radical by a high frequency plasma, 상기 질소 래디컬과 산소 래디컬을 반도체 기판 표면에 공급하여 기판 표면을 처리하는 공정과, Supplying the nitrogen radicals and oxygen radicals to the surface of the semiconductor substrate to treat the substrate surface; 상기 표면 처리된 기판상에 활성 소자를 형성하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법. And a step of forming an active element on the surface-treated substrate. 질소 가스 또는 질소화합물 가스와 산소 가스 또는 산소화합물 가스를 혼합하여 혼합 가스를 형성하는 공정과, Mixing nitrogen gas or nitrogen compound gas and oxygen gas or oxygen compound gas to form a mixed gas, 상기 혼합 가스를 고주파 플라즈마에 의해 여기하여 질소 래디컬 및 산소 래디컬을 형성하는 공정과, Exciting the mixed gas with a high frequency plasma to form nitrogen radicals and oxygen radicals; 상기 질소 래디컬 및 상기 산소 래디컬을 실리콘을 포함하는 피처리 기판 표면으로 공급하는 공정과, Supplying the nitrogen radicals and the oxygen radicals to a surface of a substrate to be treated containing silicon; 상기 질소 래디컬 및 상기 산소 래디컬에 의해 상기 피처리 기판 표면에 질소를 포함하는 절연막을 형성하는 공정과, Forming an insulating film containing nitrogen on the surface of the substrate to be treated by the nitrogen radicals and the oxygen radicals; 상기 절연막을 갖는 피처리 기판상에 반도체 소자를 형성하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법. And forming a semiconductor element on the substrate to be processed having the insulating film. 제9항에 있어서,The method of claim 9, 상기 피처리 기판은 실리콘 기판이고, 상기 질소를 포함하는 절연막은 산질화막인 것을 특징으로 반도체 장치의 제조 방법. The substrate to be processed is a silicon substrate, and the insulating film containing nitrogen is an oxynitride film. 제9항에 있어서,The method of claim 9, 상기 혼합 가스의 생성공정은 상기 혼합 가스중에 포함되는 상기 질소 가스 또는 질소화합물 가스에 대한 상기 산소 가스 또는 산소화합물 가스의 비율을 시간과 함께 변화시키는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법. The producing step of the mixed gas includes a step of changing the ratio of the oxygen gas or the oxygen compound gas to the nitrogen gas or the nitrogen compound gas contained in the mixed gas with time. . 제9항에 있어서,. The method of claim 9, wherein: 상기 피처리 기판 표면으로의 래디컬의 공급공정은 상기 질소 래디컬과 산소 래디컬을 피처리 기판의 표면을 따라 흐르도록 형성된 가스의 흐름에 실어 상기 피처리 기판상으로 공급하는 것을 특징으로 하는 반도체 장치의 제조 방법. In the supplying of radicals to the surface of the substrate to be processed, a semiconductor device is fabricated by supplying the nitrogen radicals and oxygen radicals onto the substrate to be treated by a flow of gas formed to flow along the surface of the substrate. Way. 제12항에 있어서, The method of claim 12, 상기 가스의 흐름은 상기 피처리 기판의 제 1 측으로부터 직경 방향에서 대향하는 제 2 측으로 흐르도록 형성되는 것을 특징으로 하는 반도체 장치의 제조 방법. The gas flow is formed so as to flow from the first side of the substrate to be processed to the second side opposite in the radial direction. 제9항에 있어서, The method of claim 9, 상기 고주파 플라즈마는 질소 가스 및 산소 가스를 400㎑∼500㎑의 주파수로 여기하는 것에 의해 형성되는 것을 특징으로 하는 반도체 장치의 제조 방법. The high frequency plasma is formed by exciting nitrogen gas and oxygen gas at a frequency of 400 Hz to 500 Hz. 제9항에 있어서, The method of claim 9, 상기 절연막상에 고유전체재료의 게이트 절연막을 형성하는 공정을 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법. And forming a gate insulating film of a high dielectric material on said insulating film. 고주파 플라즈마에 의해 질소 래디컬과 산소 래디컬을 형성하는 래디컬 형성부와, A radical forming unit for forming nitrogen radicals and oxygen radicals by high frequency plasma; 피처리 기판을 유지하는 처리용기를 구비하고, A processing container for holding a substrate to be processed, 상기 래디컬 형성부는 형성한 질소 래디컬과 산소 래디컬을 상기 처리용기로 공급하는 공급 포트를 갖고, 상기 처리용기내에 유지되는 피처리 기판 표면으로 상기 질소 래디컬과 상기 산소 래디컬을 공급하는 것에 의해, 상기 피처리 기판 표면에 절연막을 형성하는 것을 특징으로 하는 기판 처리 장치. The radical forming portion has a supply port for supplying the formed nitrogen radicals and oxygen radicals to the processing vessel, and supplying the nitrogen radicals and the oxygen radicals to the surface of the substrate to be held in the processing vessel, thereby processing A substrate processing apparatus comprising forming an insulating film on a substrate surface. 제16항에 있어서,The method of claim 16, 상기 래디컬 형성부에 접속되고, 질소를 포함하는 제 1 원료 가스와 산소를 포함하는 제 2 원료 가스의 혼합비를 제어하여 원하는 혼합비의 혼합 가스를 상기 래디컬 형성부로 공급하는 가스 공급부를 더 구비하고, A gas supply unit connected to the radical forming unit and controlling a mixing ratio of the first source gas containing nitrogen and the second source gas containing oxygen to supply a mixed gas having a desired mixing ratio to the radical forming unit, 상기 가스 공급부에서 상기 제 1 원료 가스와 제 2 원료 가스의 혼합비를 제어하는 것에 의해, 상기 래디컬 형성부에서 생성되어 상기 처리용기로 공급되는 상기 질소 래디컬과 상기 산소 래디컬의 공급비가 제어되는 것을 특징으로 하는 기판 처리 장치. By controlling the mixing ratio of the first source gas and the second source gas in the gas supply unit, the supply ratio of the nitrogen radical and the oxygen radical generated in the radical forming unit and supplied to the processing vessel is controlled. Substrate processing apparatus. 제16항에 있어서,The method of claim 16, 상기 래디컬 형성부는 처리용기의 측면에 위치하고, 상기 피처리 기판의 표면을 따라 흐르는 가스류를 형성하고, 상기 질소 래디컬과 산소 래디컬을 상기 피처리 기판의 표면을 따른 가스류에 실어 상기 피처리 기판의 표면으로 공급하는 것을 특징으로 하는 기판 처리 장치. The radical forming portion is located on the side of the processing container, forms a gas flow flowing along the surface of the substrate, and loads the nitrogen radicals and oxygen radicals into a gas flow along the surface of the substrate to be treated. The substrate processing apparatus characterized by supplying to the surface. 제16항 또는 제18항에 있어서,The method according to claim 16 or 18, 상기 처리용기는 상기 래디컬 형성부의 공급 포트와는 반대측에 상기 질소 래디컬 및 산소 래디컬을 배기하는 배기구를 갖는 것을 특징으로 하는 기판 처리 장치. And said processing container has an exhaust port for exhausting said nitrogen radicals and oxygen radicals on a side opposite to a supply port of said radical forming portion. 제16항에 있어서, The method of claim 16, 상기 래디컬 형성부는 상기 혼합 가스에 400㎑∼500㎑의 주파수를 인가하여 여기하는 주파수 인가 수단을 더 갖는 것을 특징으로 하는 기판 처리 장치. The radical forming unit further has a frequency applying unit for applying and exciting a frequency of 400 kHz to 500 kHz to the mixed gas.
KR1020057003930A 2002-09-19 2003-09-19 Method for forming insulating film on substrate, method for manufacturing semiconductor device and substrate-processing apparatus KR100701714B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002273709A JP3594947B2 (en) 2002-09-19 2002-09-19 Method for forming insulating film, method for manufacturing semiconductor device, substrate processing apparatus
JPJP-P-2002-00273709 2002-09-19

Publications (2)

Publication Number Publication Date
KR20050057255A true KR20050057255A (en) 2005-06-16
KR100701714B1 KR100701714B1 (en) 2007-03-29

Family

ID=32024966

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057003930A KR100701714B1 (en) 2002-09-19 2003-09-19 Method for forming insulating film on substrate, method for manufacturing semiconductor device and substrate-processing apparatus

Country Status (7)

Country Link
US (1) US7378358B2 (en)
JP (1) JP3594947B2 (en)
KR (1) KR100701714B1 (en)
CN (1) CN100359649C (en)
AU (1) AU2003264511A1 (en)
TW (1) TWI292172B (en)
WO (1) WO2004027852A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100740106B1 (en) * 2005-09-12 2007-07-16 삼성에스디아이 주식회사 Battery management system and driving method thereof
KR100740108B1 (en) * 2005-09-12 2007-07-16 삼성에스디아이 주식회사 Battery management system and SOC decision method
KR101160722B1 (en) * 2006-11-30 2012-06-28 도쿄엘렉트론가부시키가이샤 Film formation apparatus for semiconductor process, method for using the same and computer readable medium

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100429753C (en) * 2003-02-06 2008-10-29 东京毅力科创株式会社 Plasma processing method, semiconductor substrate and plasma processing apparatus
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
JP4088275B2 (en) * 2004-07-15 2008-05-21 東京エレクトロン株式会社 Insulating film formation method
US7235502B2 (en) * 2005-03-31 2007-06-26 Freescale Semiconductor, Inc. Transitional dielectric layer to improve reliability and performance of high dielectric constant transistors
US7564114B2 (en) * 2006-12-21 2009-07-21 Qimonda North America Corp. Semiconductor devices and methods of manufacture thereof
FR2940322B1 (en) * 2008-12-19 2011-02-11 Alcatel Lucent PRESSURE DESCENT METHOD IN LOADING AND UNLOADING SAS AND EQUIPMENT THEREFOR
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120037838A (en) * 2010-10-12 2012-04-20 삼성전자주식회사 Transistor and electronic device including the same
US20120241874A1 (en) * 2011-03-25 2012-09-27 Byung-Dong Kim Gate oxide film including a nitride layer deposited thereon and method of forming the gate oxide film
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2016013131A1 (en) 2014-07-25 2016-01-28 東芝三菱電機産業システム株式会社 Radical gas generation system
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR101913985B1 (en) 2014-10-29 2018-10-31 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 Radical gas generation system
JP6339218B2 (en) 2014-10-29 2018-06-06 東芝三菱電機産業システム株式会社 Gas injection device for film forming equipment
US11007497B2 (en) 2014-10-29 2021-05-18 Toshiba Mitsubishi-Electric Industrial Systems Corporation Gas jetting apparatus
JP6086933B2 (en) * 2015-01-06 2017-03-01 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108292603B (en) 2016-01-06 2022-06-28 东芝三菱电机产业系统株式会社 Gas supply device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6725606B2 (en) * 2018-08-30 2020-07-22 株式会社日本アクア Urethane resin composition and method for heat insulation of building
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
JP7437254B2 (en) * 2020-07-14 2024-02-22 エドワーズ株式会社 Vacuum pumps and vacuum pump cleaning systems
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000345349A (en) * 1999-06-04 2000-12-12 Anelva Corp Cvd device
JP2001044419A (en) 1999-07-14 2001-02-16 Texas Instr Inc <Ti> Formation method for gate lamination having high k dielectric
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP3399413B2 (en) 1999-09-13 2003-04-21 日本電気株式会社 Oxynitride film and method for forming the same
KR100803770B1 (en) * 2000-03-07 2008-02-15 에이에스엠 인터내셔널 엔.브이. Graded thin films
US6342437B1 (en) * 2000-06-01 2002-01-29 Micron Technology, Inc. Transistor and method of making the same
JP2002134503A (en) 2000-10-18 2002-05-10 Applied Materials Inc Method for forming film and its apparatus
JP2002170825A (en) * 2000-11-30 2002-06-14 Nec Corp Semiconductor device and mis type semiconductor device, and its manufacturing method
US6436771B1 (en) * 2001-07-12 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of forming a semiconductor device with multiple thickness gate dielectric layers
US20030146310A1 (en) * 2001-08-17 2003-08-07 Jackson David P. Method, process and apparatus for high pressure plasma catalytic treatment of dense fluids

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100740106B1 (en) * 2005-09-12 2007-07-16 삼성에스디아이 주식회사 Battery management system and driving method thereof
KR100740108B1 (en) * 2005-09-12 2007-07-16 삼성에스디아이 주식회사 Battery management system and SOC decision method
KR101160722B1 (en) * 2006-11-30 2012-06-28 도쿄엘렉트론가부시키가이샤 Film formation apparatus for semiconductor process, method for using the same and computer readable medium
US8646407B2 (en) 2006-11-30 2014-02-11 Tokyo Electron Limited Film formation apparatus for semiconductor process and method for using the same

Also Published As

Publication number Publication date
JP3594947B2 (en) 2004-12-02
US20060009044A1 (en) 2006-01-12
TWI292172B (en) 2008-01-01
TW200411720A (en) 2004-07-01
CN100359649C (en) 2008-01-02
WO2004027852A1 (en) 2004-04-01
KR100701714B1 (en) 2007-03-29
AU2003264511A1 (en) 2004-04-08
US7378358B2 (en) 2008-05-27
JP2004111739A (en) 2004-04-08
CN1666324A (en) 2005-09-07

Similar Documents

Publication Publication Date Title
KR100701714B1 (en) Method for forming insulating film on substrate, method for manufacturing semiconductor device and substrate-processing apparatus
US7462571B2 (en) Film formation method and apparatus for semiconductor process for forming a silicon nitride film
KR100502557B1 (en) Method for film formation of gate insulator, apparatus for film formation of gate insulator, and cluster tool
TWI518780B (en) Film formation method and apparatus for semiconductor process
US7867920B2 (en) Method for modifying high-k dielectric thin film and semiconductor device
US10804098B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI383448B (en) Method and apparatus for forming silicon-containing insulating film
EP1333475A1 (en) Method for manufacturing semiconductor device, substrate treater, and substrate treatment system
US20050287775A1 (en) Film formation apparatus and method for semiconductor process
US8394200B2 (en) Vertical plasma processing apparatus for semiconductor process
KR20080029846A (en) Film formation method and apparatus for forming silicon oxide film
US7427572B2 (en) Method and apparatus for forming silicon nitride film
KR20080020964A (en) Oxidation method and apparatus for semiconductor process
KR100722016B1 (en) Substrate treating apparatus and method of substrate treatment
JP2004039990A (en) Oxidation method of workpiece
KR100801770B1 (en) Plasma igniting method and substrate processing method
KR100958265B1 (en) Method of substrate treatment, computer-readable recording medium, substrate treating apparatus and substrate treating system
JP4088275B2 (en) Insulating film formation method
JP2003188172A (en) Method for processing substrate
KR100966388B1 (en) Method for metal silicate film formation and recording medium
JP2007073539A (en) Film deposition method, plasma generating method, and substrate processing apparatus
JP2011066367A (en) Method for treating substrate
JP2006093240A (en) Method of forming film

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130304

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee