KR20050014839A - Enhanced structure and method for buried local interconnects - Google Patents

Enhanced structure and method for buried local interconnects

Info

Publication number
KR20050014839A
KR20050014839A KR10-2004-7019133A KR20047019133A KR20050014839A KR 20050014839 A KR20050014839 A KR 20050014839A KR 20047019133 A KR20047019133 A KR 20047019133A KR 20050014839 A KR20050014839 A KR 20050014839A
Authority
KR
South Korea
Prior art keywords
integrated circuit
contact
single crystal
buried
region
Prior art date
Application number
KR10-2004-7019133A
Other languages
Korean (ko)
Inventor
디바카루니라마찬드라
글루스켄코브올레그
만델만잭에이
라덴스칼제이
웡로버트씨
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20050014839A publication Critical patent/KR20050014839A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78612Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing the kink- or the snapback effect, e.g. discharging the minority carriers of the channel region for preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7839Field effect transistors with field effect produced by an insulated gate with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

기판의 단일 크리스탈 반도체층(12)에 집적 회로의 매립형 상호 접속부(10)를 형성하기 위한 구조 및 방법을 개시한다. 이 매립형 상호 접속부는 증착된 도전체로 구성되고, 단일 크리스탈 반도체층에 형성된 전자 소자(20)의 단일 크리스탈 영역과 접촉하는 하나 이상의 측벽(18)을 갖는다.Disclosed are a structure and method for forming an embedded circuit (10) of an integrated circuit in a single crystal semiconductor layer (12) of a substrate. This buried interconnect consists of deposited conductors and has one or more sidewalls 18 in contact with a single crystal region of the electronic device 20 formed in a single crystal semiconductor layer.

Description

매립형 로컬 상호 접속부의 구조 및 그 형성 방법{ENHANCED STRUCTURE AND METHOD FOR BURIED LOCAL INTERCONNECTS}Structure of buried local interconnects and its formation method {ENHANCED STRUCTURE AND METHOD FOR BURIED LOCAL INTERCONNECTS}

마이크로전자 산업에 있어서, 고밀집도의 고속이면서 소형의 마이크로 회로, 구체적으로 메모리 셀 및 지원 회로에 대한 요구가 계속되고 있다. 최고의 밀집도, 속도 및 원하는 사이즈 요건을 달성하기 위해 상이한 해법들이 구현되고 있다.In the microelectronics industry, there is a continuing need for high-density, high-speed, compact microcircuits, specifically memory cells and support circuits. Different solutions are being implemented to achieve the highest density, speed and desired size requirements.

반도체 공정에 있어서, 고속의 집적 회로에 대한 요구를 충족하기 위하여 SOI(Sililcon-On-Insulator)와 같은 예전의 전문적 기술이 보다 광범위하게 이용되고 있다. SOI 기술에 있어서, 비교적 얇은 반도체 재료층, 대개 실리콘(Si)이 일반적으로 매립형 산화물(BOX)로서 칭해지는 절연 재료층 위에 배치된다. 이 비교적 얇은 반도체 재료층은 일반적으로 SOI 소자 내에 액티브 소자가 형성되는 영역이다.In semiconductor processes, older expertise such as silicon-on-insulator (SOI) is more widely used to meet the demand for high-speed integrated circuits. In SOI technology, a relatively thin layer of semiconductor material, usually silicon (Si), is disposed over a layer of insulating material, commonly referred to as a buried oxide (BOX). This relatively thin semiconductor material layer is generally a region in which an active element is formed in an SOI element.

집적 회로는 반도체 기판 상에 조합 공정으로 함께 제작되는, 저항기, 트랜지스터, 다이오드 및 커패시터와 같은 다양한 전자 반도체 소자로 제조된다. 기판은 반도체 소자의 액티브 또는 동작 가능한 부분을 포함하는 하나 이상의 반도체층 또는 구조를 칭한다. 집적 회로를 제조하는 데 있어서 중요한 면은 상호 접속 구조를 통해 액티브 소자를 전기적으로 상호 접속하는 것이다.Integrated circuits are made of various electronic semiconductor devices such as resistors, transistors, diodes, and capacitors that are fabricated together in a combination process on a semiconductor substrate. A substrate refers to one or more semiconductor layers or structures that include active or operable portions of a semiconductor device. An important aspect of the manufacture of integrated circuits is the electrical interconnection of active elements through interconnect structures.

상호 접속 구조는 전기 접촉이 이루어지는 반도체 소자 사이에 형성된 도전 재료의 영역을 대개 포함한다. 이 상호 접속부는 반도체 소자 간에 전류를 전달하기 위한 도관으로서 기능한다. 당업자들에게 알려져 있는 특정 형태의 상호 접속 구조로는 몇가지 예를 들자면, M0, M1 배선 레벨 로컬 상호 접속부, 매립형 접촉부, 비아(via), 스터드(stud), 표면 스트랩(strap) 및 매립형 스트랩이 있다. 때때로 다이오드가 반도체 소자 사이에서 상호 접속부로서 기능할 수도 있다. 다이오드는 상이한 캐리어 타입의 액티브 영역을 결합으로써 반도체 기판에 형성될 수 있다.The interconnect structure usually includes a region of conductive material formed between semiconductor elements in which electrical contact is made. This interconnect serves as a conduit for transferring current between semiconductor devices. Certain types of interconnect structures known to those skilled in the art include, for example, M0, M1 wiring level local interconnects, buried contacts, vias, studs, surface straps, and buried straps. . Sometimes a diode may function as an interconnect between semiconductor elements. Diodes can be formed in a semiconductor substrate by combining active regions of different carrier types.

자주 이용되는 상호 접속 구조의 일 형태가 매립형 접촉부이다. 이 매립형 접촉은 상호 접속 구조와 액티브 영역 사이를 집적 접촉시키는 폴리실리콘의 영역일 수 있기 때문에, 금속 연결에 대한 필요성이 없어진다. 매립형 접촉부를 형성함에 있어서, 상호 접속 구조가 전기적으로 연결해야 하는 액티브 영역 위에 있는 박막의 게이트 산화물에는 윈도우가 형성된다. 그에 따라, 폴리실리콘은 형성된 개구의 액티브 영역과 직접 접촉하도록 증착되지만, 반도체 기판의 다른 부분에 있는 필드 산화물에 의해 그리고 게이트 산화물에 의해 액티브 영역의 아래에 놓인 실리콘과 분리된다. 옴 접촉이 폴리실리콘과 액티브 영역 사이의 인터페이스에서 그 폴리실리콘에 프리셋된 도펀트의 활성 영역으로의 확산에 의해 형성된다. 액티브 영역으로의 도펀트 확산은 사실상 액티브 영역과 폴리실리콘을 병합시킨다. 절연막층이 증착되어 매립형 접촉부를 덮는다. 매립형 접촉부는 금속층이 그 매립형 접촉부를 형성하는 액티브 영역을 그 매립형 접촉부와의 전기 접속없이 횡단할 수 있기 때문에 그렇게 불려진다.One type of frequently used interconnect structure is a buried contact. This buried contact can be a region of polysilicon that makes integrated contact between the interconnect structure and the active region, eliminating the need for metal connections. In forming the buried contacts, windows are formed in the gate oxide of the thin film over the active region to which the interconnect structure must be electrically connected. Thus, polysilicon is deposited in direct contact with the active region of the formed opening, but is separated from the silicon underlying the active region by the field oxide and by the gate oxide in other portions of the semiconductor substrate. Ohmic contact is formed by diffusion of the dopant preset in the polysilicon into the active region at the interface between the polysilicon and the active region. Dopant diffusion into the active region effectively merges the active region with polysilicon. An insulating film layer is deposited to cover the buried contacts. The buried contact is so called because the metal layer can traverse the active region forming the buried contact without electrical connection with the buried contact.

어떤 경우에는, 포함된 회로의 증가하는 밀집도를 고려하여, 다층의 금속 상호 접속부를 서로의 위에 적층한다. 통상, 각 연속적인 금속층에서는 소자의 밀집도가 감소된다. 밀집도에서의 그러한 계층 구성은 각각 추가적인 상호 접속층과 함께 축적되는 오버레이 에러를 마스크해야 하기 때문이다. 예를 들어, 접촉부가 액티브 영역(AA)과 제2 금속층(M2) 사이에 필요하면, AA와 제2 금속층(M1) 사이에 비아를 형성한 후에 M1와 M2가 상호 접속하도록 제2 비아를 형성해야 한다. M2 접촉부에 대한 AA의 전체 오버레이 허용한계는 AA-M1 및 M1-M2 접촉부의 허용한계의 합이다. 그에 따라, 상호 접촉층을 추가함으로써 회로 밀집도를 증가시키려는 특성은 제한적이다.In some cases, multiple metal interconnects are stacked on top of each other, taking into account the increasing density of the circuitry involved. Typically, the density of the device is reduced in each successive metal layer. This is because such hierarchical configurations in density must mask overlay errors that each accumulate with additional interconnect layers. For example, if a contact is required between the active region AA and the second metal layer M2, a second via is formed so that M1 and M2 are interconnected after forming a via between AA and the second metal layer M1. Should be. The total overlay tolerance of AA for the M2 contact is the sum of the tolerances of the AA-M1 and M1-M2 contacts. Accordingly, the property to increase circuit density by adding mutual contact layers is limited.

많은 경우에, 사이즈, 속도, 및 밀집도 요건을 충족하면서 적절한 제조 허용한계를 제공해야 한다는 것은 어려운 과제이다. 작업 가능한 레벨에서 제조 허용한계를 지키면서 증대된 회로의 밀집도를 고려할 수 있는 새로운 구조가 필요하다.In many cases, it is difficult to provide adequate manufacturing tolerances while meeting size, speed, and density requirements. There is a need for new structures that allow for increased circuit density, while maintaining manufacturing tolerances at workable levels.

본 발명은 반도체 FEOL(Front End Of Line) 공정에 관한 것이며, 보다 구체적으로는 트랜지스터 레벨에서 형성된 매립형 로컬 상호 접속부에 관한 것이다.FIELD OF THE INVENTION The present invention relates to semiconductor front end of line (FEOL) processes, and more particularly to buried local interconnects formed at the transistor level.

도 1, 도 1a, 도 9 및 도 10은 본 발명의 다른 방법의 실시예에 따른 매립형 상호 접속 구조를 도시하는 도면이다.1, 1A, 9 and 10 are diagrams showing a buried interconnect structure according to an embodiment of another method of the present invention.

도 2 내지 도 8은 본 발명의 실시예에 따른 매립형 상호 접속 구조의 제조 단계를 나타내는 도면이다.2 through 8 are diagrams illustrating the manufacturing steps of the buried interconnect structure according to the embodiment of the present invention.

본 발명의 일 양태에 따르면, 기판의 단일 크리스탈 반도체층에 집적 회로의 매립형 상호 접속부를 형성하기 위한 구조 및 방법이 제공된다. 매립형 상호 접속부는 증착된 도전체로 구성되고 단일 크리스탈 반도체층에 형성된 전자 소자의 단일 크리스탈 영역과 접촉하는 하나 이상의 수직 측벽을 갖는다.According to one aspect of the invention, a structure and method are provided for forming an embedded interconnect of an integrated circuit in a single crystal semiconductor layer of a substrate. The buried interconnects consist of deposited conductors and have one or more vertical sidewalls in contact with a single crystal region of an electronic device formed in a single crystal semiconductor layer.

본 발명의 다른 양태에 따르면, 기판 내에 트렌치 분리 영역을 형성하는 단계와, 그 분리 영역과 인접하는 기판의 단일 크리스탈 영역 내에 트렌치를 형성하는 단계 - 여기서, 상기 트렌치는 상기 단일 크리스탈 영역에서 분리된 바닥부와, 상기 트렌치 분리 영역과 인접하는 측벽을 갖는 것임 - 와, 상기 트랜치 내에 도전체를 증착하는 단계 - 상기 도전체는 상기 트렌치의 적어도 하나의 측벽 상에서 단일 크리스탈 영역과 접촉하는 것임 - 와, 위에서부터 상기 증착된 도전체와 접촉하는 단계를 포함하는, 매립형 상호 접속부를 형성하는 방법을 제공한다.According to another aspect of the invention, forming a trench isolation region in a substrate and forming a trench in a single crystal region of the substrate adjacent the isolation region, wherein the trench is a bottom separated in the single crystal region. And a sidewall adjacent the trench isolation region, and depositing a conductor in the trench, wherein the conductor is in contact with a single crystal region on at least one sidewall of the trench. From contacting the deposited conductor.

도 1은 본 발명의 SOI(Silicon-On-Insulator) 실시예에 따른 매립형 상호 접속 구조를 나타내고 있다. 도 1에 도시하는 바와 같이, 매립형 상호 접속부(10)는 지지 기판(16) 위에 매립형 산화물층(BOX)(14)이 배치된 SOI 기판의 단일 크리스탈 반도체층(SOI층)(12)에 형성된다. 매립형 상호 접속부(10)는 SOI층(12)에 형성된, 예컨대 트랜지스터, 다이오드, 커패시터 또는 저항기일 수 있는 전자 소자(20)의 단일 크리스탈 영역(12)과 접촉하는 거의 수직으로 지향된 (이하, "수직적"이라고 표현) 측벽(18)을 갖는다.1 illustrates a buried interconnect structure according to a silicon-on-insulator (SOI) embodiment of the present invention. As shown in FIG. 1, the buried interconnect 10 is formed in a single crystal semiconductor layer (SOI layer) 12 of an SOI substrate with a buried oxide layer (BOX) 14 disposed over a support substrate 16. . The buried interconnect 10 is almost vertically oriented (hereinafter, “contacted”) in contact with the single crystal region 12 of the electronic device 20 formed in the SOI layer 12, which may be, for example, a transistor, diode, capacitor or resistor. Side wall 18).

전자 소자(20)가 절연 게이트 필드 효과 트랜지스터(IGFET)인 경우에, 매립형 상호 접속부(10)의 수직 측벽(18)은 SOI층(12)에 형성된 전자 소자(20)의 본체 또는 확산 영역(예컨대, 소스/드레인 확산 영역)과 직접 접촉할 수 있다. 전자 소자(20)가 다이오드나 공핍형 다이오드인 경우에, 매립형 상호 접속부(10)의 수직 측벽(18)은 그러한 소자의 확산 영역과 접촉할 수 있다.In the case where the electronic device 20 is an insulated gate field effect transistor (IGFET), the vertical sidewall 18 of the buried interconnect 10 may be a body or diffusion region (e.g. , Source / drain diffusion region). If the electronic device 20 is a diode or a depletion diode, the vertical sidewall 18 of the buried interconnect 10 may be in contact with the diffusion region of that device.

매립형 상호 접속부(10)는 기판(16)과 거의 평행한 방향으로 연장하도록(도 1의 지면 안팎 방향으로 연장하도록) 제조된다. 이런 식으로, 매립형 상호 접속부(10)는 수직 측벽이나, 또는 이 접속부가 분리되지 않는 다른 측벽을 통해 다른 전자 소자의 하나 이상의 단일 크리스탈 영역(12)과 접촉할 수 있는 기판의 다른 단일 크리스탈 영역(12) 바로 옆을 통과한다. 지면의 안팎 방향으로 매립형 상호 접속부(10)의 길이의 적어도 부분이 연장하는 분리 영역(28)(예컨대, 트렌치 분리부)은 접촉이 요구되는 곳을 제외하고 다른 전자 소자로부터 측벽(30)을 따라 매립형 상호 접속부(10)를 분리한다. 다른 전자 소자와의 접촉이 요구되는 경우에, 분리 영역(28)이 존재하지 않는 측벽(30)의 부분들을 따라 접촉이 이루어질 수 있다.The buried interconnect 10 is manufactured to extend in a direction substantially parallel to the substrate 16 (extending in and out of the page of FIG. 1). In this way, the buried interconnect 10 may be a vertical sidewall, or another single crystal region of the substrate that may contact one or more single crystal regions 12 of another electronic device through other sidewalls that are not separated. 12) Pass right by. An isolation region 28 (eg, trench isolation), which extends at least a portion of the length of the buried interconnect 10 in and out of the ground, extends along the sidewall 30 from other electronic devices except where contact is required. Isolate the buried interconnect 10. If contact with other electronic devices is desired, contact may be made along portions of the sidewall 30 where there is no isolation region 28.

매립형 상호 접속부(10)는 폴리실리콘, 금속 실리사이드(예, WSix, CoSix, TiSix), 증착된 폴리실리콘에 이어 후속의 금속 증착물과 자체 정렬된 실리사이데이션(silicidation) 또는 심지어 증착된 금속과 같은 증착 도전체로 구성되며, 상기 증착된 금속은 텅스텐(W), 또는 내화성 금속이나 티타늄(Ti), 니오븀(Nb), 지르코늄(Zr), 탄탈늄(Ta), 몰리브뎀(Mo) 또는 그 층인 것이 좋다. 매립형 상호 접속부는증착된 도전체 금속의 질화물 또는 유사한 금속의 질화물, 예컨대 텅스텐 질화물, 또는 티타늄 질화물 또는 탄탈늄 실리콘 질화물(TaSiN)을 포함하는 라이너(liner)(32)와 정렬될 수 있다. 이와 다르게, 특히 증착된 도전체가 폴리실리콘인 경우에, 초박막층(예컨대 7Å 이하)의 실리콘 질화물을 이하에서 충분히 설명하는 바와 같이 이용할 수 있다.The buried interconnects 10 may be polysilicon, metal silicides (e.g., WSi x , CoSi x , TiSi x ), deposited polysilicon followed by silicidation or even deposited metal self-aligned with subsequent metal deposits. And a deposited conductor such as tungsten (W), or a refractory metal or titanium (Ti), niobium (Nb), zirconium (Zr), tantalum (Ta), molybdenum (Mo) or That layer is good. The buried interconnect may be aligned with a liner 32 comprising a nitride of a deposited conductor metal or a nitride of a similar metal, such as tungsten nitride, or titanium nitride or tantalum silicon nitride (TaSiN). Alternatively, particularly in the case where the deposited conductor is polysilicon, the silicon nitride of the ultra thin layer (for example, 7 GPa or less) can be used as will be described fully below.

매립형 상호 접속부(10)는 기판 상에 형성된 도전성 라인(22)에 도전 결합하는 것이 좋고, 도전성 라인(22)은 예컨대 게이트 도전체를 또는 MOS 소자(24)("MOS"인 것, 즉 절연 게이트, 전계 효과 트랜지스터 또는 MOS 커패시터)의 "폴리컨덕터(polyconductor)"를 형성할 수 있는 폴리실리콘 도전체이며, 게이트 도전체는 SOI층(12) 위에 형성된 게이트 유전체(26) 위에 배치된다. 폴리컨덕터(22)가 도 1에서는 게이트 도전체로서 MOS 소자, 예컨대 MOSFET(24)를 다른 전자 소자, 예컨대 다른 MOSFET의 소스/드레인 영역(20)에 연결하는 것으로 도시되어 있다. MOSFET는 다수의 래치, 플립플롭, 드라이버 또는 심지어 스태틱 랜덤 액세스 메모리(SRAM)와 같이, 상호 결합형 COMSFET 쌍을 이용하는 방식으로 연결될 수 있다.The buried interconnect 10 is preferably conductively coupled to a conductive line 22 formed on the substrate, the conductive line 22 being for example a gate conductor or a MOS element 24 (“MOS”, ie an insulated gate). , A polysilicon conductor capable of forming a "polyconductor" of a field effect transistor or MOS capacitor), the gate conductor being disposed over the gate dielectric 26 formed over the SOI layer 12. Polyconductor 22 is shown in FIG. 1 as a gate conductor connecting a MOS device, such as MOSFET 24, to the source / drain region 20 of another electronic device, such as another MOSFET. MOSFETs can be connected in a manner that uses a pair of mutually coupled COMSFETs, such as multiple latches, flip-flops, drivers, or even static random access memory (SRAM).

이와 다르게, 폴리컨덕터(22)는 STI(28)와 산화물(46) 위에서만 매립형 상호 접속부(10)에 대한 단지 인터페이스로서 연장하도록 패터닝될 수 있다. 또한 이와 다르게, 폴리컨덕터(22)는 MOSFET 소자(20)의 게이트 유전체 위에서 연장할 수 있고, 그 매립형 상호 접속부(10)의 본체는 측벽(18)을 통해 도전성으로 접촉한다. 이 경우에, MOSFET(20)의 본체는 게이트 도전체(20)와 같은 전압으로 묶인다. 그러한 게이트와 본체 상호 접속부에 의해 MOSFET(20)은 게이트 도전체 전압이 상승할때 임계 전압이 하강하는 가변적 임계 전압 소자로서 동작하게 된다.Alternatively, the polyconductor 22 can be patterned to extend only as an interface to the buried interconnect 10 over the STI 28 and the oxide 46. Alternatively, the polyconductor 22 may extend over the gate dielectric of the MOSFET device 20, with the body of the buried interconnect 10 electrically contacting through the sidewall 18. In this case, the body of the MOSFET 20 is tied to the same voltage as the gate conductor 20. Such a gate and body interconnect allows the MOSFET 20 to operate as a variable threshold voltage element at which the threshold voltage drops as the gate conductor voltage rises.

도 1a는 본 발명에 따라 매립형 상호 접속부가 형성된 예시적인 반도체 소자층 레이아웃을 나타내는 평면도이다. 그러한 레이아웃에 있어서, 영역(110, 210)은 매립형 상호 접속부를 나타내고, 영역(120, 220)은 기판의 액티브 영역을 나타낸다. 도시된 예에서, n채널 IGFET(NFET)는 액티브 영역(120)에 형성되는 것이 좋고, p채널(PFET)은 액티브 영역(220)에 형성되는 것이 좋다. 폴리컨덕터(122, 222, 322)는 거기에 있는 NFET와 PFET의 게이트 도전체와 같이 액티브 영역(120, 220)의 부분 위에서 횡단하는 것으로 도시하고 있다. 제1 매립형 상호 접속부(110)는 단일 크리스탈 영역[액티브 영역(120)]에서 NFET의 소스/드레인 영역과 접촉하는 하나 이상의 측벽(118, 119)을 갖는다. 매립형 상호 접속부(110)는 또한 단일 크리스탈 영역[액티브 영역(220)]에서 또 다른 소자, 즉 PFET의 소스/드레인 영역과 접촉하는 측벽(218, 219)을 갖는다. 그래서, 이해하는 바와 같이, 단일 매립형 상호 접속부는 복수의 전자 소자(예컨대, NFET와 PFET)의 하나 이상의 단일 크리스탈 영역과 접촉하는 하나 이상의 측벽을 갖는다. 매립형 접촉부(248)가 폴리컨덕터(122)와 매립형 상호 접속부(110) 사이에 형성되어 폴리컨덕터(122)에 대하여 도전성 상호 접속부가 구축된다.1A is a plan view illustrating an exemplary semiconductor device layer layout in which buried interconnects are formed in accordance with the present invention. In such a layout, regions 110 and 210 represent buried interconnects and regions 120 and 220 represent active regions of the substrate. In the example shown, n-channel IGFETs (NFETs) are preferably formed in the active region 120, and p-channel (PFETs) are preferably formed in the active region 220. Polyconductors 122, 222 and 322 are shown traversing over portions of active regions 120 and 220, such as the gate conductors of NFETs and PFETs therein. The first buried interconnect 110 has one or more sidewalls 118, 119 in contact with the source / drain regions of the NFET in a single crystal region (active region 120). The buried interconnect 110 also has sidewalls 218 and 219 in contact with another device in the single crystal region (active region 220), namely the source / drain region of the PFET. Thus, as will be appreciated, a single buried interconnect has one or more sidewalls in contact with one or more single crystal regions of a plurality of electronic devices (eg, NFETs and PFETs). A buried contact 248 is formed between the polyconductor 122 and the buried interconnect 110 to establish a conductive interconnect for the polyconductor 122.

마찬가지로, 제2 매립형 상호 접속부(210)는 단일 크리스탈 영역[액티브 영역(120)]에서 전자 소자, 즉 NFET의 소스/드레인 영역과 접촉하는 하나 이상의 측벽을 갖는다. 매립형 상호 접속부(210) 역시 단일 크리스탈 영역[액티브 영역(220)]에서 또다른 소자, 즉 PFET의 소스/드레인 영역과 접촉하는 측벽(418, 419)을 갖는다. 매립형 접촉부(248)가 폴리컨덕터(122)와 매립형 상호 접속부(210) 사이에 형성되어 폴리컨덕터(122)에 대하여 도전성 상호 접속부가 구축된다.Similarly, the second buried interconnect 210 has one or more sidewalls that contact the electronic device, ie the source / drain regions of the NFET, in a single crystal region (active region 120). The buried interconnect 210 also has sidewalls 418 and 419 in contact with another device in the single crystal region (active region 220), namely the source / drain region of the PFET. A buried contact 248 is formed between the polyconductor 122 and the buried interconnect 210 to form a conductive interconnect for the polyconductor 122.

도 2 내지 도 7은 SOI 공정 실시예에 있어서 도 1에 도시한 매립형 상호 접속부(10)의 제조 단계를 예시하는 도면이다. 도 2에 도시하는 바와 같이, 지지 기판(16) 위에 매립형 산화물층(BOX)(14)이 배치되는 기판의 SOI층(12)에 얕은 트렌치 분리 영역(STI)(28)이 형성된다. STI(28)는 그 각각의 측면 상에 있는 SOI층(12)에 형성된 전자 소자를 분리하기 위하여 BOX층(14)까지 연장된다. 패드 질화물(24)이 STI(28) 이외의 위치에서 SOI층(12)을 덮는다.2-7 illustrate the manufacturing steps of the buried interconnect 10 shown in FIG. 1 in an SOI process embodiment. As shown in FIG. 2, a shallow trench isolation region (STI) 28 is formed in the SOI layer 12 of the substrate on which the buried oxide layer (BOX) 14 is disposed on the support substrate 16. STI 28 extends to BOX layer 14 to separate electronic elements formed in SOI layer 12 on their respective sides. Pad nitride 24 covers SOI layer 12 at a location other than STI 28.

다음에, 도 3에 도시하는 바와 같이, 포토레지스트를 도포해서 패터닝하여 마스크(36)를 형성하고, 적어도 하나의 측면 상의 STI(28)와, 적어도 하나의 다른 측면 상의 SOI층(12)과 인접하는 개구(35)를 지향적인 반응성 이온 에칭법(RIE: Reactive Ion Etch)을 이용하여 에칭하는 것이 좋다. 이 에칭은 타임드(timed) 방식으로 이루어질 수 있거나, 지지 기판(16)에 이를 때에 멈추는 것이 좋다. 그리고 마스크(36)를 제거한다. SOI(12)의 노출 측벽(13)은 타임드 방식의 측벽 산화와 연이은 산화물 제거 등을 이용하여 단일 크리스탈 SOI층에 대한 표면 손상을 제거하기 위하여, 이 시점에서 패시베이션될 수 있다.Next, as shown in FIG. 3, a photoresist is applied and patterned to form a mask 36, adjacent to the STI 28 on at least one side and the SOI layer 12 on at least one other side. The opening 35 may be etched using a directed reactive ion etching (RIE) method. This etching may be done in a timed manner, or may be stopped when reaching the support substrate 16. And the mask 36 is removed. The exposed sidewall 13 of the SOI 12 may be passivated at this point to remove surface damage to the single crystal SOI layer using timed sidewall oxidation, subsequent oxide removal, and the like.

이어서, 도 4에 도시하는 바와 같이, 산화물을 고밀도 플라즈마 증착법으로 증착하여 트렌치의 바닥에 분리층(38)을, 그리고 표면 상의 산화물(40)을 형성한다. 전술한 선택 가능한 패시베이션 공정으로부터 생성된 임의의 산화물을 포함해서, 개구(35)의 측벽(13)에 부착된 산화물을 이 시점에서 (예컨대, 등방성 에칭법을 이용하여) 제거한다. 이어서, 도 5에 도시하는 바와 같이, 라이너(32)를 부착하여 먼저 개구를 라이닝한 후에, 도전체(44)를 증착하여 개구(35)를 충전하는 것이 좋다. 각종 재료가 도전체(44)로서 증착될 수 있으며, 그러한 재료 중에는 폴리실리콘과, 텅스텐(W), 니오븀(Nb), 지르코늄(Zr), 탄탈늄(Ta), 몰리브뎀(Mo)과 실리사이드를 포함하는 금속과, 그러한 금속의 질화물 또는 그 층이 있다. 도전체(44)가 텅스텐과 같은 내화성 금속을 증착함으로써 형성되는 경우에, 라이너(32)는 텅스텐 질화물 또는 티탈늄 질화물과 같은 접착도를 높이는 재료를 증착함으로써 형성되는 것이 좋다.Next, as shown in FIG. 4, the oxide is deposited by high density plasma deposition to form a separation layer 38 at the bottom of the trench and an oxide 40 on the surface. The oxides attached to the sidewalls 13 of the openings 35, including any oxides generated from the selectable passivation process described above, are removed at this point (e.g., using isotropic etching). Subsequently, as shown in FIG. 5, it is preferable to attach the liner 32 to first line the opening, and then deposit the conductor 44 to fill the opening 35. Various materials may be deposited as the conductor 44, including polysilicon, tungsten (W), niobium (Nb), zirconium (Zr), tantalum (Ta), molybdenum (Mo) and silicides. Metal, and nitrides of such metals or layers thereof. In the case where the conductor 44 is formed by depositing a refractory metal such as tungsten, the liner 32 is preferably formed by depositing a material that promotes adhesion such as tungsten nitride or titanium nitride.

폴리실리콘을 증착하여 도전체(44)를 형성하는 경우에는 증착시에 폴리실리콘을 고농도로 도핑하는 것이 좋지만, 이와 다른 방식에서 다음과 같은 증착법으로 도핑할 수도 있다. 도전체(44)를 폴리실리콘으로 구성하는 경우, 접착을 위해 라이너(32)를 사용할 필요가 없다. 그러나, 다른 이유에서, 도전성 재료 또는 심지어 초박막 실리콘 질화물층의 장벽층으로 개구(35)를 라이닝하기 위해 라이너를 사용하는 것이 좋다. 초박막, 예컨대 7Å 이하의 초박막의 실리콘 질화물층은 초박층을 통과하는 양자 터널링 때문에 도전성인 것으로 알려져 있다. 그러한 장벽층은 폴리실리콘에서 인접하는 SOI 영역(12)으로의 도펀트 확산을 지연시키거나 및/또는 도전체(44)와 SOI 영역(12) 간의 인터페이스에서 폴리실리콘의 재결정화를 방해하는 기능을 한다. 재결정화는 SOI 영역(12)에서 잠재적으로 크리스탈 결함을 일으키고, 결국 거기에 형성된 전자 소자의 성능을 약화시킬 수 있기 때문에 피해야 한다.When the conductor 44 is formed by depositing polysilicon, the polysilicon may be heavily doped at the time of deposition. However, the polysilicon may be doped by the following deposition method. When the conductor 44 is made of polysilicon, there is no need to use the liner 32 for adhesion. However, for other reasons, it is preferable to use a liner to line the opening 35 with a barrier layer of a conductive material or even an ultra thin silicon nitride layer. Ultra thin films, such as silicon nitride layers of up to 7 microseconds, are known to be conductive because of quantum tunneling through the ultra thin layers. Such barrier layers function to delay dopant diffusion from polysilicon to adjacent SOI regions 12 and / or to prevent recrystallization of polysilicon at the interface between conductor 44 and SOI regions 12. . Recrystallization should be avoided because it potentially causes crystal defects in the SOI region 12, which in turn can undermine the performance of the electronic devices formed therein.

도전체(44)를 증착한 후에, 기판의 상면에서 증착된 도전체와 증착된 산화물을 제거하기 위하여, 기판은 질화물에 대하여 선택적인 화화적 기계 연마법(CMP)과 같은 공정을 통해 패드 질화물(34)의 레벨로 평탄화되어, 도 5에 도시하는 구조가 된다. 도전체(44)와 라이너(32)에는 이어서 산화물과 질화물에 대해 선택적인 반응성 이온 에칭법과 같은 지향성 에칭으로 오목부가 형성되어, 도 6에 도시하는 구조가 된다.After depositing conductor 44, the substrate may be subjected to a process such as pad nitride (CMP) selective to nitride to remove deposited conductors and deposited oxides from the top surface of the substrate. It is flattened to the level of 34), and the structure shown in FIG. The conductor 44 and the liner 32 are then formed with recesses by a directional etching such as a reactive ion etching method selective for oxides and nitrides, resulting in the structure shown in FIG.

이어서, 도 7에 도시하는 바와 같이, 상면의 산화물층(46)이 도전체(44) 위에 형성된다. 이것은 고밀도 플라즈마 공정으로 산화물을 증착한 후, (질화물에 대해 선택적인 CMP 공정을 이용하여) 패드 질화물(34)의 레벨로 산화물(46)을 평탄화하고, 그 다음 SOI 영역(12)으로부터 남아있는 패드 질화물(34)을 제거함으로써 수행되는 것이 좋다.Subsequently, as shown in FIG. 7, the oxide layer 46 on the upper surface is formed on the conductor 44. This deposits the oxide in a high density plasma process, then planarizes the oxide 46 to the level of the pad nitride 34 (using a CMP process selective to nitride), and then the remaining pads from the SOI region 12. It is preferably done by removing the nitride 34.

이제, 도 1에 도시하는 완성된 구조를 다시 참조하여, 폴리컨덕터(22)로부터 매립형 접촉부(48)를 형성하기 위한 추가 공정을 설명한다. 폴리컨덕터(22)는 SOI 영역(12)에 배치된 하나 이상의 전자 소자의 게이트 도전체일 수 있지만, 반드시 그럴 필요는 없다. 이 공정은 소자(24)에 임의의 필요한 이온 주입을 수행하고 선택적으로 소자(20)에도 이루어진 다음, 산화법과 증착법 중 어느 한 방법으로 게이트 절연체(26)를 형성한 후에 수행되는 것이 좋다. 그 후, 증착된 상면 산화물(46)에 접촉 개구를 에칭하기 위한 윈도우를 형성하기 위해 포토레지스트를 도포하여 패터닝한다. 그런 다음, 포토레지스트를 박리하고, 고농도로 도핑된 폴리실리콘을 증착하고 도시한 폴리실리콘(22)과 매립형 접촉부를 형성하도록 패터닝한다.Now, referring back to the completed structure shown in FIG. 1, a further process for forming the buried contact portion 48 from the polyconductor 22 will be described. Polyconductor 22 may be, but need not necessarily, a gate conductor of one or more electronic devices disposed in SOI region 12. This process is preferably performed after performing any necessary ion implantation into the device 24 and optionally for the device 20, and then forming the gate insulator 26 by either the oxidation method or the deposition method. The photoresist is then applied and patterned to form a window for etching the contact openings in the deposited top oxide 46. The photoresist is then peeled off, and heavily doped polysilicon is deposited and patterned to form a buried contact with the polysilicon 22 shown.

도 8과 도 9는 매립형 상호 접속부(10)를 완성하는 다른 방식의 공정의 단계를 도시하고 있다. 도 9는 폴리컨덕터(22)와 접촉 관계에 있는 제2 도전체(52)로부터의 매립형 접촉부(50)를 매립형 상호 접속부(10)에 형성하는 다른 방식의 공정으로 이루어진 완성 구조를 나타내고 있다. 도 9에 도시하는 구조는 매립형 상호 접속부(10)가 SOI층(12)에 형성된 전자 소자(20A)의 본체와 접촉하는 측벽을 갖는다는 점에서 도 1의 구조와 다르다. 접촉이 게이트 절연체(26)와 폴리컨덕터(22) 아래에서 이루어지는 SOI층(12)이 거기에서 게이트 도전체로서 이용되기 때문이다. 매립형 상호 접속부(10)에 의한 전자 소자(20A)의 본체와의 접촉은 가능한 일 실시예일 뿐이며, 폴리컨덕터(22)와 접촉하는 제2 도전체(52)의 이용에 초점을 맞춘 이 방식의 공정에서는 필요없다. 제2 도전체(52)는 고농도로 도핑된 폴리실리콘, 금속 실리사이드 또는 금속 그 자체 등의 임의의 적절한 재료로 구성될 수 있다.8 and 9 illustrate the steps of an alternative process of completing the buried interconnect 10. FIG. 9 shows a complete structure consisting of another process of forming a buried contact 50 in the buried interconnect 10 from the second conductor 52 in contact with the polyconductor 22. The structure shown in FIG. 9 differs from the structure of FIG. 1 in that the buried interconnect 10 has sidewalls that contact the body of the electronic element 20A formed in the SOI layer 12. This is because the SOI layer 12 in which contact is made under the gate insulator 26 and the polyconductor 22 is used as the gate conductor there. The contact of the electronic element 20A by the buried interconnect 10 with the main body is only one possible embodiment, and this process focuses on the use of the second conductor 52 in contact with the polyconductor 22. It is not necessary. The second conductor 52 may be composed of any suitable material, such as heavily doped polysilicon, metal silicide, or the metal itself.

상기 다른 방식의 공정에서도 공정은 도 2 내지 도 7을 참조하여 전술한 방식으로, 게이트 절연체의 형성을 통해 진행된다. 그리고, 도 8에 도시하는 바와 같이, 폴리컨덕터(22)이 증착된다. 이것은 폴리컨덕터층(22)을 산화물층(46)을 통과하는 개구를 에칭하기 전에 게이트 절연체(26) 위에 증착하여 매립형 접촉부(48)를 형성한다는 점에서 도 1에 관하여 전술한 것과 다르다. 이러한 공정 순서는 접촉 개구를 패터닝하는 데 이용되는 포토레지스트와 게이트 유전체(26) 간의 가능한 상호작용을 막기 위해 바람직하다.In the other process, the process proceeds through the formation of the gate insulator in the manner described above with reference to FIGS. 2 to 7. Then, as shown in FIG. 8, the polyconductor 22 is deposited. This differs from that described above with respect to FIG. 1 in that the polyconductor layer 22 is deposited over the gate insulator 26 to form a buried contact 48 prior to etching the opening through the oxide layer 46. This process sequence is desirable to prevent possible interactions between the gate resist 26 and the photoresist used to pattern the contact openings.

다시 한 번 도 9를 참조하면, 포토레지스트가 도포되고, 접촉 개구를 형성하기 위해 에칭되는 위치를 폴리컨덕터층(22)에 정의하도록 패터닝된다. 제2 도전체층(52)이 폴리컨덕터층(22) 위에 그리고, 접촉 개구 안에 증착되어 매립형 접촉부(50)를 형성한다. 이어서 포토레지스트가 도포되어 패터닝되고, 제2 도전체(52)와 폴리컨덕터층(22)이 지향적인 반응성 이온 에칭법과 같은 하나의 조합 방식의 에칭법으로 함께 에칭되어 제2 도전체(52)와 폴리컨덕터(22)가 형성된다.Referring again to FIG. 9, a photoresist is applied and patterned to define the polyconductor layer 22 where it is etched to form contact openings. A second conductor layer 52 is deposited over the polyconductor layer 22 and in the contact openings to form the buried contact 50. The photoresist is then applied and patterned, and the second conductor 52 and the polyconductor layer 22 are etched together in one combination etching method, such as directional reactive ion etching. Polyconductor 22 is formed.

도 10은 SOI 기판과 반대로, 벌크 반도체 기판에 형성되는 경우에, 본 발명의 다른 실시예에 따라 형성된 완성된 매립형 상호 접속 구조(10)를 도시한다. 공정은 이제 설명하는 것을 제외하고는 도 1 내지 도 7 또는 도 2 내지 도 9의 실시예를 참조하여 전술한 것과 동일한 방식으로 진행된다. 도 4를 참조하면, 벌크 기판 실시예에는 매립형 산화물층이 없기 때문에, 소스/드레인 확산 영역(20B)에서 벌크 기판(17)으로의 원하는 않은 누설 전류를 막기 위해서는 산화물(38)은 매립형 상호 접속부(10)가 벌크 기판(17)보다는 전자 소자의 소스/드레인 확산과 같은 소자층(20B)과 접촉하는 방식으로 높은 레벨의 개구(35)에 증착될 필요가 있다.10 illustrates a completed buried interconnect structure 10 formed in accordance with another embodiment of the present invention when formed on a bulk semiconductor substrate as opposed to an SOI substrate. The process proceeds in the same manner as described above with reference to the embodiments of FIGS. 1-7 or 2-9, except as now described. Referring to FIG. 4, since the bulk substrate embodiment has no buried oxide layer, the oxide 38 may be embedded buried in order to prevent unwanted leakage current from the source / drain diffusion region 20B to the bulk substrate 17. 10 needs to be deposited in the high level openings 35 in a manner that contacts the device layer 20B, such as source / drain diffusion of the electronic device, rather than the bulk substrate 17.

이상, 본 발명을 소정의 양호한 실시예를 참조하여 설명하였지만, 당업자라면 하기에 첨부하는 특허청구범위에 의해서만 제한되는 본 발명의 기술적 사상과 범주로부터 벗어나지 않고서 다양한 변형 및 개선이 가능함을 이해할 것이다.While the invention has been described above with reference to certain preferred embodiments, those skilled in the art will recognize that various modifications and improvements can be made without departing from the spirit and scope of the invention, which is limited only by the claims appended hereto.

본 발명은 집적 전자 회로와 그 제작에 이용될 수 있다.The present invention can be used in integrated electronic circuits and their fabrication.

Claims (21)

기판의 단일 크리스탈 반도체층 상에 형성된 매립형 상호 접속부를 포함하는 집적 회로로서,An integrated circuit comprising a buried interconnect formed on a single crystal semiconductor layer of a substrate, 상기 매립형 상호 접속부는 증착된 도전체로 구성되고, 상기 단일 크리스탈 반도체층에 형성된 전자 소자의 단일 크리스탈 영역과 접촉하는 하나 이상의 수직 측벽을 갖는 것인 집적 회로.Wherein the buried interconnect is comprised of deposited conductors and has one or more vertical sidewalls in contact with a single crystal region of an electronic device formed in the single crystal semiconductor layer. 제1항에 있어서, 복수의 전자 소자가 상기 하나 이상의 수직 측벽을 통해 상기 매립형 상호 접속부에 의해 단일 크리스탈 영역에서 접촉되는 것인 집적 회로.The integrated circuit of claim 1 wherein a plurality of electronic devices are contacted in a single crystal region by the buried interconnects through the one or more vertical sidewalls. 제1항에 있어서, 상기 매립형 상호 접속부는 상기 단일 크리스탈 영역과 접촉하는 상기 수직 측벽 이외의 한 측면 상의 분리 영역과 접촉하는 적어도 하나의 측벽을 갖는 것인 집적 회로.The integrated circuit of claim 1 wherein the buried interconnect has at least one sidewall in contact with a separation region on one side other than the vertical sidewall in contact with the single crystal region. 제1항에 있어서, 상기 매립형 상호 접속부에 의해 접촉되는 상기 단일 크리스탈 영역은 적어도 하나의 상기 전자 소자의 적어도 하나의 확산 영역을 포함하는 것인 집적 회로.The integrated circuit of claim 1, wherein the single crystal region contacted by the buried interconnect comprises at least one diffusion region of at least one of the electronic devices. 제4항에 있어서, 상기 전자 소자의 소스/드레인 영역은 상기 확산 영역에 형성되는 것인 집적 회로.The integrated circuit of claim 4, wherein a source / drain region of the electronic device is formed in the diffusion region. 제1항에 있어서, 상기 매립형 상호 접속부에 의해 접촉되는 단일 크리스탈 영역은 적어도 하나의 상기 전자 소자의 본체를 포함하는 것인 집적 회로.The integrated circuit of claim 1, wherein the single crystal region contacted by the buried interconnect comprises at least one body of the electronic device. 제1항에 있어서, 상기 기판 위에 형성된 적어도 하나의 도전성 라인은 상기 매립형 상호 접속부에 도전성으로 결합되는 것인 집적 회로.The integrated circuit of claim 1, wherein at least one conductive line formed over the substrate is conductively coupled to the buried interconnect. 제7항에 있어서, 상기 적어도 하나의 도전성 라인은 상기 매립형 상호 접속부에 도전성으로 결합되는 것인 집적 회로.8. The integrated circuit of claim 7, wherein the at least one conductive line is conductively coupled to the buried interconnect. 제8항에 있어서, 상기 도전성 라인은 상기 매립형 상호 접속부의 상면과 접촉하는 것인 집적 회로.9. The integrated circuit of claim 8 wherein the conductive line is in contact with a top surface of the buried interconnect. 제1항에 있어서, 상기 매립형 상호 접속부는 트렌치 분리부와 접하는 측벽을 갖는 것인 집적 회로.The integrated circuit of claim 1 wherein the buried interconnect has a sidewall in contact with a trench isolation. 제1항에 있어서, 상기 증착된 도전체는 도핑된 폴리실리콘을 포함하는 것인 집적 회로.The integrated circuit of claim 1, wherein the deposited conductor comprises doped polysilicon. 제1항에 있어서, 상기 증착된 도전체는 금속을 포함하는 것인 집적 회로.The integrated circuit of claim 1, wherein the deposited conductor comprises a metal. 제1항에 있어서, 상기 증착된 도전체는 금속 실리사이드를 포함하는 것인 집적 회로.The integrated circuit of claim 1, wherein the deposited conductor comprises a metal silicide. 제11항 내지 제13항 중 어느 한 항에 있어서, 상기 증착된 도전체보다 미리 상기 트렌치에 형성된 라이너(liner)를 더 포함하는 집적 회로.The integrated circuit of claim 11, further comprising a liner formed in the trench in advance of the deposited conductor. 제1항에 있어서, 상기 단일 크리스탈 영역은 매립형 산화물층에 의해 상기 기판과 분리되는 것인 집적 회로.The integrated circuit of claim 1, wherein the single crystal region is separated from the substrate by a buried oxide layer. 청구항 제1항 내지 제15항 중 어느 한 항에 따른 집적 회로의 매립형 상호 접속부를 형성하는 방법으로서,A method of forming an embedded interconnect of an integrated circuit according to any one of claims 1-15. 기판에 트렌치 분리 영역을 형성하는 단계와,Forming a trench isolation region in the substrate, 상기 분리 영역과 인접하는 상기 기판의 단일 크리스탈 영역에 트렌치를 형성하는 단계로서, 상기 트렌치는 상기 단일 크리스탈 영역과 분리된 바닥과, 상기 트렌치 분리 영역과 인접하는 측벽을 갖는 것인 트렌치 형성 단계와,Forming a trench in a single crystal region of the substrate adjacent to the isolation region, the trench having a bottom separated from the single crystal region and a sidewall adjacent to the trench isolation region; 상기 트렌치 내에, 상기 트렌치의 적어도 하나의 측벽 상의 단일 크리스탈 영역과 접촉하는 도전체를 증착하는 단계와,Depositing a conductor in the trench that contacts a single crystal region on at least one sidewall of the trench; 위에서부터 상기 증착된 도전체에 접촉부를 형성하는 단계를 포함하는 상호접속부 형성 방법.Forming a contact in said deposited conductor from above. 제16항에 있어서, 상기 증착된 도전체와의 접촉은 상기 증착된 도전체 상에 증착된 분리층에 에칭된 개구를 통해 이루어지는 것인 상호 접속부 형성 방법.17. The method of claim 16 wherein the contact with the deposited conductor is through an opening etched in a separation layer deposited on the deposited conductor. 제16항에 있어서, 상기 기판 위에 제1 도전성 라인을 증착하는 단계를 더 포함하고,17. The method of claim 16, further comprising depositing a first conductive line on the substrate, 상기 증착된 도전체와의 접촉에 의해 상기 도전성 라인이 상기 증착된 도전체에 도전성으로 결합되는 것인 상호 접속부 형성 방법.And wherein the conductive line is conductively coupled to the deposited conductor by contact with the deposited conductor. 제18항에 있어서, 상기 제1 도전성 라인과 접촉하는 제2 도전성 라인을 증착하는 단계를 더 포함하고,19. The method of claim 18, further comprising depositing a second conductive line in contact with the first conductive line, 상기 증착된 도전체와의 접촉에 의해 상기 제1 도전성 라인과 제2 도전성 라인이 상기 증착된 도전체에 도전성으로 결합되는 것인 상호 접속부 형성 방법.And wherein the first conductive line and the second conductive line are conductively coupled to the deposited conductor by contact with the deposited conductor. 제16항에 있어서, 상기 트렌치의 바닥은 증착된 산화물에 의해 분리되는 것인 상호 접속부 형성 방법.17. The method of claim 16 wherein the bottom of the trench is separated by deposited oxide. 제16항에 있어서, 상기 도전체를 증착하기 전에 상기 트렌치에 라이너를 증착하는 단계를 더 포함하는 상호 접속부 형성 방법.17. The method of claim 16 further comprising depositing a liner in the trench prior to depositing the conductor.
KR10-2004-7019133A 2002-06-14 2002-06-14 Enhanced structure and method for buried local interconnects KR20050014839A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2002/019238 WO2003107430A1 (en) 2002-06-14 2002-06-14 Enhanced structure and method for buried local interconnects

Publications (1)

Publication Number Publication Date
KR20050014839A true KR20050014839A (en) 2005-02-07

Family

ID=29731342

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-7019133A KR20050014839A (en) 2002-06-14 2002-06-14 Enhanced structure and method for buried local interconnects

Country Status (6)

Country Link
EP (1) EP1535341A1 (en)
JP (1) JP2005530347A (en)
KR (1) KR20050014839A (en)
CN (1) CN1628387A (en)
AU (1) AU2002306174A1 (en)
WO (1) WO2003107430A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8897470B2 (en) * 2009-07-31 2014-11-25 Macronix International Co., Ltd. Method of fabricating integrated semiconductor device with MOS, NPN BJT, LDMOS, pre-amplifier and MEMS unit
US8754483B2 (en) * 2011-06-27 2014-06-17 International Business Machines Corporation Low-profile local interconnect and method of making the same
US9224712B2 (en) 2014-02-11 2015-12-29 International Business Machines Corporation 3D bond and assembly process for severely bowed interposer die
CN104867864B (en) * 2015-03-27 2018-08-28 上海新储集成电路有限公司 A method of realizing local interlinkage
EP3139405B1 (en) 2015-09-01 2021-08-11 IMEC vzw Buried interconnect for semicondutor circuits
CN108538839B (en) * 2017-03-01 2019-08-23 联华电子股份有限公司 Semiconductor structure, for semiconductor structure of memory component and preparation method thereof
US11101217B2 (en) 2019-06-27 2021-08-24 International Business Machines Corporation Buried power rail for transistor devices

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63138764A (en) * 1986-11-29 1988-06-10 Tdk Corp Semiconductor integrated circuit and manufacture thereof
KR960016229B1 (en) * 1993-09-13 1996-12-07 삼성전자 주식회사 Semiconductor device contact structure and manufacturing method thereof
US6215158B1 (en) * 1998-09-10 2001-04-10 Lucent Technologies Inc. Device and method for forming semiconductor interconnections in an integrated circuit substrate
US6143595A (en) * 1999-07-07 2000-11-07 United Microelectronics Corp. Method for forming buried contact
US6407444B1 (en) * 2000-07-19 2002-06-18 Hughes Electronics Corp. Single event upset hardening of a semiconductor device using a buried electrode

Also Published As

Publication number Publication date
WO2003107430A1 (en) 2003-12-24
EP1535341A1 (en) 2005-06-01
AU2002306174A1 (en) 2003-12-31
JP2005530347A (en) 2005-10-06
CN1628387A (en) 2005-06-15

Similar Documents

Publication Publication Date Title
US7060553B2 (en) Formation of capacitor having a Fin structure
JP3860672B2 (en) Transistor manufacturing method and transistor manufactured by the manufacturing method
US6667503B2 (en) Semiconductor trench capacitor
US6498057B1 (en) Method for implementing SOI transistor source connections using buried dual rail distribution
EP3742487A1 (en) An integrated circuit with backside power delivery network and backside transistor
US6534807B2 (en) Local interconnect junction on insulator (JOI) structure
US4737828A (en) Method for gate electrode fabrication and symmetrical and non-symmetrical self-aligned inlay transistors made therefrom
JPH10242420A (en) Semiconductor device and its manufacture
US6909189B2 (en) Semiconductor device with dummy structure
US5970375A (en) Semiconductor fabrication employing a local interconnect
US6140674A (en) Buried trench capacitor
US6620672B1 (en) SOI DRAM with buried capacitor under the digit lines utilizing a self aligning penetrating storage node contact formation
US6300666B1 (en) Method for forming a frontside contact to the silicon substrate of a SOI wafer in the presence of planarized contact dielectrics
US6630718B1 (en) Transistor gate and local interconnect
US6083827A (en) Method for fabricating local interconnect
KR20050014839A (en) Enhanced structure and method for buried local interconnects
US20050205938A1 (en) Semiconductor device and method of manufacture the same
US6551920B2 (en) Semiconductor device and fabrication method thereof
US7910418B2 (en) Complementary metal gate dense interconnect and method of manufacturing
US20080157227A1 (en) Semiconductor device and manufacturing process therefor
US6204128B1 (en) Method for fabricating semiconductor device
US6656825B2 (en) Semiconductor device having an improved local interconnect structure and a method for forming such a device
US6303441B1 (en) Semiconductor device and method for fabricating the same
US6642582B1 (en) Circuit structure with a parasitic transistor having high threshold voltage
KR100515075B1 (en) Method of forming buried wiring of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
NORF Unpaid initial registration fee