KR20050008688A - Thermal flux processing by scanning electromagnetic radiation - Google Patents

Thermal flux processing by scanning electromagnetic radiation Download PDF

Info

Publication number
KR20050008688A
KR20050008688A KR10-2004-7016741A KR20047016741A KR20050008688A KR 20050008688 A KR20050008688 A KR 20050008688A KR 20047016741 A KR20047016741 A KR 20047016741A KR 20050008688 A KR20050008688 A KR 20050008688A
Authority
KR
South Korea
Prior art keywords
continuous wave
electromagnetic radiation
wave electromagnetic
substrate
thermal processing
Prior art date
Application number
KR10-2004-7016741A
Other languages
Korean (ko)
Other versions
KR101010492B1 (en
Inventor
딘씨. 제닝스
마크 얌
아브힐라쉬 제이. 마허
베논 베렌스
파울 에이. 오'브리언
레오니드 엠. 테티트스키
알렉산더 골딘
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/126,419 external-priority patent/US7005601B2/en
Priority claimed from US10/325,497 external-priority patent/US6987240B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050008688A publication Critical patent/KR20050008688A/en
Application granted granted Critical
Publication of KR101010492B1 publication Critical patent/KR101010492B1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/034Observing the temperature of the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0665Shaping the laser beam, e.g. by masks or multi-focusing by beam condensation on the workpiece, e.g. for focusing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/083Devices involving movement of the workpiece in at least one axial direction
    • B23K26/0838Devices involving movement of the workpiece in at least one axial direction by using an endless conveyor belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Laser Beam Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

열적 프로세싱 장치는 스테이지(216), 연속파(cw) 전자기 방사선 소오스(202), 일련의 렌즈(210), 이동 메카니즘(218), 및 제어기(226)를 포함한다. 스테이지(216)는 기판(214)을 수용하도록 구성된다. cw 전자기 방사선 소오스(202)는 스테이지(216)에 인접하게 배치되고 cw 전자기 방사선을 기판(214)을 향한 경로를 따라 방출시키도록 구성된다. 일련의 렌즈(210)는 cw 전자기 방사선 소오스(202)와 스테이지(216) 사이에 배치되고, cw 전자기 방사선을 기판(214)의 표면 상에 하나의 cw 전자기 방사선(222)으로 집중시키도록 구성된다.The thermal processing apparatus includes a stage 216, a continuous wave (cw) electromagnetic radiation source 202, a series of lenses 210, a moving mechanism 218, and a controller 226. Stage 216 is configured to receive substrate 214. The cw electromagnetic radiation source 202 is disposed adjacent to the stage 216 and is configured to emit cw electromagnetic radiation along a path towards the substrate 214. The series of lenses 210 is disposed between the cw electromagnetic radiation source 202 and the stage 216 and is configured to focus the cw electromagnetic radiation onto one cw electromagnetic radiation 222 on the surface of the substrate 214. .

Description

전자기 방사선의 스캐닝에 의한 열 플럭스 프로세싱 {THERMAL FLUX PROCESSING BY SCANNING ELECTROMAGNETIC RADIATION}Thermal flux processing by scanning of electromagnetic radiation {THERMAL FLUX PROCESSING BY SCANNING ELECTROMAGNETIC RADIATION}

집적 회로(IC) 시장은 계속해서 보다 큰 메모리 용량, 보다 신속한 스위치 속도, 및 보다 작은 최소배선폭을 요구하고 있다. 본 발명이 속하는 기술분야에서 이러한 요구사항을 해결하기 위해 취한 주요 조치 중 하나는 거대한 노 내에서의 실리콘 웨이퍼와 같은 다중 기판의 배치식 프로세싱에서 소형 반응 챔버 내에서의 단일 기판 프로세싱으로 변경시키는 것이다.The integrated circuit (IC) market continues to demand greater memory capacity, faster switch speeds, and smaller minimum wiring widths. One of the main steps taken to address this requirement in the art is to change from batch processing of multiple substrates, such as silicon wafers in a large furnace, to single substrate processing in a small reaction chamber.

일반적으로, 이러한 배치식 프로세싱 제조에는 4 개의 기본 작업, 즉 레이어링(layering), 패턴화, 도핑, 및 열처리가 수행된다. 이러한 많은 작업들은 다양한 화학적 및 물리적 반응이 일어나도록 기판을 고온으로 가열시킬 것을 요한다. 특히 관심 대상은 열처리와 레이어링이며, 이들은 각각 후술될 것이다.Typically, this batch processing fabrication is performed with four basic operations: layering, patterning, doping, and heat treatment. Many of these tasks require heating the substrate to a high temperature so that various chemical and physical reactions can occur. Of particular interest are heat treatment and layering, which will be described later respectively.

열처리는 기판이 특정 결과를 달성하기 위해 단순히 가열되고 냉각되는 작업이다. 열처리 중에 추가적인 재료가 기판에 추가되거나 기판으로부터 제거되지는않는다. 급속 열 프로세싱 또는 어닐링과 같은 열처리는 일반적으로 상당히 많은 양의 열에너지(고온)를 단시간에 기판에 제공하고, 그 후 열 프로세스를 종결시키기 위해 기판을 신속하게 냉각시킬 것을 요한다. 이러한 프로세싱 중에 기판에 전달되는 열에너지의 양은 열적 부담(thermal budget)으로 공지되어 있다. 재료의 열적 부담은 온도와 프로세스 기간의 함수이다. 낮은 열적 부담이 극소형 IC 제조에 바람직하며, 이는 프로세스 시간이 매우 짧은 경우 고온에서 제공될 수 있다.Heat treatment is the operation in which the substrate is simply heated and cooled to achieve a particular result. No additional material is added to or removed from the substrate during the heat treatment. Heat treatments, such as rapid thermal processing or annealing, generally provide a significant amount of thermal energy (high temperature) to the substrate in a short time, and then require rapid cooling of the substrate to terminate the thermal process. The amount of thermal energy delivered to the substrate during this processing is known as the thermal budget. The thermal burden of the material is a function of temperature and process duration. Low thermal burden is desirable for very small IC fabrication, which can be provided at high temperatures when the process time is very short.

현재 사용중인 열처리의 예로는 급속 열 프로세싱(RTP) 및 임펄스(스파이크) 어닐링을 포함한다. 이러한 프로세스들이 광범위하게 사용되지만, 현상태의 기술들은 이상적이지 못하다. 이러한 기술들은 기판을 상승된 온도에 장시간 노출시킬 뿐만 아니라 기판의 온도를 너무 느리게 램프-업 및 램프-다운시키는 경향이 있다. 이들 문제점은 기판 크기의 증가, 스위치 속도의 증가, 및/또는 최소 배선폭의 감소에 따라 더욱 심각해진다.Examples of heat treatments currently in use include rapid thermal processing (RTP) and impulse (spike) annealing. While these processes are used extensively, current technologies are not ideal. These techniques not only expose the substrate to elevated temperatures for long periods of time but also tend to ramp up and ramp down the temperature of the substrate too slowly. These problems are compounded by increasing substrate size, increasing switch speed, and / or decreasing minimum wiring width.

일반적으로, 이러한 열처리는 소정의 열적 레서피에 따라 제어된 조건 하에서 기판의 온도를 상승시킨다. 이들 열적 레서피는 기본적으로 기판이 처리되어야 하는 온도; 온도의 변화율, 즉 온도의 램프-업 및 램프-다운 비율; 및 열적 프로세싱 시스템이 특정 온도에 유지되는 시간으로 구성된다. 예를 들어, 열적 레서피는 기판이 각각의 상이한 온도에서 60초, 또는 그 이상의 프로세싱 시간 동안 상온으로부터 1200℃ 또는 그 이상의 상이한 온도로 가열될 것을 요한다.In general, this heat treatment raises the temperature of the substrate under controlled conditions in accordance with certain thermal recipes. These thermal recipes basically comprise the temperature at which the substrate must be processed; Rate of change of temperature, ie the ramp-up and ramp-down ratios of temperature; And the time that the thermal processing system is maintained at a particular temperature. For example, thermal recipes require that the substrate be heated to a different temperature of 1200 ° C. or more from room temperature for 60 seconds or longer processing time at each different temperature.

더욱이, 기판 내에서의 도펀트의 미소 확산과 같은 소정의 목적을 충족시키기 위해, 각각의 기판이 고온으로 되는 시간은 제한되어야 한다. 이를 수행하기위해, 온도의 램프 업 및 다운 비율은 바람직하게 높다. 즉, 기판의 온도를 낮은 온도에서 높은 온도로, 그리고 열적 부담을 최소화하기 위해 가능한 한 짧은 시간 내에 조절할 수 있는 것이 바람직하다.Moreover, in order to meet certain purposes, such as microdiffusion of dopants in the substrate, the time at which each substrate becomes hot must be limited. To do this, the ramp up and down ratio of temperature is preferably high. In other words, it is desirable to be able to adjust the temperature of the substrate from a low temperature to a high temperature and within the shortest possible time to minimize thermal burden.

고온 램프 비율에 대한 이러한 요구사항은 급속 열 프로세싱(RTP)을 개선시켜, 일반적인 온도 램프 업 비율은 종래의 노에서의 5-15℃/분과 비교할 때, 200-400℃/초 범위이다. 일반적인 램프-다운 비율은 80-150℃/초 범위이다.This requirement for high temperature ramp rates improves rapid thermal processing (RTP) so that typical temperature ramp up rates range from 200-400 ° C./sec when compared to 5-15 ° C./min in conventional furnaces. Typical ramp-down ratios range from 80-150 ° C./sec.

도 1은 상이한 종래 열 프로세스의 열적 프로파일의 그래프(100)이다. 도시된 바와 같이, 일반적인 RTP 시스템의 열적 프로파일(102)은 250℃/초의 램프-업 비율과 90℃/초의 램프-다운 비율을 갖는다.1 is a graph 100 of thermal profiles of different conventional thermal processes. As shown, the thermal profile 102 of a typical RTP system has a ramp-up rate of 250 ° C./sec and a ramp-down rate of 90 ° C./sec.

RTP의 단점은 IC 소자가 기판의 상부 몇 미크론 내에서만 존재함에도 불구하고 RTP가 전체 기판을 가열한다는 것이다. 이는 얼마나 신속하게 기판을 가열 및 냉각할 수 있는가를 제한한다. 더욱이, 전체 기판의 온도가 상승되면, 열은 주변 공간 또는 구조물로 분산될 수 있다. 결과적으로, 오늘날의 RTP 시스템은 400℃/초 램프-업 비율 및 150℃/초 램프-다운 비율을 달성하고자 노력한다.The disadvantage of RTP is that RTP heats the entire substrate even though the IC device is only present within the top few microns of the substrate. This limits how quickly the substrate can be heated and cooled. Moreover, when the temperature of the entire substrate is raised, heat can be dispersed into the surrounding space or structure. As a result, today's RTP systems strive to achieve a 400 ° C./sec ramp-up ratio and a 150 ° C./sec ramp-down ratio.

도 1은 또한 레이저 어닐링 프로세스의 열적 프로파일(104)을 도시한다. 레이저 어닐링은 박막 트랜지스터(TFT) 패널의 제조 중에 사용된다. 이러한 시스템은 폴리실리콘을 용융시키고 재결정시키기 위해 레이저 스폿(laser spot)을 이용한다. 전체 TFT 패널은 패널 상의 연속적인 노출 필드를 가로질러 레이저 스폿을 스캔함으로써 노출된다. 기판 분야에 있어서 레이저 펄스는 약 20-40ns의 기간 동안 노출 필드를 조명하기 위해 사용되며, 여기서 노출 필드는 기판을 가로질러 아래로래스터함으로써 얻어진다. 레이저 어닐링에 대한 열적 프로파일(104)로부터 알 수 있는 것처럼, 램프 비율은 초당 수십억도로 거의 순간적이다. 그러나, 레이저 어닐링에 사용되는 레이저 펄스 또는 플래쉬는 너무 빨라, 충분한 어닐링이 일어날 만큼의 시간을 비용융 프로세스에 제공하지 않는다. 또한, 노출된 영역 다음의 소자 또는 구조물은 이들을 용융시키는 극도의 온도, 또는 어닐링 효과를 거의 제공하지 않는 매우 낮은 온도에 노출될 수도 있다. 더욱이, 기판의 각 부분의 열적 노출의 균질화는 상이한 영역이 상이한 비율로 흡수하여 상당한 온도 구배를 야기하기 때문에 달성되기 어렵다. 프로세스는 너무 빨라 열적 확산이 온도를 평형으로 만들지 못하게 하여, 심각한 패턴 의존성을 야기시킨다. 결과로서, 이러한 기술은 단결정 실리콘 어닐링에 부적절한데 이는 기판 표면 상의 상이한 영역이 매우 상이한 온도로 가열되어 짧은 거리에서 큰 불균일성을 야기하기 때문이다.1 also shows a thermal profile 104 of the laser annealing process. Laser annealing is used during the manufacture of thin film transistor (TFT) panels. Such a system uses a laser spot to melt and recrystallize polysilicon. The entire TFT panel is exposed by scanning a laser spot across a continuous exposure field on the panel. In the substrate field, laser pulses are used to illuminate the exposure field for a period of about 20-40 ns, where the exposure field is obtained by rastering down across the substrate. As can be seen from the thermal profile 104 for laser annealing, the ramp rate is nearly instantaneous at billions per second. However, the laser pulses or flashes used for laser annealing are so fast that they do not give the non-melting process enough time for sufficient annealing to occur. In addition, devices or structures following the exposed area may be exposed to extreme temperatures that melt them, or very low temperatures that provide little annealing effect. Moreover, homogenization of the thermal exposure of each part of the substrate is difficult to achieve because different areas absorb at different rates and cause a significant temperature gradient. The process is so fast that thermal diffusion does not equilibrate the temperature, causing severe pattern dependence. As a result, this technique is inadequate for single crystal silicon annealing because different regions on the substrate surface are heated to very different temperatures causing large nonuniformity at short distances.

캐나다 소재의 Vortek Industries Ltd.에 의해 현재 개발 중인 또다른 열적 프로세싱 시스템은 짧은 시간 내에 기판에 높은 열에너지를 제공하고 열적 노출을 제한하는 영역을 신속하게 냉각시키려는 시도로 플래쉬 이용 스파이크 어닐링을 이용한다. 이러한 열 프로세싱 시스템의 이용은 스파이크 어닐링의 접합 깊이를 1060℃로 제공하지만 플래쉬와 함께 활성화를 1100℃로 개선시켜야 한다. 일반적으로, RTP 시스템은 일반적으로 1060℃ 주위의 바람직한 온도로 램프 업되고 그 후 소정의 플래쉬 온도에 도달한 직후 램프 다운하기 시작한다. 이는 상승된 온도로부터 적절한 활성화를 달성하면서 발생하는 확산의 양을 최소화시키기 위해 수행된다. 이러한 플래쉬 이용 스파이크 어닐링의 열적 프로파일(106)은 또한 도 1에 도시된다.Another thermal processing system, currently under development by Vortek Industries Ltd. of Canada, uses flash-enabled spike annealing in an attempt to rapidly cool areas that provide high thermal energy to the substrate and limit thermal exposure in a short time. The use of this thermal processing system provides a junction depth of spike annealing at 1060 ° C. but should improve activation to 1100 ° C. with flash. In general, the RTP system generally ramps up to the desired temperature around 1060 ° C. and then begins ramping down shortly after reaching the desired flash temperature. This is done to minimize the amount of diffusion that occurs while achieving proper activation from elevated temperatures. The thermal profile 106 of this flash using spike annealing is also shown in FIG. 1.

전술한 관점에서, 높은 램프-업 및 램프-다운 비율로 기판을 어닐링하는 장치 및 방법이 필요하다. 이는 향상된 성능을 야기하는 보다 작은 소자의 제조를 보다 잘 제어할 것이다. 더욱이, 이러한 장치와 방법은 기판의 모든 지점이 실질적으로 균질한 열적 노출을 가져, 패턴 의존성 및 잠재적인 결함을 감소시킬 것을 보장해야 한다.In view of the foregoing, there is a need for an apparatus and method for annealing substrates with high ramp-up and ramp-down ratios. This will better control the fabrication of smaller devices resulting in improved performance. Moreover, such an apparatus and method should ensure that all points of the substrate have substantially homogeneous thermal exposure to reduce pattern dependence and potential defects.

이제 일반적으로 에너지 또는 열의 추가를 요구하는 또다른 기본 제조 작업인 레이어링에 주목한다. 레이어링은 다양한 기술을 이용하여 기판의 표면에 박층 또는 필름을 추가하며, 상기 기술 중 가장 광범위하게 사용되는 기술은 성장과 증착이다. 추가된 층들은 IC 소자에서 반도체, 유전체(절연체), 또는 전도체로서 기능한다. 이들 층들은 균일한 두께, 평탄한 표면, 균일한 조성 및 입자 크기, 응력이 없는 필름, 순도, 및 일체성과 같은 다양한 요구사항을 만족시켜야 한다. 에너지의 추가를 요구하는 일반적인 증착 기술은 예를 들어 화학 기상 증착(CVD); 급속 열 화학 기상 증착(RTCVD)으로 공지된 CVD의 변형; 저압 CVD(LPCVD)로 공지된 CVD의 변형; 및 원자층 증착(ALD)이다.Attention is now directed to layering, another basic manufacturing operation that generally requires the addition of energy or heat. Layering uses a variety of techniques to add thin layers or films to the surface of the substrate, the most widely used of which are growth and deposition. The added layers function as semiconductors, dielectrics (insulators), or conductors in IC devices. These layers must meet various requirements such as uniform thickness, flat surface, uniform composition and particle size, film without stress, purity, and integrity. Common deposition techniques that require the addition of energy include, for example, chemical vapor deposition (CVD); Variations of CVD known as rapid thermal chemical vapor deposition (RTCVD); Variations of CVD known as low pressure CVD (LPCVD); And atomic layer deposition (ALD).

CVD는 기판 표면 상에 실리콘 나이트라이드(Si3N4)와 같은 하나 이상의 층 또는 필름을 물리적으로 증착시키는 가장 광범위하게 사용되는 기술이다. CVD 프로세스 중에, 최종 필름에 요구된 원자 또는 분자를 함유하는 암모니아(NH3) 및 디클로로실란(DCS)과 같은 다양한 가스들이 반응 챔버 내로 주입된다. 가스들 사이의 화학 반응은 열, 빛, 또는 플라즈마와 같은 고에너지로 유도된다. 반응된 원자 또는 분자는 기판 표면상에 증착되고 축적되어 소정 두께를 갖는 박막을 형성한다. 반응 부산물은 실질적으로 반응 챔버로부터 플러쉬(flush)된다. 증착 속도는 공급된 에너지의 반응 조건; 반응 챔버 내에 존재하는 가스의 양 및 비율; 및/또는 반응 챔버 내의 압력을 제어함으로써 조절될 수 있다.CVD is the most widely used technique for physically depositing one or more layers or films such as silicon nitride (Si 3 N 4 ) on a substrate surface. During the CVD process, various gases such as ammonia (NH 3 ) and dichlorosilane (DCS) containing the atoms or molecules required for the final film are injected into the reaction chamber. Chemical reactions between gases are induced by high energy, such as heat, light, or plasma. The reacted atoms or molecules are deposited and accumulated on the substrate surface to form a thin film having a predetermined thickness. The reaction byproduct is substantially flushed from the reaction chamber. The deposition rate is determined by the reaction conditions of the supplied energy; The amount and proportion of gas present in the reaction chamber; And / or by controlling the pressure in the reaction chamber.

반응 에너지는 일반적으로 열(전도 또는 대류), 유도 RF, 방사, 플라즈마, 또는 자외선 에너지 소오스에 의해 공급된다. 온도는 일반적으로 상온 내지 1250℃ 범위, 보다 일반적으로 250℃ 내지 850℃ 범위이다.Reaction energy is generally supplied by heat (conduction or convection), induced RF, radiation, plasma, or ultraviolet energy sources. The temperature is generally in the range of room temperature to 1250 ° C, more generally in the range of 250 ° C to 850 ° C.

현재 열에 의해 구동되는 프로세스에서 기판을 고온으로 가열하는 것이 바람직하지만, 기판이 이러한 고온에 장시간 동안 노출되지 않는 것 또한 바람직하다. 즉, 기판의 온도를 저온에서 고온으로 그리고 가능한 짧은 시간 내에 조절, 즉 낮은 열적 부담을 갖는 것이 바람직하다.While it is desirable to heat the substrate to high temperatures in current heat driven processes, it is also desirable that the substrate is not exposed to such high temperatures for a long time. That is, it is desirable to control the temperature of the substrate from low temperature to high temperature and within the shortest time possible, ie with low thermal burden.

그러나, 현재 열에 의해 구동되는 프로세스는 기판의 표면 만이 가열될 필요가 있음에도 불구하고 기판 전체를 가열시킨다. 기판은 온도 변화에 저항하는 열 관성을 가지기 때문에, 기판 전체를 가열하는 것은 얼마나 신속하게 기판을 가열하고 냉각할 수 있는가를 제한한다. 예를 들어, 전체 기판의 온도가 상승되면, 기판의 냉각은 주변 공간 또는 구조물로의 열 분산에 의해서만 일어날 수 있다.However, current heat driven processes heat the entire substrate even though only the surface of the substrate needs to be heated. Since the substrate has thermal inertia resistant to temperature changes, heating the entire substrate limits how quickly the substrate can be heated and cooled. For example, if the temperature of the entire substrate is raised, cooling of the substrate can only occur by heat dissipation into the surrounding space or structure.

CVD 및 LPCVD에서, 다양한 가스가 반응 챔버 내에 동시에 공급 또는 주입된다. 그러나, 반응물 가스 사이에 일어나는 가스상 반응은 기판 주위의 주변 공간을 포함하는 반응 챔버 내의 소정 위치에서 일어날 수도 있다. 주변 공간에서 일어나는 반응은 이들이 필름에 매입될 수 있는 입자를 형성할 수 있기 때문에 바람직하지 않다. 가스상 반응은 증착을 유동에 의존하게 하고, 상당한 비균일성이 유동 의존성 때문에 발생할 수 있다.In CVD and LPCVD, various gases are fed or injected simultaneously into the reaction chamber. However, gas phase reactions that occur between reactant gases may occur at certain locations in the reaction chamber that include the peripheral space around the substrate. Reactions taking place in the surrounding space are undesirable because they can form particles that can be embedded in the film. Gas phase reactions make deposition dependent on flow, and significant nonuniformity can occur due to flow dependence.

보다 최근에, CVD 및 LPCVD와 관련하여 전술된 가스상 반응 문제점을 해결하는 ALD가 개발되었다. ALD에서, 제 1 가스가 반응 챔버에 주입된다. 제 1 가스의 원자 또는 분자는 기판의 표면에 부착한다. 그 후 세정 가스가 반응 챔버로부터 제 1 가스를 플러쉬하기 위해 주입된다. 마지막으로, 제 2 가스가 기판의 표면 상에서 제 1 가스와 반응하도록 반응 챔버 내에 주입된다. 제 1 및 제 2 가스가 반응 챔버 내에 동시에 존재하지 않게 될 때, 가스상 반응은 주변 공간에서 발생하지 않는다. 이는 주변 공간에 입자의 형성 및 유동 의존성과 관련된 문제점을 제거한다. 그러나, ALD에서의 증착 속도는 약 1Å/초로 느리다. 또한, ALD는 CVD에서와 같은 온도 제한 및 열적 부담에 의해 제한된다.More recently, ALDs have been developed that solve the gas phase reaction problems described above with respect to CVD and LPCVD. In ALD, a first gas is injected into the reaction chamber. Atoms or molecules of the first gas attach to the surface of the substrate. A cleaning gas is then injected to flush the first gas from the reaction chamber. Finally, a second gas is injected into the reaction chamber to react with the first gas on the surface of the substrate. When the first and second gases are not simultaneously present in the reaction chamber, no gas phase reaction occurs in the surrounding space. This eliminates the problems associated with particle formation and flow dependence in the surrounding space. However, the deposition rate in ALD is slow, about 1 ms / sec. In addition, ALD is limited by the same temperature limitations and thermal burdens as in CVD.

전술된 관점에서, 가스상 반응 문제점을 감소시키는 기판 상에 층을 증착시키는 장치 및 방법이 필요하다. 보다 구체적으로, 이러한 장치 및 방법은 기판의 표면 만을 가열시키고 높은 램프-업 및 램프-다운 비율, 즉 낮은 열적 부담을 제공해야 한다. 이러한 장치 및 방법은 바람직하게 균일한 층 두께, 평탄한 층 표면, 균일한 층 조성 및 입자 크기, 낮은 응력 필름, 순도, 및 일체성과 같은 일반적이고 특별한 변수를 충족시킨다.In view of the foregoing, a need exists for an apparatus and method for depositing a layer on a substrate that reduces gas phase reaction problems. More specifically, such an apparatus and method should heat only the surface of the substrate and provide a high ramp-up and ramp-down ratio, ie low thermal burden. Such devices and methods preferably meet general and special parameters such as uniform layer thickness, flat layer surface, uniform layer composition and particle size, low stress film, purity, and integrity.

본 발명은 일반적으로 반도체 소자 제조에 관한 것이다. 보다 구체적으로, 본 발명은 복사선으로 기판을 스캔함으로써 기판을 열적으로 프로세싱하는 장치 및 방법에 관한 것이다.The present invention relates generally to semiconductor device fabrication. More specifically, the present invention relates to an apparatus and method for thermally processing a substrate by scanning the substrate with radiation.

본 발명의 특성 및 목적을 보다 잘 이해하기 위해, 첨부 도면과 함께 다음의 상세한 설명이 참조된다.DETAILED DESCRIPTION In order to better understand the characteristics and objects of the present invention, reference is made to the following detailed description in conjunction with the accompanying drawings.

도 1은 종래의 상이한 열 프로세스의 열 프로파일 그래프이며,1 is a thermal profile graph of a different thermal process of the prior art,

도 2a는 본 발명의 실시예에 따라 기판을 열적으로 프로세싱하는 장치의 개략적 측면도이며,2A is a schematic side view of an apparatus for thermally processing a substrate in accordance with an embodiment of the invention,

도 2b는 도 2a에 도시된 기판과 스테이지의 개략적인 평면도이며,FIG. 2B is a schematic plan view of the substrate and stage shown in FIG. 2A;

도 3은 본 발명의 또다른 실시예에 따라 기판을 열적으로 프로세싱하는 또다른 장치의 개략적인 측면도이며,3 is a schematic side view of another apparatus for thermally processing a substrate in accordance with another embodiment of the present invention;

도 4는 기판을 열적으로 프로세싱하는 방법의 흐름도이며,4 is a flow chart of a method of thermally processing a substrate,

도 5는 본 발명의 실시예에 따라 열적 프로세싱 중에 기판 상의 그리고 기판을 통한 고정된 지점에서의 온도의 그래프이며,5 is a graph of temperature at a fixed point on and through a substrate during thermal processing in accordance with an embodiment of the invention,

도 6은 본 발명의 또다른 실시예에 따라 기판 상에 층을 증착시키는 장치의 개략적인 측면도이며,6 is a schematic side view of an apparatus for depositing a layer on a substrate in accordance with another embodiment of the present invention;

도 7은 도 6에 도시된 본 발명의 실시예에 따라 기판 상에 층을 증착시키는 방법의 흐름도이며,7 is a flow chart of a method of depositing a layer on a substrate in accordance with an embodiment of the present invention shown in FIG.

도 8은 도 6에 도시된 본 발명의 실시예에 따라 850℃ 및 740토르에서 실란 분해를 위한 몬테 카를로 시뮬레이션 결과의 그래프이며,FIG. 8 is a graph of Monte Carlo simulation results for silane decomposition at 850 ° C. and 740 torr according to an embodiment of the invention shown in FIG. 6,

도 9a는 본 발명의 실시예에 따라 기판을 열적으로 프로세싱하는 또다른 장치의 측면도이며,9A is a side view of another apparatus for thermally processing a substrate in accordance with an embodiment of the invention,

도 9b는 도 9a에 도시된 장치의 사면도(oblique view)이며,FIG. 9B is an oblique view of the device shown in FIG. 9A, FIG.

도 9c는 본 발명의 또다른 실시예에 따라 기판을 열적으로 프로세싱하는 또다른 장치의 후면도이며,9C is a rear view of another apparatus for thermally processing a substrate in accordance with another embodiment of the present invention,

도 10은 도 9a 및 도 9b에 도시된 인터클리브 콤바이너의 개략적인 측면도이며,FIG. 10 is a schematic side view of the intercleave combiner shown in FIGS. 9A and 9B;

도 11은 도 9a 및 도 9b에 도시된 포커싱 광과 탐지 모듈의 보다 자세한 횡단면도이며,FIG. 11 is a more detailed cross sectional view of the focusing light and detection module shown in FIGS. 9A and 9B;

도 12는 도 9a 및 도 9b에 도시된 장치의 시제품의 등축도이며,12 is an isometric view of a prototype of the device shown in FIGS. 9A and 9B,

도 13은 열적 프로세스를 제어하는 방법의 흐름도이며,13 is a flowchart of a method of controlling a thermal process,

도 14a는 자동화된 포커싱 메카니즘의 부분 횡단면도이며,14A is a partial cross sectional view of an automated focusing mechanism,

도 14b는 선 14B-14B를 따라 취한, 도 14a에 도시된 툴링 기판과 스테이지의 평면도이며,14B is a top view of the tooling substrate and stage shown in FIG. 14A taken along lines 14B-14B,

도 14c는 기판의 상부 표면 상에 연속파 전자기 방사선을 자동적으로 집중시키는 방법의 흐름도이며,14C is a flow chart of a method for automatically concentrating continuous wave electromagnetic radiation on a top surface of a substrate,

도 14d는 측정된 에너지 밀도 대 어퍼쳐에서 최상의 포커스로부터의 수직 거리의 그래프이다.14D is a graph of measured energy density versus vertical distance from the best focus at the aperture.

다양한 도면을 통해 대응하는 부재에 대해 유사한 도면 부호가 사용된다. 참조의 용이성을 위해, 소정 참조 번호의 처음 숫자는 참조 번호가 도시되는 도면 번호를 나타낸다. 예를 들어 102는 도 1에서 발견되고, 1341은 도 13에서 발견될 수 있다.Similar reference numerals are used for corresponding members throughout the various views. For ease of reference, the first digit of a predetermined reference number refers to the figure in which the reference number is shown. For example, 102 may be found in FIG. 1, and 1341 may be found in FIG. 13.

본 발명의 실시예에 따라 기판 상에 층을 증착시키는 장치가 제공된다. 상기 장치는 반응 챔버와 반응 챔버 내에 하나 이상의 가스를 주입시키도록 구성된 가스 주입기를 포함한다. 상기 장치는 또한 연속파 전자기 방사선 소오스, 반응 챔버 내의 스테이지, 및 연속파 전자기 방사선 소오스와 스테이지 사이에 배치된 포커싱 광(focusing optics)을 포함한다. 스테이지는 그 위에 기판을 수용하도록 구성된다. 포커싱 광은 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선을 기판의 상부 표면 상에 하나의 연속파 전자기 방사선으로 집중시키도록 구성된다. 연속파 전자기 방사선은 바람직하게 기판의 폭 또는 지름을 가로질러 연장한다. 장치는 또한 스테이지와 연속파 전자기 방사선을 서로에 대해 이동시키도록 구성된 이동 메카니즘을 포함한다.In accordance with an embodiment of the present invention there is provided an apparatus for depositing a layer on a substrate. The apparatus includes a reaction chamber and a gas injector configured to inject one or more gases into the reaction chamber. The apparatus also includes a continuous wave electromagnetic radiation source, a stage in the reaction chamber, and focusing optics disposed between the continuous wave electromagnetic radiation source and the stage. The stage is configured to receive a substrate thereon. The focusing light is configured to focus continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into one continuous wave electromagnetic radiation on the upper surface of the substrate. Continuous wave electromagnetic radiation preferably extends across the width or diameter of the substrate. The apparatus also includes a movement mechanism configured to move the stage and the continuous wave electromagnetic radiation with respect to each other.

본 발명에 따라 기판 상에 하나 이상의 층을 증착시키는 방법이 제공된다. 기판은 초기에 반응 챔버 내에 위치된다. 하나 이상의 가스가 반응 챔버 내로 유입된다. 소정의 방사선 이동 속도가 결정된다. 이러한 소정의 속도는 기판을 프로세싱하기 위한 열적 레서피, 기판의 특성, 연속파 전자기 방사 전력, 방사선의 폭, 방사선에서의 전력 밀도 등과 같은 수많은 인자에 기초한다.According to the present invention there is provided a method of depositing one or more layers on a substrate. The substrate is initially located in the reaction chamber. One or more gases enter the reaction chamber. The predetermined rate of radiation movement is determined. This predetermined speed is based on numerous factors such as thermal recipes for processing the substrate, characteristics of the substrate, continuous wave electromagnetic radiation power, width of radiation, power density in radiation, and the like.

연속파 전자기 방사는 연속파 방사선 소오스로부터 방출되고 바람직하게 콜리메이트된다. 연속파 전자기 방사는 후속적으로 기판의 표면을 가로질러 연장하는 방사선으로 집중된다. 방사선은 소정의 일정한 속도로 표면에 대해 이동된다.Continuous wave electromagnetic radiation is emitted from the continuous wave radiation source and is preferably collimated. Continuous wave electromagnetic radiation is subsequently concentrated into radiation extending across the surface of the substrate. The radiation is moved relative to the surface at a certain constant rate.

유입된 가스와 방사선에 의해 발생된 열의 조합은 하나 이상의 가스가 반응하고 기판의 표면 상에 층을 증착시키도록 야기한다. 바람직하지 않은 반응 부산물은 반응 챔버로부터 플러쉬된다. 이러한 프로세스는 소정 두께를 갖는 층이 기판 표면 상에 형성될 때까지 반복된다.The combination of heat generated by the introduced gas and radiation causes one or more gases to react and to deposit a layer on the surface of the substrate. Undesired reaction byproducts are flushed from the reaction chamber. This process is repeated until a layer having a predetermined thickness is formed on the substrate surface.

본 발명의 또다른 실시예에 따라 열 플럭스(thermal flux) 프로세싱 장치가 제공된다. 열 플럭스 프로세싱 장치는 연속파 전자기 방사선 소오스, 스테이지, 포커싱 광, 및 이동 메카니즘을 포함한다. 연속파 전자기 방사선 소오스는 바람직하게 하나 이상의 레이저 다이오드이다. 스테이지는 그 위에 기판을 수용하도록 구성된다. 포커싱 광은 바람직하게 연속파 전자기 방사선 소오스와 스테이지 사이에 배치되고 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선을 기판의 상부 표면 상에 하나의 연속파 전자기 방사선으로 집중시키도록 구성된다. 연속파 전자기 방사선의 길이는 바람직하게 기판의 전체 폭을 가로질러 연장한다. 이동 메카니즘은 스테이지와 연속파 전자기 방사선을 서로에 대해 이동시키도록 구성되고, 바람직하게 기판을 단단히 파지하는 척을 포함한다.According to another embodiment of the present invention, a thermal flux processing apparatus is provided. The thermal flux processing apparatus includes a continuous wave electromagnetic radiation source, a stage, focusing light, and a moving mechanism. The continuous wave electromagnetic radiation source is preferably one or more laser diodes. The stage is configured to receive a substrate thereon. The focusing light is preferably disposed between the continuous wave electromagnetic radiation source and the stage and configured to concentrate the continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into one continuous wave electromagnetic radiation on the upper surface of the substrate. The length of the continuous wave electromagnetic radiation preferably extends across the entire width of the substrate. The movement mechanism is configured to move the stage and the continuous wave electromagnetic radiation with respect to each other, and preferably includes a chuck that holds the substrate firmly.

또한, 기판을 열적으로 프로세싱하는 방법이 제공된다. 연속파 방사선은 기판의 상부 표면에서 하나의 방사선으로 집중된다. 방사선은 소정의 일정한 속도로 표면에 대해 이동된다. 이는 기판의 모든 지점이 실질적으로 균일한 열적 노출 또는 히스토리를 갖도록 허용한다. 프로세스 제어는 램프 전력 보다 스캔 속도를 조절함으로써 달성되어, 장치의 제어를 단순화시킨다. 이는 결함을 발생시키지 않고 매우 국부적인 가열을 허용한다.Also provided is a method of thermally processing a substrate. Continuous wave radiation is concentrated in one radiation on the upper surface of the substrate. The radiation is moved relative to the surface at a certain constant rate. This allows all points of the substrate to have a substantially uniform thermal exposure or history. Process control is achieved by adjusting the scan rate rather than the lamp power, simplifying the control of the device. This allows for very local heating without generating a defect.

그러므로, 본 발명은 소정의 주어진 순간에 기판 표면의 작은 부분만을 가열한다. 이는 총 방사된 전력 요구사항을 감소시킨다. 사실, 기판의 단지 하나의 코드가 소정 시기에 가열될 때, 150kW/㎠의 에너지 밀도가 단지 5kW 방사선 소오스로 300mm 웨이퍼에 달성될 수 있다.Therefore, the present invention heats only a small portion of the substrate surface at any given moment. This reduces the total radiated power requirements. In fact, when only one cord of the substrate is heated at a given time, an energy density of 150 kW / cm 2 can be achieved on a 300 mm wafer with only a 5 kW radiation source.

소정 순간에 작은 영역을 가열함으로써, 단지 수 kW의 방사 전력으로 기판 상에 초당 수 백만의 램프 비율을 달성할 수 있다. 추가적으로, 이러한 높은 램프 비율로 인해 상부 표면은 벌크 기판의 온도가 상승되기 전에 주변 온도로부터 1200℃ 이상으로 가열되고 거의 주변 온도로 냉각될 수 있다.By heating a small area at a given moment, it is possible to achieve a ratio of millions of lamps per second on a substrate with only a few kW of radiant power. In addition, this high ramp rate allows the top surface to be heated above 1200 ° C. from the ambient temperature and cooled to near ambient temperature before the bulk substrate temperature is raised.

전술한 장치 및 방법은 밀리초 이하 동안 기판 표면을 소정의 상당한 온도로 가열할 수 있다. 게다가, 방사선은 단지 기판의 표면에 열을 가하기 때문에, 가스들의 반응은 표면에서만 일어난다. 상온에서의 반응을 무시할 수 있는 경우에, 이는 기판 표면으로부터 바람직하지 않은 가스상 반응을 야기함이 없이 다중 가스들이 동시에 주입되게 한다. 상기 방법은 대기압에서 수행될 수 있어서, 보다 신속한 반응물의 분해를 야기하여 높은 증착 속도를 가능케 한다.The apparatus and method described above can heat the substrate surface to a predetermined significant temperature for up to milliseconds. In addition, since the radiation only heats the surface of the substrate, the reaction of the gases takes place only at the surface. If the reaction at room temperature is negligible, this allows multiple gases to be injected simultaneously without causing undesirable gas phase reactions from the substrate surface. The method can be performed at atmospheric pressure, resulting in faster decomposition of the reactants, allowing for higher deposition rates.

본 발명의 또다른 실시예에 따라, 스테이지, 연속파 전자기 방사선 소오스, 일련의 렌즈, 이동 메카니즘, 탐지 모듈 및 컴퓨터 시스템을 포함하는 열적 프로세싱 장치가 제공된다. 스테이지는 그 위에 기판을 수용하도록 구성된다. 연속파 전자기 방사선 소오스는 스테이지에 인접하게 배치되고, 기판을 향한 경로를 따라 연속파 전자기 방사선을 방출하도록 구성된다. 일련의 렌즈는 연속파 전자기 방사선 소오스와 스테이지 사이에 배치된다. 일련의 렌즈는 연속파 전자기 방사선을 기판의 표면 상에 하나의 연속파 전자기 방사선으로 집중시키도록 구성된다. 이러한 집중으로 인해 방사선이 하나의 연속파 전자기 방사선으로 수렴 또는 집중된다. 이동 메카니즘은 스테이지와 연속파 전자기 방사선을 서로에 대해 이동시키도록 구성된다. 탐지 모듈은 경로 내에 위치되고, 연속파 전자기 방사선을 탐지하도록 구성된다. 바람직한 실시예에서, 탐지 모듈은 일련의 렌즈 사이, 보다 바람직하게 확대 렌즈와 연속파 전자기 방사선을 집중시키도록 구성된 나머지 렌즈 사이에 위치된다. 컴퓨터 시스템은 탐지 모듈에 결합된다. 또한 바람직한 실시예에서, 연속파 전자기 방사선은 500미크론 이하의 폭을 갖고 30kW/㎠ 이상의 전력 밀도를 갖는다.In accordance with another embodiment of the present invention, a thermal processing apparatus is provided that includes a stage, a continuous wave electromagnetic radiation source, a series of lenses, a moving mechanism, a detection module, and a computer system. The stage is configured to receive a substrate thereon. The continuous wave electromagnetic radiation source is disposed adjacent the stage and configured to emit continuous wave electromagnetic radiation along a path towards the substrate. A series of lenses is disposed between the continuous wave electromagnetic radiation source and the stage. The series of lenses is configured to concentrate the continuous wave electromagnetic radiation into one continuous wave electromagnetic radiation on the surface of the substrate. This concentration causes the radiation to converge or focus on one continuous wave electromagnetic radiation. The movement mechanism is configured to move the stage and the continuous wave electromagnetic radiation with respect to each other. The detection module is located in the path and is configured to detect continuous wave electromagnetic radiation. In a preferred embodiment, the detection module is located between a series of lenses, more preferably between the magnifying lens and the remaining lenses configured to focus continuous wave electromagnetic radiation. The computer system is coupled to the detection module. Also in a preferred embodiment, the continuous wave electromagnetic radiation has a width of less than 500 microns and a power density of at least 30 kW / cm 2.

탐지 모듈은 바람직하게 연속파 전자기 방사선 소오스로부터 방출된 연속파 전자기 방사선을 탐지하도록 구성된 하나 이상의 방출된 전력 탐지기를 포함한다. 탐지 모듈은 또한 바람직하게 표면으로부터 반사된 연속파 전자기 방사선을 탐지하도록 구성된 하나 이상의 반사된 전력 탐지기를 포함한다. 하나 이상의 선속분할기(beam splitter)가 방출된 연속파 전자기 방사선의 일부를 샘플링하거나 반사된 연속파 전자기 방사선의 일부를 샘플링하기 위해 제공된다. 선속분할기는 바람직하게 연속파 전자기 방사 모듈과 스테이지 사이, 보다 바람직하게 일련의 렌즈 사이, 보다 바람직하게 확대 렌즈와 연속파 전자기 방사선을 집중시키도록 구성된 나머지 렌즈 사이에 위치된다. 일 실시예에서, 방출된 전력 탐지기와 반사된 전력 탐지기는 810nm의 연속파 전자기 방사선을 탐지한다. 하나 이상의 온도 탐지기가 810nm와 다른 파장의 연속파 전자기 방사선을 탐지함으로써 연속파 전자기 방사선에서 표면 온도를 탐지하도록 구성된다. 필터는 바람직하게 온도 탐지기와 연속파 전자기 방사선 사이에 배치된다. 필터는 810nm와 다른 파장을 갖는 연속파 전자기 방사선 만이 온도 탐지기에 도달하도록 구성된다. 필터는 광 고온계가 900nm 내지2000nm 사이, 바람직하게 1500nm에서 작동하도록 구성된다.The detection module preferably includes one or more emitted power detectors configured to detect continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source. The detection module also preferably includes one or more reflected power detectors configured to detect continuous wave electromagnetic radiation reflected from the surface. One or more beam splitters are provided for sampling a portion of the emitted continuous wave electromagnetic radiation or for sampling a portion of the reflected continuous wave electromagnetic radiation. The beam splitter is preferably located between the continuous wave electromagnetic radiation module and the stage, more preferably between the series of lenses, more preferably between the magnifying lens and the remaining lenses configured to focus the continuous wave electromagnetic radiation. In one embodiment, the emitted power detector and the reflected power detector detects 810 nm continuous wave electromagnetic radiation. One or more temperature detectors are configured to detect surface temperature in continuous wave electromagnetic radiation by detecting continuous wave electromagnetic radiation at a wavelength different from 810 nm. The filter is preferably arranged between the temperature detector and the continuous wave electromagnetic radiation. The filter is configured such that only continuous wave electromagnetic radiation having a wavelength different from 810 nm reaches the temperature detector. The filter is configured such that the optical pyrometer operates between 900 nm and 2000 nm, preferably at 1500 nm.

컴퓨터 시스템은 바람직하게 방출된 전력 탐지기에 방출된 방출 전력을 결정하는 과정; 반사된 전력 탐지기에 반사된 반사 전력을 결정하는 과정; 및 탐지된 방출, 및/또는 반사 전력에 기초하여 연속파 전자기 방사선 소오스에 공급된 전력을 제어하는 과정을 포함한다. 컴퓨터 시스템은 또한 반사도를 결정하는 반사도 과정을 포함할 수도 있다. 반사도는 반사된 전력/방출된 전력에 비례한다. 컴퓨터 시스템은 또한 연속파 방사선에서 표면의 온도를 결정하는 온도 과정을 포함할 수도 있다. 온도는 방출된 전력에서 반사된 전력을 뺀 값과 동일한 흡수된 전력에 비례한다.The computer system preferably includes determining emitted power emitted by the emitted power detector; Determining reflected power reflected by the reflected power detector; And controlling the power supplied to the continuous wave electromagnetic radiation source based on the detected emission and / or reflected power. The computer system may also include a reflectivity process to determine reflectivity. Reflectivity is proportional to reflected power / emitted power. The computer system may also include a temperature process that determines the temperature of the surface in continuous wave radiation. The temperature is proportional to the absorbed power equal to the emitted power minus the reflected power.

일련의 렌즈는 바람직하게 연속파 전자기 방사선 소오스와 스테이지 사이에 배치된 하나 이상의 확대 렌즈를 포함한다. 하나 이상의 확대 렌즈는 연속파 전자기 방사선 소오스로부터 방출된 연속파 전자기 방사 비임을 연속파 전자기 방사선의 확대된 비임으로 확대시키도록 구성된다. 일련의 렌즈는 연속파 전자기 방사선 소오스와 스테이지 사이에 일렬로 배열된 다중 원통 렌즈를 더 포함할 수도 있다. 다중 원통 렌즈는 연속파 전자기 방사의 확대된 렌즈를 기판 표면 상에 연속파 전자기 방사선으로 집중시키도록 구성된다.The series of lenses preferably comprises one or more magnifying lenses disposed between the continuous wave electromagnetic radiation source and the stage. The one or more magnifying lenses are configured to magnify the continuous wave electromagnetic radiation beam emitted from the continuous wave electromagnetic radiation source into an enlarged beam of continuous wave electromagnetic radiation. The series of lenses may further comprise multiple cylindrical lenses arranged in a row between the continuous wave electromagnetic radiation source and the stage. The multi-cylindrical lens is configured to focus the magnified lens of continuous wave electromagnetic radiation into continuous wave electromagnetic radiation on the substrate surface.

연속파 전자기 방사선 소오스는 다중 세트의 대향하는 레이저 다이오드 모듈을 포함하며, 여기서 각각의 다중 세트의 대향하는 레이저 다이오드 모듈은 바람직하게 별도로 제어된다. 또한, 별도의 탐지 모듈이 바람직하게 각각의 세트의 레이저 다이오드에 제공된다.The continuous wave electromagnetic radiation source comprises multiple sets of opposing laser diode modules, wherein each multiple set of opposing laser diode modules is preferably controlled separately. In addition, a separate detection module is preferably provided for each set of laser diodes.

인터리브 콤바이너(interleave combiner)가 바람직하게 연속파 전자기 방사선 소오스와 일련의 렌즈 사이에 배치된다. 인터리브 콤바이너는 바람직하게 연속파 전자기 방사선 파장에서 향상된 반사를 위해 유전체 스택을 이용한다. 기판으로부터의 열 방출 신호는 바람직하게 연속파 전자기 방사선의 파장 보다 긴 파장에서 일련의 렌즈 뿐만 아니라 인터리브 콤바이너를 통해 측정된다. 인터리브 콤바이너는 일련의 렌즈의 크기를 감소시키기 위해 필 비 향상 광(fill ratio enhancing optics)을 이용한다.An interleave combiner is preferably arranged between the continuous wave electromagnetic radiation source and the series of lenses. Interleaved combiners preferably use dielectric stacks for enhanced reflection at continuous wave electromagnetic radiation wavelengths. The heat emission signal from the substrate is preferably measured through a series of lenses as well as interleaved combiners at wavelengths longer than the wavelength of continuous wave electromagnetic radiation. Interleaved combiners use fill ratio enhancing optics to reduce the size of a series of lenses.

연속파 전자기 방사선 소오스와 스테이지를 서로에 대해 이동시키기 위해 조절 메카니즘이 제공될 수도 있다. 이로 인해 컴퓨터 시스템은 연속파 방사선을 표면 상에 집중 상태로 유지시키기 위해 탐지 모듈에 의한 측정값에 기초하여 조절 메카니즘을 제어한다. 대안적인 실시예에서, 반사 표면은 산란된 연속파 방사선을 하나의 연속파 방사선으로 재배향시키기 위해 제공된다.An adjustment mechanism may be provided to move the continuous wave electromagnetic radiation source and stage relative to each other. This allows the computer system to control the adjustment mechanism based on the measurements by the detection module to keep the continuous wave radiation focused on the surface. In an alternative embodiment, a reflective surface is provided for redirecting the scattered continuous wave radiation into one continuous wave radiation.

본 발명의 또다른 실시예에 따라, 열 프로세싱 방법이 제공된다. 기판의 표면은 소정 시간 동안 소정 전력 밀도로 가열된다. 이로 인해 기판의 표면은 주변 온도(TA)로부터 프로세스 온도(TP)로 가열되고, 표면으로부터 소정 깊이에서의 온도(TD)는 주변 온도와 (프로세스 온도-주변 온도)/2의 합한 값 미만으로 유지된다. 즉, TD≤ TA+ (TP- TA)/2이다. 바람직한 실시예에서, 소정의 전력 밀도는 30kW/㎠ 이상이고, 소정 시간은 100마이크로초 내지 100밀리초 사이이며, 주변 온도는 약 500℃ 이하이며, 프로세스 온도는 약 700℃ 이상이며, 소정 깊이는 관심 깊이의10배이며, 여기서 관심 깊이는 실리콘 내에 있는 소자 구조물의 최대 깊이이다.According to another embodiment of the present invention, a thermal processing method is provided. The surface of the substrate is heated to a predetermined power density for a predetermined time. This causes the surface of the substrate to be heated from ambient temperature (T A ) to process temperature (T P ), and the temperature (T D ) at a predetermined depth from the surface is the sum of the ambient temperature and (process temperature-ambient temperature) / 2 Is kept below. That is, T D ≤ T A + (T P -T A ) / 2. In a preferred embodiment, the predetermined power density is at least 30 kW / cm 2, the predetermined time is between 100 microseconds and 100 milliseconds, the ambient temperature is about 500 ° C. or less, the process temperature is about 700 ° C. or more, and the predetermined depth is 10 times the depth of interest, where the depth of interest is the maximum depth of the device structure in silicon.

열 프로세싱 방법은 또한 초기에 표면을 열적 보강층으로 코팅하는 단계를 포함할 수도 있다. 또한, 소정의 산란된 연속파 전자기 방사선은 방사선을 향해 반사될 수도 있다. 연속파 전자기 방사선의 방출된 전력과 표면으로부터 반사된 연속파 전자기 방사선의 반사된 전력이 측정될 수도 있다. 반사된 전력은 방출된 전력과 비교될 수도 있다. 연속파 전자기 방사선 소오스에 공급된 전력은 이러한 비교치에 기초하여 제어될 수도 있다. 또한, 반사된 연속파 전자기 방사선과 실질적으로 상이한 파장에서 연속파 전자기 방사선의 포커스에서 기판으로부터의 열 방출이 별도로 측정될 수도 있다. 표면에서 온도는 방사선에서 결정될 수도 있다. 또한, 흡수율, 반사율, 및 방출율이 결정될 수도 있다.The thermal processing method may also include initially coating the surface with a thermal reinforcement layer. In addition, certain scattered continuous wave electromagnetic radiation may be reflected toward the radiation. The emitted power of the continuous wave electromagnetic radiation and the reflected power of the continuous wave electromagnetic radiation reflected from the surface may be measured. The reflected power may be compared to the emitted power. The power supplied to the continuous wave electromagnetic radiation source may be controlled based on this comparison. In addition, heat release from the substrate may be measured separately at the focus of the continuous wave electromagnetic radiation at a wavelength substantially different from the reflected continuous wave electromagnetic radiation. The temperature at the surface may be determined in the radiation. In addition, absorptivity, reflectivity, and emission rate may be determined.

포커싱 전에, 스캔 방향에 대한 기판의 최적 배향이 선택될 수도 있다. 최적 배향은 기판의 이론적인 슬립 평면과 최소의 오버랩을 갖는 스캔 방향을 확인함으로써 결정된다. 또한, 기판은 예비 가열될 수도 있다. 예비 가열은 연속파 전자기 방사선 소오스를 갖는 하나 이상의 예비스캔으로 구성되고, 바람직하게 고온 플레이트를 이용하여 수행된다.Prior to focusing, an optimal orientation of the substrate relative to the scan direction may be selected. The optimal orientation is determined by identifying the scan direction with the least overlap with the theoretical slip plane of the substrate. The substrate may also be preheated. The preheating consists of one or more prescans with a continuous wave electromagnetic radiation source and is preferably carried out using a hot plate.

또한, 본 발명에 따라 일련의 렌즈는 하나 이상의 확대 렌즈와 다중 원통 렌즈를 포함한다. 확대 렌즈는 연속파 전자기 방사선 소오스와 스테이지 사이에 배치된다. 확대 렌즈는 연속파 전자기 방사 비임을 연속파 전자기 방사의 확대된 비임으로 확대시키도록 구성된다. 다중 원통 렌즈는 바람직하게 하나 이상의 확대 렌즈와 스테이지 사이에서 일렬로 배열된다. 다중 원통 렌즈는 연속파 전자기 방사선의 확대된 비임을 기판의 표면 상에 하나의 연속파 전자기 방사선으로 집중시키도록 구성된다. 하나 이상의 확대 렌즈는 바람직하게 두 개의 확대 렌즈를 포함하며, 다중 원통 렌즈는 구형상 또는 비구형상(aspherical)을 갖는다. 다중 원통 렌즈의 일부는 구형상을 가질 수도 있고 나머지는 비구형상을 가질 수도 있다. 가스 주입기는 냉각 세정 가스를 다중 렌즈 사이에 순환시키기 위해 다중 렌즈 부근에 제공될 수도 있다.In addition, according to the invention a series of lenses comprises one or more magnifying lenses and multiple cylindrical lenses. The magnifying lens is disposed between the continuous wave electromagnetic radiation source and the stage. The magnifying lens is configured to magnify the continuous wave electromagnetic radiation beam into an enlarged beam of continuous wave electromagnetic radiation. Multi-cylindrical lenses are preferably arranged in line between the one or more magnifying lenses and the stage. The multi-cylindrical lens is configured to focus an enlarged beam of continuous wave electromagnetic radiation into one continuous wave electromagnetic radiation on the surface of the substrate. The at least one magnifying lens preferably comprises two magnifying lenses, and the multi-cylindrical lens has a spherical or aspherical shape. Some of the multi-cylindrical lenses may have a spherical shape and others may have a non-spherical shape. A gas injector may be provided near the multiple lenses to circulate the cooling cleaning gas between the multiple lenses.

또한, 열 프로세싱 장치용 자동 포커싱 메카니즘이 제공된다. 자동 포커싱 메카니즘은 연속파 전자기 방사 모듈, 스테이지, 하나 이상의 포토 탐지기, 이동 메카니즘, 조절 메카니즘, 및 제어기를 포함한다. 연속파 전자기 방사 모듈은 연속파 전자기 방사선을 기판의 표면 상에 하나의 연속파 전자기 방사선으로 집중시키도록 구성된다. 스테이지는 그 위에 기판을 수용하도록 구성된다. 하나 이상의 포토 탐지기가 스테이지에 결합된다. 하나 이상의 포토 탐지기는 연속파 전자기 방사선의 강도를 측정하도록 구성된다. 이동 메카니즘은 스테이지와 연속파 전자기 방사 모듈을 서로에 대해 이동시키도록 구성된다. 조절 메카니즘은 스테이지에 결합되고, 스테이지의 높이, 롤 및 피치를 조절하도록 구성된다. 마지막으로, 제어기는 연속파 전자기 방사 모듈, 하나 이상의 포토 탐지기, 이동 메카니즘, 및 조절 메카니즘에 결합된다. 하나 이상의 포토 탐지기는 바람직하게 스테이지에 매입된 3 개의 포토 탐지기를 포함한다. 3 개의 포토 탐지기와 제어기는 연속파 전자기 방사 모듈에 대한 스테이지의 피치, 롤 및 높이를 측정하도록 구성된다.In addition, an automatic focusing mechanism for the thermal processing apparatus is provided. The automatic focusing mechanism includes a continuous wave electromagnetic radiation module, a stage, one or more photo detectors, a moving mechanism, an adjustment mechanism, and a controller. The continuous wave electromagnetic radiation module is configured to concentrate the continuous wave electromagnetic radiation into one continuous wave electromagnetic radiation on the surface of the substrate. The stage is configured to receive a substrate thereon. One or more photo detectors are coupled to the stage. One or more photo detectors are configured to measure the intensity of the continuous wave electromagnetic radiation. The movement mechanism is configured to move the stage and the continuous wave electromagnetic radiation module with respect to each other. The adjustment mechanism is coupled to the stage and is configured to adjust the height, roll and pitch of the stage. Finally, the controller is coupled to a continuous wave electromagnetic radiation module, one or more photo detectors, a moving mechanism, and a regulating mechanism. One or more photo detectors preferably comprise three photo detectors embedded in the stage. Three photo detectors and controllers are configured to measure the pitch, roll and height of the stage for the continuous wave electromagnetic radiation module.

사용시, 하나의 연속파 전자기 방사선이 기판의 표면 상에 자동적으로 집중된다. 자동 포커싱 메카니즘이 제공된 후에, 관통하는 하나 이상의 어퍼쳐를 갖는 툴링 기판이 스테이지 상에 위치된다. 하나 이상의 어퍼쳐는 하나 이상의 포토 탐지기와 정렬된다. 하나 이상의 어퍼쳐는 그 후 연속파 전자기 방사선 소오스로부터 연속파 전자기 방사선을 갖도록 방사된다. 연속파 전자기 방사선의 강도는 그 후 하나 이상의 포토 탐지기에서 측정되고 스테이지와 연속파 전자기 방사선 소오스의 위치는 강도에 기초하여 서로에 대해 조절된다.In use, one continuous wave electromagnetic radiation is automatically concentrated on the surface of the substrate. After the automatic focusing mechanism is provided, a tooling substrate having one or more apertures therethrough is placed on the stage. One or more apertures are aligned with one or more photo detectors. One or more apertures are then radiated from the continuous wave electromagnetic radiation source to have continuous wave electromagnetic radiation. The intensity of the continuous wave electromagnetic radiation is then measured in one or more photo detectors and the positions of the stage and the continuous wave electromagnetic radiation source are adjusted relative to each other based on the intensity.

스테이지와 연속파 전자기 방사선 소오스는 그 후 서로에 대해 측면으로 이동되어 툴링 기판 내의 또다른 어퍼쳐를 또다른 포토 탐지기와 정렬시킨다. 또다른 어퍼쳐는 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선에 노출된다. 연속파 전자기 방사선의 또다른 강도가 또다른 포토 탐지기에서 감지된다. 마지막으로, 스테이지와 연속파 전자기 방사선 소오스의 위치가 또다른 강도에 기초하여 서로에 대해 설정된다. 이들 단계는 스테이지가 연속파 전자기 방사선 소오스에 대해 소정의 위치에 있을 때까지 반복된다.The stage and continuous wave electromagnetic radiation source are then laterally moved relative to each other to align another aperture in the tooling substrate with another photo detector. Another aperture is exposed to continuous wave electromagnetic radiation from a continuous wave electromagnetic radiation source. Another intensity of the continuous wave electromagnetic radiation is detected in another photo detector. Finally, the positions of the stage and continuous wave electromagnetic radiation source are set relative to each other based on another intensity. These steps are repeated until the stage is in a predetermined position with respect to the continuous wave electromagnetic radiation source.

또다른 실시예는 반도체 기판을 열적으로 프로세싱하는 방법을 제공한다. 연속파 전자기 방사선은 반도체 기판의 표면을 가로질러 부분적으로 연장하는 하나의 연속파 전자기 방사선으로 집중된다. 연속파 전자기 방사선과 표면은 그 후 소정의 일정 속도로 서로에 대해 이동된다. 방사선은 후속적으로 그 길이를 따라 그 길이와 동일한 또는 약간 작은 거리 만큼 이동된다. 연속파 전자기 방사선과 표면은 소정의 일정 속도로 서로에 대해 다시 이동된다. 이러한 오버 스캐닝으로 인해 기판의 모든 노출된 지점이 실질적으로 균질한 열적 노출을 갖게 된다.Yet another embodiment provides a method of thermally processing a semiconductor substrate. Continuous wave electromagnetic radiation is concentrated into one continuous wave electromagnetic radiation that extends partially across the surface of the semiconductor substrate. The continuous wave electromagnetic radiation and the surface are then moved relative to each other at a predetermined constant speed. The radiation is subsequently moved along its length by a distance equal to or slightly smaller than that length. The continuous wave electromagnetic radiation and the surface are moved again with respect to each other at a predetermined constant speed. This overscanning causes all exposed points of the substrate to have substantially homogeneous thermal exposure.

도 2a는 본 발명의 일 실시예에 따라 기판을 열적으로 프로세싱하는 장치(200)의 개략적인 측면도이다. 기판을 열적으로 프로세싱하는 것은 후술되는 본 발명의 특성을 요구하는 소정의 열적 프로세스이다. 이러한 열적 프로세스의 실시예는 화학 기상 증착(CVD)에서 사용되는 기판의 열적 어닐링 또는 열적 프로세스를 포함하며, 이들 모두는 나머지 도면에서 설명될 것이다.2A is a schematic side view of an apparatus 200 for thermally processing a substrate in accordance with one embodiment of the present invention. Thermally processing a substrate is any thermal process that requires the features of the present invention described below. Embodiments of such thermal processes include thermal annealing or thermal processes of substrates used in chemical vapor deposition (CVD), all of which will be described in the remaining figures.

장치(200)는 연속파 전자기 방사 모듈(201), 그 위에 기판(214)을 수용하도록 구성된 스테이지(216), 및 이동 메카니즘(218)을 포함한다. 연속파 전자기 방사 모듈(201)은 연속파 전자기 방사선 소오스(202)와 연속파 전자기 방사선 소오스(202)와 스테이지(216) 사이에 배치된 포커싱 광(220)을 포함한다.Apparatus 200 includes a continuous wave electromagnetic radiation module 201, a stage 216 configured to receive a substrate 214 thereon, and a movement mechanism 218. The continuous wave electromagnetic radiation module 201 includes a continuous wave electromagnetic radiation source 202 and a focusing light 220 disposed between the continuous wave electromagnetic radiation source 202 and the stage 216.

바람직한 실시예에서, 기판(214)은 박막 트랜지스터(TFT) 등을 제조하는데 사용되는, 실리콘층을 갖는 유리 또는 석영 기판; 실리콘 게르마늄 또는 그 합금; 절연체 상의 실리콘(SOI); 단결정 실리콘 기판과 같은 소정의 적절한 기판이다. 그러나, 단결정 실리콘 기판은 TFT 보다 훨씬 높은 열전도도를 갖고 단결정 실리콘 기판 분야는 열적 프로세스의 보다 엄격한 제어를 요구하기 때문에, 단결정 실리콘 기판의 열 플럭스 프로세싱이 TFT 기판의 열 플럭스 프로세싱 보다 어려움을 인식할 것이다.In a preferred embodiment, the substrate 214 is a glass or quartz substrate having a silicon layer, used to fabricate thin film transistors (TFTs) or the like; Silicon germanium or alloys thereof; Silicon on insulator (SOI); Any suitable substrate, such as a single crystal silicon substrate. However, since single crystal silicon substrates have much higher thermal conductivity than TFTs and the field of single crystal silicon substrates requires tighter control of the thermal process, thermal flux processing of single crystal silicon substrates will be more difficult than thermal flux processing of TFT substrates. .

연속파 전자기 방사선 소오스(202)는 빛과 같은 전자기 방사선 또는 "연속파"를 방출시킬 수 있다. "연속파"라 함은 방사선 소오스가 폭발형, 펄스형, 또는 플래쉬형 방사가 아닌, 연속적으로 방사선을 방출하도록 구성됨을 의미한다. 이는일반적으로 폭발형 또는 플래쉬형 빛을 이용하는 레이저 어닐링에 사용되는 레이저와 상당히 다르다.The continuous wave electromagnetic radiation source 202 may emit electromagnetic radiation, such as light, or "continuous waves." By "continuous wave" is meant that the radiation source is configured to emit radiation continuously, rather than explosive, pulsed, or flashing radiation. This is quite different from the laser typically used for laser annealing with explosive or flash light.

더욱이, 연속파 전자기 방사선은 기판의 표면에서 또는 표면 부근에서 흡수될 필요가 있기 때문에, 방사선은 기판이 방사선을 흡수하는 범위 내의 파장을 갖는다. 실리콘 기판의 경우, 연속파 전자기 방사선은 바람직하게 190nm 내지 950nm 범위의 파장을 갖는다. 보다 바람직하게, 연속파 전자기 방사선은 약 808nm의 파장을 갖는다.Moreover, since continuous wave electromagnetic radiation needs to be absorbed at or near the surface of the substrate, the radiation has a wavelength within the range in which the substrate absorbs radiation. For silicon substrates, the continuous wave electromagnetic radiation preferably has a wavelength in the range from 190 nm to 950 nm. More preferably, the continuous wave electromagnetic radiation has a wavelength of about 808 nm.

대안적으로, UV 또는 그 부근에서 작동하는 고전력 연속파 전자기 방사 레이저 소오스가 사용될 수도 있고, 여기서 이러한 연속파 전자기 방사 레이저 소오스에 의해 발생된 파장은 반사성 재료에 의해 강하게 흡수된다.Alternatively, a high power continuous wave electromagnetic radiation laser source operating at or near UV may be used, wherein the wavelength generated by this continuous wave electromagnetic radiation laser source is strongly absorbed by the reflective material.

바람직한 실시예에서, 연속파 전자기 방사선 소오스(202)는 15초 이상 동안 방사선을 연속적으로 방출할 수 있다. 또한, 바람직한 실시예에서 연속파 전자기 방사선 소오스(202)는 다중 레이저 다이오드를 포함하며, 이들 각각은 동일한 파장에서 균일하고 이격된 간섭광을 발생시킨다. 또다른 바람직한 실시예에서, 레이저 다이오드의 전력은 0.5kW 내지 50kW 범위, 바람직하게 약 5kW이다. 적절한 레이저 다이오드는 캘리포니아 산타클라라 소재의 Coherent Inc.; 캘리포니아 소재의 Spectra-Physics; 또는 St. Charles Missouri 소재의 Cutting Edge Optronics에 의해 제조된다. 또다른 적절한 레이저 다이오드는 레이저 다이오드 모듈 당 40-80와트의 연속파 전력을 제공하는 Spectra Physics' MONSOON(등록 상표) 다중 바아 모듈(MBM)이지만, 바람직한 레이저 다이오드는 Cutting Edge Optronics에 의해 제조된다.In a preferred embodiment, the continuous wave electromagnetic radiation source 202 may continuously emit radiation for at least 15 seconds. Further, in a preferred embodiment, the continuous wave electromagnetic radiation source 202 comprises multiple laser diodes, each of which generates uniform and spaced interfering light at the same wavelength. In another preferred embodiment, the power of the laser diode is in the range of 0.5 kW to 50 kW, preferably about 5 kW. Suitable laser diodes include Coherent Inc. of Santa Clara, California; Spectra-Physics, California; Or St. Manufactured by Cutting Edge Optronics of Charles Missouri. Another suitable laser diode is Spectra Physics' MONSOON® Multi Bar Module (MBM), which provides 40-80 watts of continuous wave power per laser diode module, but the preferred laser diode is manufactured by Cutting Edge Optronics.

포커싱 광(220)은 바람직하게 연속파 전자기 방사선 소오스(202)로부터의 방사선(204)을 실질적으로 평행한 비임(208)으로 콜리메이트시키는 하나 이상의 콜리메이터(206)를 포함한다. 이렇게 콜리메이트된 방사선(208)은 그 후 하나 이상의 렌즈(210)에 의해 기판(214)의 상부 표면(224)에서 하나의 방사선(222)으로 집중된다.The focusing light 220 preferably includes one or more collimators 206 that collate the radiation 204 from the continuous wave electromagnetic radiation source 202 into a substantially parallel beam 208. This collimated radiation 208 is then concentrated by one or more lenses 210 to one radiation 222 at the top surface 224 of the substrate 214.

렌즈(210)는 방사선을 하나의 방사선으로 집중시킬 수 있는 소정의 적절한 렌즈, 또는 일련의 렌즈이다. 바람직한 실시예에서, 렌즈(210)는 원통 렌즈이다. 대안적으로, 렌즈(210)는 하나 이상의 오목 렌즈, 볼록 렌즈, 평판 미러, 오목 미러, 볼록 미러, 굴절 렌즈, 회절 렌즈, 프레넬 렌즈, 그라디언트 인덱스(gradient index) 렌즈 등일 수도 있다. 포커싱 광(220)은 도 11과 관련하여 보다 자세히 후술된다.Lens 210 is any suitable lens, or series of lenses, that can focus radiation into one radiation. In a preferred embodiment, the lens 210 is a cylindrical lens. Alternatively, lens 210 may be one or more concave lenses, convex lenses, flat mirrors, concave mirrors, convex mirrors, refractive lenses, diffractive lenses, Fresnel lenses, gradient index lenses, and the like. The focusing light 220 is described in more detail below with respect to FIG. 11.

스테이지(216)는 후술되는 것처럼 이동 중에 기판(214)을 단단히 유지시킬 수 있는 소정의 플랫폼 또는 척이다. 바람직한 실시예에서, 스테이지(216)는 마찰, 중력, 기계식, 또는 전기식 시스템과 같이 기판을 파지하는 수단을 포함한다. 적절한 파지 수단의 예로는 기계식 클램프, 정전기적 척 또는 진공 척 등을 포함한다.Stage 216 is any platform or chuck that can hold substrate 214 firmly during movement, as described below. In a preferred embodiment, the stage 216 includes means for gripping the substrate, such as a frictional, gravity, mechanical, or electrical system. Examples of suitable gripping means include mechanical clamps, electrostatic chucks or vacuum chucks and the like.

장치(200)는 또한 스테이지(216)와 방사선(222)을 서로에 대해 이동시키도록 구성된 이동 메카니즘(218)을 포함한다. 일 실시예에서, 이동 메카니즘(218)은 연속파 전자기 방사선 소오스(202) 및/또는 포커싱 광(220)에 대해 스테이지(216)를이동시키기 위해 스테이지(216)에 결합된다. 또다른 실시예에서, 이동 메카니즘(218)은 연속파 전자기 방사선 소오스(202) 및/또는 포커싱 광(220)을 스테이지(216)에 대해 이동시키기 위해 연속파 전자기 방사선 소오스(202) 및/또는 포커싱 광(220)에 결합된다. 또다른 실시예에서, 이동 메카니즘(218)은 연속파 전자기 방사선 소오스(202) 및/또는 포커싱 광(220), 및 스테이지(216) 모두를 이동시킨다. 컨베이어 시스템, 랙(rack) 및 피니온(pinion) 시스템 등과 같은 소정의 적절한 이동 메카니즘이 사용될 수도 있다.The apparatus 200 also includes a movement mechanism 218 configured to move the stage 216 and the radiation 222 relative to each other. In one embodiment, the moving mechanism 218 is coupled to the stage 216 to move the stage 216 relative to the continuous wave electromagnetic radiation source 202 and / or focusing light 220. In yet another embodiment, the moving mechanism 218 may be configured to move the continuous wave electromagnetic radiation source 202 and / or focusing light 220 relative to the stage 216 to the continuous wave electromagnetic radiation source 202 and / or focusing light ( 220). In another embodiment, the movement mechanism 218 moves both the continuous wave electromagnetic radiation source 202 and / or focusing light 220, and the stage 216. Any suitable movement mechanism may be used, such as conveyor systems, rack and pinion systems, and the like.

이동 메카니즘(218)은 바람직하게 스테이지(216)와 방사선(222)이 서로에 대해 이동하는 스캔 속도를 제어하기 위해 제어기에 결합된다. 게다가, 스테이지(216)와 방사선(222)의 서로에 대한 이동은 바람직하게 방사선(222)에 수직하고 기판(214)의 상부 표면(224)에 평행한 경로를 따른다. 바람직한 실시예에서, 이동 메카니즘(218)은 일정한 속도로 이동한다. 바람직하게, 이러한 일정 속도는 35미크론 폭의 라인에 대해 약 2cm/초이다. 또다른 실시예에서, 스테이지(216)와 방사선(222)의 서로에 대한 이동은 방사선(222)에 수직한 경로를 따르지 않는다.The movement mechanism 218 is preferably coupled to the controller to control the scan speed at which the stage 216 and the radiation 222 move relative to each other. In addition, the movement of the stage 216 and the radiation 222 relative to each other preferably follows a path perpendicular to the radiation 222 and parallel to the upper surface 224 of the substrate 214. In a preferred embodiment, the movement mechanism 218 moves at a constant speed. Preferably, this constant speed is about 2 cm / sec for a 35 micron wide line. In another embodiment, the movement of the stage 216 and the radiation 222 relative to each other does not follow a path perpendicular to the radiation 222.

도 2b는 도 2a의 선 2B-2B를 따라 취한 기판 및 스테이지의 개략적인 평면도이다. 바람직한 실시예에서, 기판(214)은 직경이 200 또는 300mm이고, 두께가 약 750미크론인 원형 기판이다. 또한 바람직한 실시예에서, 방사선(222)은 적어도 기판(214)의 전체 직경 또는 폭을 가로질러 연장하는 길이를 갖는다. 방사선(222)은 또한 바람직하게 3 내지 500미크론 범위의 폭(228)을 갖는다. 그러나, 바람직한 실시예에서, 방사선(222)은 약 35미크론의 폭(228)을 갖는다. 폭은 방사선의 최대강도의 절반(반치전폭(FWHM))에서 측정된다. 모든 실시예에서, 방사선의 길이는 그 폭 보다 길다. 바람직한 실시예에서, 방사선(222)은 기판(214)을 선형으로 가로질러, 방사선은 이동 방향에 수직이고, 즉 방사선은 항상 기판의 고정선 또는 코드(252)에 평행하다.FIG. 2B is a schematic plan view of the substrate and stage taken along line 2B-2B in FIG. 2A. In a preferred embodiment, the substrate 214 is a circular substrate having a diameter of 200 or 300 mm and a thickness of about 750 microns. Also in a preferred embodiment, the radiation 222 has a length that extends at least across the entire diameter or width of the substrate 214. The radiation 222 also preferably has a width 228 in the range of 3 to 500 microns. However, in a preferred embodiment, the radiation 222 has a width 228 of about 35 microns. The width is measured at half the maximum intensity of radiation (FWHM). In all embodiments, the length of the radiation is longer than its width. In a preferred embodiment, the radiation 222 linearly crosses the substrate 214 so that the radiation is perpendicular to the direction of travel, ie the radiation is always parallel to the fixed line or cord 252 of the substrate.

방사선에서 바람직한 전력 밀도는 60kW/㎠ 부근의 공칭 범위를 가지면서 10kW/㎠ 내지 200kW/㎠ 범위이다. 이러한 전력 밀도에서 기판의 전체 표면을 방사시키는 것은 용이하게 달성될 수 없지만, 기판을 가로질러 이러한 강도를 갖는 방사선을 스캔할 수 있다. 예를 들어, 70kW/㎠의 피크 전력 밀도를 가지면서 400미크론 폭의 방사선을 이용하는 실험은 램프-업 및 램프-다운 비율이 4백만℃/초를 초과하면서 100cm/초에서 스캔되고, 기판의 표면을 약 1170℃로 가열한다.Preferred power densities in radiation range from 10 kW / cm 2 to 200 kW / cm 2 with a nominal range around 60 kW / cm 2. Radiating the entire surface of the substrate at this power density cannot be easily accomplished, but can scan radiation with this intensity across the substrate. For example, experiments using 400 micron wide radiation with a peak power density of 70 kW / cm 2 scan at 100 cm / sec with ramp-up and ramp-down ratios exceeding 4 million ° C./sec and the surface of the substrate Is heated to about 1170 ° C.

도 3은 본 발명의 또다른 실시예에 따라 기판을 열적으로 프로세싱하는 또다른 장치(300)의 개략적인 측면도이다. 상기 실시예는 또다른 배열의 포커싱 광(320)을 도시한다. 상기 실시예에서, 포커싱 광(320)은 렌즈(210)와, 하나 이상의 광섬유(308)와 프리즘(306)과 같은 하나 이상의 방사 가이드를 포함한다. 도파관, 미러, 또는 디퓨져와 같은 다른 방사 가이드가 또한 사용될 수도 있다.3 is a schematic side view of another apparatus 300 for thermally processing a substrate in accordance with another embodiment of the present invention. The embodiment shows another arrangement of focusing light 320. In this embodiment, the focusing light 320 includes a lens 210 and one or more radiation guides, such as one or more optical fibers 308 and prisms 306. Other radiation guides such as waveguides, mirrors, or diffusers may also be used.

연속파 전자기 방사선 소오스(202)로부터의 방사선은 방사선을 하나 이상의 광섬유(308)로 재배향시키는 프리즘(306)으로 배향된다. 방사선은 광섬유(308)를 통해 렌즈(210)로 보내지고, 여기서 방사선은 하나의 방사선(222)으로 집중된다.Radiation from the continuous wave electromagnetic radiation source 202 is directed to a prism 306 that redirects radiation to one or more optical fibers 308. The radiation is sent through the optical fiber 308 to the lens 210, where the radiation is concentrated in one radiation 222.

전술한 포커싱 광(220, 도 2a 또는 320)의 많은 상이한 조합이 연속파 전자기 방사선 소오스로부터의 방사선을 보내 하나의 방사선으로 집중시키는데 사용될수도 있음을 인식할 것이다. 또한, 직선 배열의 레이저 다이오드가 방사선 소오스로서 사용될 수 있다. 추가적으로, 방사 디퓨져와 같은 균일한 방사선 분배를 발생시키는 소정의 적절한 수단이 사용될 수도 있다.It will be appreciated that many different combinations of the focusing light 220 (FIG. 2A or 320) described above may be used to send radiation from a continuous wave electromagnetic radiation source and concentrate it into one radiation. Also, laser diodes in a straight array can be used as the radiation source. In addition, any suitable means of generating a uniform radiation distribution, such as a radiation diffuser, may be used.

도 4는 기판(214, 도 2a)을 열적으로 프로세싱하는 방법의 흐름도(400)이다. 도 2 및 도 3과 관련하여 설명된 장치가 단계(402)에서 제공된다. 제어기(226, 도 2a)는 그 후 단계(404)에서 방사선(222, 도 2a)과 기판이 서로에 대해 이동할 스캔 속도를 결정한다. 상기 결정은 기판을 프로세싱하기 위한 열적 레서피; 기판 특성; 연속파 전자기 방사선 소오스(202, 도 2a)의 전력; 방사선의 폭; 방사선에서의 전력 밀도 등에 기초한다.4 is a flowchart 400 of a method of thermally processing a substrate 214 (FIG. 2A). The apparatus described in connection with FIGS. 2 and 3 is provided at step 402. The controller 226 (FIG. 2A) then determines the scan rate at which the radiation 222 (FIG. 2A) and the substrate will move relative to each other in step 404. The crystal may comprise a thermal recipe for processing a substrate; Substrate properties; Power of the continuous wave electromagnetic radiation source 202 (FIG. 2A); Width of radiation; Based on power density in radiation and the like.

연속파 전자기 방사선 소오스(202, 도 2a)는 단계(406)에서 방사선 연속파(204, 도 2a)를 방출한다. 상기 방사선(204)은 바람직하게 단계(408)에서 콜리메이트된 방사선 비임(208, 도 2a)으로 콜리메이트된다. 콜리메이트된 방사선 비임(208, 도 2a)은 단계(410)에서 하나의 방사선(222, 도 2a)으로 집중된다. 소정의 스캔 속도에 따라, 스테이지(216, 도 2a)와 방사선(222, 도 2a)이 단계(412)에서 이동 메카니즘(218, 도 2a)에 의해 서로에 대해 이동된다. 이러한 이동은 방사선(222)에 수직하고 기판의 상부 표면에 평행한 경로를 따라 발생하여, 방사선이 전체 기판(214)을 가로지른다. 바람직한 실시예에서, 이동 메카니즘(218)은 기판의 상부 표면 위에 있는 방사선 소오스와 포커싱 광을 약 2cm/초로 스캔한다.The continuous wave electromagnetic radiation source 202 (FIG. 2A) emits a continuous radiation wave 204 (FIG. 2A) at step 406. The radiation 204 is preferably collimated with a radiation beam 208 (FIG. 2A) collimated in step 408. The collimated radiation beam 208 (FIG. 2A) is concentrated in one radiation 222 (FIG. 2A). According to the predetermined scan rate, the stage 216 (FIG. 2A) and the radiation 222 (FIG. 2A) are moved relative to each other by the moving mechanism 218 (FIG. 2A) in step 412. This movement occurs along a path perpendicular to the radiation 222 and parallel to the top surface of the substrate, such that radiation crosses the entire substrate 214. In a preferred embodiment, the moving mechanism 218 scans the radiation source and focusing light over the top surface of the substrate at about 2 cm / sec.

도 5는 도 4와 관련하여 전술된 방법에 따라 수행된 열적 프로세싱 중에 기판 위에 있는 그리고 기판을 통한 고정된 지점에서 온도 대 시간 및 깊이의 그래프(500)이다. 온도축(502)은 고정된 지점에서 0 내지 1400℃ 범위의 온도를 나타낸다. 축(504)은 고정된 지점에서 상부 표면(224, 도 2b)으로부터 기판(214, 도 2b) 내로의 깊이를 나타낸다. 축(506)은 스캔 개시후 소정 지점에서의 초단위의 시간을 나타낸다. 고정된 지점은 508에 위치된다고 가정한다.FIG. 5 is a graph 500 of temperature versus time and depth at a fixed point on and through a substrate during thermal processing performed according to the method described above with respect to FIG. 4. Temperature axis 502 represents a temperature in the range of 0-1400 ° C. at a fixed point. Axis 504 represents the depth from top surface 224 (FIG. 2B) into substrate 214 (FIG. 2B) at a fixed point. Axis 506 represents the time in seconds at a point after the start of the scan. It is assumed that the fixed point is located at 508.

방사선(222, 도 2b)이 기판(214, 도 2b)의 상부 표면(224, 도 2b)을 가로질러 스캔할 때, 방사선은 기판 상의 방사선 또는 코드를 방사선이 발생시키는 열에 노출시킨다. 방사선이 고정된 지점에 도달하기 전에, 고정된 지점에서의 온도는 도면 부호 516으로 표시된 것처럼, 고정된 지점에서 기판의 횡단면을 통해 그리고 상부 표면에서 주변 온도이다. 방사선이 508에서 고정된 지점에 도달하자 마자, 상부 표면에서의 온도는 도면 부호 510으로 도시된 것처럼 약 1e6C/s에서 1200℃(또는 프로세스에 필요한 소정의 온도)와 같은 프로세스 온도까지 램프 업된다. 동시에, 기판은 도면 부호 512로 표시된 것처럼 표면으로부터 온도의 급격한 하락을 야기하는 열 싱크로서 작용한다. 예를 들어, 도 5에 도시된 것처럼 상부 표면 상의 지점으로부터 0.04cm에서 온도는 약 200℃이다. 그러므로, 가열 효과는 일반적으로 상부 표면에서만 국부적이다. 이는 일반적으로 기판의 상부 표면(224, 도 2a) 부근 영역만이 열적 프로세싱을 요구하기 때문에 매우 유리하다.When radiation 222 (FIG. 2B) scans across the top surface 224 (FIG. 2B) of the substrate 214 (FIG. 2B), the radiation exposes radiation or code on the substrate to the heat generated by the radiation. Before the radiation reaches a fixed point, the temperature at the fixed point is the ambient temperature through the cross section of the substrate at the fixed point and at the top surface, as indicated by reference numeral 516. As soon as the radiation reaches a fixed point at 508, the temperature at the top surface ramps up to a process temperature such as 1200 ° C. (or any temperature required for the process) at about 1 e6 C / s as shown at 510. At the same time, the substrate acts as a heat sink which causes a sharp drop in temperature from the surface as indicated by reference numeral 512. For example, the temperature is about 200 ° C. at 0.04 cm from the point on the top surface as shown in FIG. 5. Therefore, the heating effect is generally localized only on the upper surface. This is generally very advantageous because only the region near the top surface 224 (FIG. 2A) of the substrate requires thermal processing.

방사선은 고정된 지점으로부터 위로 그리고 멀어지게 통과하기 때문에, 온도는 도면 부호 514로 도시된 것처럼 급격히 하락한다. 이는 기판이 열을 상부 표면에서 보다 저온의 기판의 나머지 부분을 통해 확산시키는 열 싱크로서 작용하기 때문이다. 이는 전체 기판을 동시에 가열시키는 RTP와 같은 종래의 열적 시스템에서는 불가능한데, 이는 전체 기판이 상승된 온도에 있어서, 열을 보다 저온의 영역으로 분산시킬 수 없기 때문이다. 사실, 중첩된 RTP 그래프는 약 1초 동안 연장하는 1100℃에서 거의 평탄면을 야기하기 때문에, 도 5에 도시된 시간 스케일에서는 RTP와 비교될 수 없다. 1초는 도 5에 도시된 시간 보다 400배 크다.Because the radiation passes up and away from a fixed point, the temperature drops sharply, as shown at 514. This is because the substrate acts as a heat sink that diffuses heat from the top surface through the remainder of the lower temperature substrate. This is not possible in a conventional thermal system such as RTP, which heats the entire substrate simultaneously, since the entire substrate cannot dissipate heat to lower temperature regions at elevated temperatures. In fact, since the superimposed RTP graph results in a nearly flat surface at 1100 ° C. extending for about 1 second, it cannot be compared with RTP at the time scale shown in FIG. 5. One second is 400 times larger than the time shown in FIG.

그러므로 종래 기술의 프로세스와 달리, 본 발명은 기판의 표면을 소정의 전력 밀도에서 소정 시간(약 1밀리초) 동안 가열하여, 기판의 표면이 바람직하게 500℃ 이하의 주변 온도로부터 바람직하게 700℃ 이상의 프로세스 온도로 가열된다. 동시에, 표면으로부터 소정 깊이에서의 온도(TD)는 주변 온도와 (프로세스 온도-주변 온도)/2의 합한 값 미만으로 유지된다. 즉, TD≤ TA+ (TP- TA)/2이다. 이러한 소정 깊이는 관심 깊이의 10배, 즉 Si의 소자 구조물의 최대 폭의 10배이다. 일반적인 Si 기판에서, 소자 구조물의 최대 폭은 약 3미크론이다.Therefore, unlike the prior art process, the present invention heats the surface of the substrate at a predetermined power density for a predetermined time (about 1 millisecond) so that the surface of the substrate is preferably from an ambient temperature of 500 ° C. or less, preferably 700 ° C. or more. Heated to process temperature. At the same time, the temperature T D at a predetermined depth from the surface is kept below the sum of the ambient temperature and (process temperature-ambient temperature) / 2. That is, T D ≤ T A + (T P -T A ) / 2. This predetermined depth is 10 times the depth of interest, ie 10 times the maximum width of the device structure of Si. In a typical Si substrate, the maximum width of the device structure is about 3 microns.

기판 벌크로의 이러한 열 전달은 열이 국부적으로 강한 열 흡수 영역으로부터 낮은 열 흡수 영역으로 확산하기에 충분한 시간을 가지기 때문에 균일한 열 노출을 개선시킨다. 또한, 패턴 밀도 효과는 RTP와 비교할만하다. 그러나, 시간 스케일은 RTP의 경우처럼 수백 미크론 두께의 기판과 반대로 열 전달의 확산 깊이를 수미크론으로 제한하기에 충분히 짧아서, 전체 요구된 전력을 상당히 감소시킨다. 기판 벌크는 많이 가열되지는 않아서, 온도 램프 다운을 위한 이상적인 열 싱크를 제공한다.This heat transfer to the substrate bulk improves uniform heat exposure since heat has enough time to diffuse from the locally strong heat absorbing region to the low heat absorbing region. Also, the pattern density effect is comparable with RTP. However, the time scale is short enough to limit the diffusion depth of heat transfer to several microns as opposed to a few hundred micron thick substrate as in the case of RTP, significantly reducing the overall required power. The substrate bulk is not heated much, providing an ideal heat sink for temperature ramp down.

종래 레이저 어닐링 시스템의 관심사는 매우 작은 영역의 기판을 급속하게가열함으로써 야기되는 응력 관련 결함에 관한 것이다. 그러므로, 본 발명의 열 플럭스 프로세싱이 기판 내에 소정의 응력 관련 결함을 야기하는가를 시험했다. 피크 응력은 최대 온도에서가 아닌 최대 온도 구배에서 발생한다. 방사선이 적절히 좁고 가열 폭이 적절히 얕다면, 최고 온도의 영역으로부터 최고 열 구배 영역을 대체할 수 있어서, 슬립 윈도우(slip window)를 증가시키고 결함을 감소시킬 수 있다. 상기 실험 중에, 샘플은 피크 전력 밀도가 60kW/㎠인 상태에서 400미크론 폭의 방사선 하에서 20cm/초로 스캔되었다. 본 발명은 피크 온도로부터 피크 열적 구배를 대체할 수 있어서, 소정의 디스로케이션을 도입함이 없이 1keV 보론 임플란트로 70nm 노드용으로 적절한 USJ(Ultra Shallow Junction) 형성을 가능케 한다. 일반적인 임플란트 관련 결함만이 관찰되었다.A concern of conventional laser annealing systems relates to stress related defects caused by rapid heating of very small areas of the substrate. Therefore, it was tested whether the thermal flux processing of the present invention caused certain stress related defects in the substrate. Peak stress occurs at the maximum temperature gradient, not at the maximum temperature. If the radiation is adequately narrow and the heating width is adequately shallow, it is possible to replace the highest thermal gradient region from the region of highest temperature, thereby increasing the slip window and reducing defects. During the experiment, samples were scanned at 20 cm / sec under 400 micron wide radiation with a peak power density of 60 kW / cm 2. The present invention can replace the peak thermal gradient from the peak temperature, allowing the formation of a suitable Ultra Shallow Junction (USJ) for 70 nm nodes with 1 keV boron implants without introducing any dislocations. Only general implant related defects were observed.

도 6은 본 발명의 또다른 실시예에 따라 기판 상에 층을 증착시키는 장치(600)의 개략적인 측면도이다. 장치(600)는 도 2a 및 도 2b에 도시된 장치(200)와, 도 3에 도시된 장치(300)와 유사하다. 동일한 도면 부호를 갖는 부품은 도 2a 및 도 2b에 도시된 것과 같다. 게다가, 장치(600)는 CVD, ALD, 등과 같은 증착 프로세스를 수행하는데 사용될 수도 있다.6 is a schematic side view of an apparatus 600 for depositing a layer on a substrate in accordance with another embodiment of the present invention. The device 600 is similar to the device 200 shown in FIGS. 2A and 2B and the device 300 shown in FIG. 3. Components having the same reference numerals are as shown in Figs. 2A and 2B. In addition, the apparatus 600 may be used to perform a deposition process, such as CVD, ALD, or the like.

도 2a 및 도 2b와 관련하여 전술된 부품 외에, 장치(600)는 많은 부품이 수용되는 반응 챔버(602)를 도시한다. 하나 이상의 주입기(604)가 하나 이상의 가스(616)를 반응 챔버(602) 내에 유입 또는 주입시키기 위해 사용된다. 가스 주입기(604)는 바람직하게 덕트(610)에 의해 가스 다기관(606) 내에 있는 하나 이상의 가스 유입구(608)에 유동적으로 결합된 하나 이상의 가스 소오스(612(1)-612(N))를포함한다. 가스 주입기(604)는 반응 챔버(602) 내의 적절한 위치에 위치될 수도 있다. 예를 들어, 가스는 반응 챔버의 측부에서 주입되고 방사선과 기판의 표면 사이의 상호 이동 방향에 수직하게 기판의 표면을 가로질러 유동하거나, 도시된 것처럼 기판 위에서부터 주입될 수도 있다.In addition to the components described above in connection with FIGS. 2A and 2B, the apparatus 600 shows a reaction chamber 602 in which many components are accommodated. One or more injectors 604 are used to introduce or inject one or more gases 616 into the reaction chamber 602. Gas injector 604 preferably includes one or more gas sources 612 (1) -612 (N) fluidly coupled to one or more gas inlets 608 in the gas manifold 606 by a duct 610. do. The gas injector 604 may be located at an appropriate location in the reaction chamber 602. For example, gas may be injected at the side of the reaction chamber and flow across the surface of the substrate perpendicular to the direction of mutual movement between the radiation and the surface of the substrate, or may be injected from above the substrate as shown.

도 6에 도시된 실시예에서, 연속파 전자기 방사선은 콜리메이터에 의해 콜리메이트되어, 프리즘(306)에 의해 기판을 향해 재배향되고 렌즈(210)에 의해 방사선으로 집중된다. 그러나, 포커싱 광(220)이 전술한 것처럼 에너지선을 기판(214)의 상부 표면(224) 상에 집중시킬 수 있는 소정의 적절한 포커싱 광을 포함할 수도 있음을 인식해야 한다. 또한, 포커싱 광이 챔버 외부에 위치되어, 방사선이 투명 윈도우를 통해 챔버 내로 통과할 수도 있음을 인식해야 한다. 또한, 챔버 및/또는 가스 소오스가 소정의 적절한 형태 및/또는 구조를 가질 수도 있다.In the embodiment shown in FIG. 6, the continuous wave electromagnetic radiation is collimated by the collimator, redirected towards the substrate by the prism 306 and concentrated into the radiation by the lens 210. However, it should be appreciated that the focusing light 220 may include any suitable focusing light capable of focusing energy rays on the top surface 224 of the substrate 214 as described above. It should also be appreciated that focusing light may be located outside the chamber such that radiation may pass through the transparent window into the chamber. In addition, the chamber and / or gas source may have any suitable form and / or structure.

도 7은 도 6에 도시된 본 발명의 실시예에 따라 기판 상에 하나 이상의 층을 증착시키는 방법의 흐름도(700)이다. 기판(214, 도 6)은 단계(702)에서 반응 챔버(602, 도 6) 내에 위치된다. 층(614) 내에 요구된 원자 또는 분자를 함유하는 암모니아(NH3) 및 디클로로실란(DCS)과 같은 하나 이상의 가스(616, 도 6)가 단계(704)에서 기판(214, 도 6)을 포함하는 반응 챔버(602, 도 6) 내에 유입된다.FIG. 7 is a flowchart 700 of a method of depositing one or more layers on a substrate in accordance with the embodiment of the present invention shown in FIG. 6. The substrate 214 (FIG. 6) is located in the reaction chamber 602 (FIG. 6) at step 702. One or more gases 616 (FIG. 6), such as ammonia (NH 3 ) and dichlorosilane (DCS), containing the required atoms or molecules in layer 614 include substrate 214 (FIG. 6) in step 704. Flows into the reaction chamber 602 (FIG. 6).

후술하는 것처럼 방사선(222, 도 6)의 이동을 위한 소정의 속도는 단계(706)에서 결정된다. 상기 소정 속도는 기판을 프로세싱하기 위한 열적 프로세싱, 기판의 특성, 연속파 전자기 방사의 전력, 방사선의 폭, 방사선에서의 전력 밀도 등과같은 많은 인자에 기초한다. 바람직한 실시예에서, 상기 소정 속도는 약 2cm/초이다.The predetermined velocity for the movement of the radiation 222 (FIG. 6) is determined in step 706 as described below. The predetermined speed is based on many factors such as thermal processing for processing the substrate, characteristics of the substrate, power of continuous wave electromagnetic radiation, width of radiation, power density in radiation, and the like. In a preferred embodiment, the predetermined speed is about 2 cm / sec.

연속파 전자기 방사선은 그 후 전술한 것처럼 단계(708)에서 연속파 전자기 방사선 소오스(202, 도 6)로부터 방출된다. 연속파 전자기 방사선은 바람직하게 단계(710)에서 콜리메이터(206, 도 6)에 의해 콜리메이터된다.The continuous wave electromagnetic radiation is then emitted from the continuous wave electromagnetic radiation source 202 (FIG. 6) in step 708, as described above. The continuous wave electromagnetic radiation is preferably collimated by the collimator 206 (FIG. 6) in step 710.

연속파 전자기 방사선은 후속적으로 단계(712)에서 기판의 상부 표면(224, 도 6)을 가로질러 연장하는 하나의 방사선(222, 도 6)으로 집중된다. 바람직한 실시예에서, 방사선의 폭(228, 도 6)은 약 35미크론이다. 방사선은 그 후 단계(714)에서 상기에서 결정된 소정의 일정 속도로 표면에 대해 이동된다. 상기 이동은 제어기(226, 도 6)의 제어 하에서 이동 메카니즘(218, 도 6)에 의해 수행된다.Continuous wave electromagnetic radiation is subsequently concentrated at step 712 into one radiation 222 (FIG. 6) that extends across the top surface 224 (FIG. 6) of the substrate. In a preferred embodiment, the width 228 of FIG. 6 is about 35 microns. The radiation is then moved relative to the surface at the predetermined constant speed determined above in step 714. The movement is performed by the movement mechanism 218 (FIG. 6) under the control of the controller 226 (FIG. 6).

유입된 가스(616, 도 6)와 방사선에 의해 발생된 열의 조합으로 하나 이상의 가스(616)가 반응하여 기판의 표면 상에 층(614, 도 6)을 증착시킨다. 상기 반응은 가스들 사이의 화학 반응, 하나 이상의 가스의 분해, 등일 수도 있다. 바람직하지 않은 반응 부산물은 단계(716)에서 반응 챔버로부터 플러쉬된다.The combination of the introduced gas 616 (FIG. 6) and the heat generated by the radiation reacts with one or more gases 616 to deposit a layer 614 (FIG. 6) on the surface of the substrate. The reaction may be a chemical reaction between gases, decomposition of one or more gases, and the like. Undesired reaction byproducts are flushed from the reaction chamber in step 716.

상기 프로세스는 소정 두께를 갖는 층(614, 도 6)이 기판(214, 도 6)의 상부 표면(224, 도 6) 상에 형성될 때까지 반복된다. 다중 스캔이 필름/층을 형성하기 위해 요구되기 때문에, 소정의 스캔 속도는 바람직하게 전술한 열적 플럭스 어닐링에 요구되는 속도보다 빠르다. 일반적으로, 각각의 증착된 층은 8-10옹스트롱 범위이다. 요구된 필름/층은 플래쉬 메모리에 사용되는 터널 옥사이드에 대한 20옹스트롱으로부터 스페이서 분야에 대한 1500옹스트롱까지 변한다. 그러므로, 바람직한 스캔 속도는 일반적으로 수 cm/초에서 약 1m/초 범위이다. 바람직한 방사선 폭(228, 도 6)은 전술한 것과 동일하다.The process is repeated until a layer 614 (FIG. 6) with a predetermined thickness is formed on the top surface 224 (FIG. 6) of the substrate 214 (FIG. 6). Since multiple scans are required to form the film / layer, the desired scan rate is preferably faster than the rate required for thermal flux annealing described above. Generally, each deposited layer is in the range of 8-10 Angstroms. The required film / layer varies from 20 angstroms for tunnel oxide used in flash memory to 1500 angstroms for spacer applications. Therefore, preferred scan speeds generally range from a few cm / sec to about 1 m / sec. Preferred radiation width 228 (FIG. 6) is the same as described above.

화학 반응은 연속파 전자기 방사 또는 방사선을 조절함에 의한 기판 표면의 온도; 반응 챔버에 유입되는 가스의 양 및/또는 비율; 및 반응 챔버 내의 압력을 제어함으로써 제어된다.Chemical reactions include the temperature of a substrate surface by controlling continuous wave electromagnetic radiation or radiation; The amount and / or ratio of gas entering the reaction chamber; And by controlling the pressure in the reaction chamber.

전술한 방법은 기판 표면을 1밀리초 이하 동안 상당한 온도로 가열할 수 있다. 게다가, 표면 바로 부근에 있는 가스가 방사선에 의해 가열되기 때문에, 가스들의 반응은 표면에서 또는 표면 부근에서만 일어난다. 방사선이 계속 이동하여 단지 표면 바로 부근에 있는 가스만이 반응하게 되므로 가열은 매우 간단하다. 표면에서 벗어난 가스는 고온으로 되지 않기 때문에, 바람직하지 않은 가스상 반응은 방지된다. 이로 인해 다중 가스들이 기판 표면에서 벗어나 바람직하지 않은 가스상 반응을 야기함이 없이 동시에 주입될 수 있다.The method described above can heat the substrate surface to significant temperatures for less than one millisecond. In addition, since the gas in the immediate vicinity of the surface is heated by radiation, the reaction of the gases takes place only at or near the surface. The heating is very simple because the radiation continues to move and only the gas which is just near the surface reacts. Since the gas off the surface does not become high temperature, undesirable gas phase reactions are prevented. This allows multiple gases to be injected simultaneously without leaving the substrate surface and causing undesirable gas phase reactions.

바람직한 실시예에서, 전술한 방법은 수 토르 내지 대기압 이상의 압력에서 수행되며, 대기압이 바람직하다. 도 8은 짧은 시간 스케일로 이러한 압력에서 반응물의 충분한 분해가 발생할 수 있음을 나타내는 시뮬레이션 결과를 도시한다. 또한, 바람직한 실시예에서 방사선의 온도는 증착되는 필름/층에 의존하지만, 일반적으로 600 내지 900℃ 범위이다.In a preferred embodiment, the process described above is carried out at pressures of several torr or more, with atmospheric pressure being preferred. 8 shows simulation results showing that sufficient decomposition of the reactants can occur at this pressure on a short time scale. In addition, in the preferred embodiment the temperature of radiation depends on the film / layer deposited, but is generally in the range of 600 to 900 ° C.

도 8은 도 6에 도시된 본 발명의 실시예에 따라 850℃ 및 740토르에서 실란 분해를 위한 몬테 카를로 시뮬레이션 결과의 그래프(800)이다. 보다 낮은 압력에서의 이러한 시뮬레이션은 본원에 참조된 Meyerson, Scott 및 Tsui, Chemtronics1(1986) 150에 공개된 결정적 모델을 모방한다.FIG. 8 is a graph 800 of Monte Carlo simulation results for silane decomposition at 850 ° C. and 740 torr in accordance with an embodiment of the invention shown in FIG. 6. This simulation at lower pressures mimics the deterministic model published in Meyerson, Scott and Tsui, Chemtronics 1 (1986) 150, referenced herein.

그래프(800)는 일반적으로 CVD 가스인 디클로로실란(DCS)과 같은 실란이 기판 표면 상에 증착을 위해 요구된 분자로 분해됨을 도시한다. 분해는 거의 대기압인 740토르 및 850℃의 온도에서 발생한다. 상기 온도와 압력에서 분해가 발생하는 전체 시간은 약 6 ×10-4초이다. 종래기술의 방법은 이러한 짧은 시간 내에 이러한 높은 온도를 달성할 수 없고, 반응이 발생하는데 충분한 시간을 제공해야 하기 때문에, 상기 온도와 스캔 속도는 본 발명에 의해서만 제공될 수 있다.Graph 800 shows that silane, such as dichlorosilane (DCS), which is generally a CVD gas, decomposes into the molecules required for deposition on the substrate surface. Decomposition takes place at temperatures near 740 torr and 850 ° C., almost atmospheric pressure. The total time for decomposition to occur at this temperature and pressure is about 6 x 10 -4 seconds. Since the prior art methods cannot achieve this high temperature within such a short time and must provide sufficient time for the reaction to occur, the temperature and scan rate can only be provided by the present invention.

기판 상에 층을 증착시키기 위한 전술한 장치 및 방법은 많은 장점을 갖는다. 예를 들어, 상승된 온도에서 짧은 시간 소모되기 때문에 프로세스의 열적 부담이 작다.The apparatus and method described above for depositing a layer on a substrate has many advantages. For example, the thermal burden on the process is small because of the short time spent at elevated temperatures.

게다가, 방사선은 열을 기판의 표면에만 적용시키기 때문에, 가스들의 반응은 표면에서만 일어난다. 이는 가스상 이동 제한에 감소를 야기한다. 이는 또한 표면에서 벗어난 가스상 반응의 감소를 야기하여, 기판 표면 상에 바람직하지 않은 입자 형성을 방지한다. 게다가, 상기 방법은 대기압에서 수행될 수 있어서, 실란과 같은 반응물의 신속한 분해를 야기함으로써, 높은 증착 속도를 가능케 한다.In addition, since the radiation applies heat only to the surface of the substrate, the reaction of the gases occurs only at the surface. This causes a reduction in gas phase movement limitation. This also causes a reduction in off-gassing gas phase reactions, which prevents undesirable particle formation on the substrate surface. In addition, the process can be carried out at atmospheric pressure, resulting in rapid decomposition of reactants such as silanes, thereby enabling high deposition rates.

도 9a는 본 발명의 또다른 실시예에 따라 기판을 열적으로 프로세싱하는 또다른 장치(900)의 측면도이다. 장치(900)는 도 2a 및 도 2b에 도시된 장치(200), 도 3에 도시된 장치(300), 및 도 6에 도시된 장치(600)와 유사하다. 후술하는 소정 차이점을 제외하고는 유사한 부품들은 유사하다.9A is a side view of another apparatus 900 for thermally processing a substrate in accordance with another embodiment of the present invention. Device 900 is similar to device 200 shown in FIGS. 2A and 2B, device 300 shown in FIG. 3, and device 600 shown in FIG. 6. Similar parts are similar except for some differences described below.

장치(900)는 연속파 전자기 방사 모듈(902), 그 위에 기판(906)을 수용하도록 구성된 스테이지(904), 및 스테이지(904)와 연속파 전자기 방사 모듈(902)을 서로에 대해 이동시키는 이동 메카니즘(도시 않음)을 포함한다. 연속파 전자기 방사 모듈(902)은 바람직하게 하나 이상의 연속파 전자기 방사선 소오스(908(A+B))와 기판(906)과 연속파 전자기 방사선 소오스(908(A+B)) 사이에 배치된 광(910(A+B))을 포함한다. 전술한 것처럼, 기판(906)은 박막 트랜지스터(TFT)를 제조하는데 사용되는 실리콘 층을 상부에 갖는 유리 또는 석영 기판, 실리콘 게르마늄 또는 그 합금, 절연체 상의 실리콘(SOI), 단결정 실리콘 기판 등과 같은 소정의 적절한 기판이다.Apparatus 900 includes a continuous wave electromagnetic radiation module 902, a stage 904 configured to receive a substrate 906 thereon, and a movement mechanism for moving the stage 904 and continuous wave electromagnetic radiation module 902 relative to each other. Not shown). The continuous wave electromagnetic radiation module 902 preferably includes light 910 disposed between one or more continuous wave electromagnetic radiation sources 908 (A + B) and the substrate 906 and continuous wave electromagnetic radiation sources 908 (A + B). A + B)). As mentioned above, the substrate 906 may be any substrate, such as a glass or quartz substrate having a silicon layer thereon used to fabricate a thin film transistor (TFT), silicon germanium or an alloy thereof, silicon on insulator (SOI), a single crystal silicon substrate, or the like. It is a suitable substrate.

연속파 전자기 방사선 소오스(908(A+B))는 도 2a와 관련하여 설명된 연속파 전자기 방사선 소오스(202)와 유사하다. 바람직한 실시예에서, 연속파 전자기 방사선 소오스(908(A+B))는 광(910(A+B))에 의해 하나의 방사선으로 집중된 9kW 이하의 방사선을 폭이 30미크론이고 길이가 300mm 이상인 기판의 표면 상에 제공한다. 또한 바람직한 실시예에서, 연속파 전자기 방사선 소오스(908(A+B))는 장치(900)의 일 측부에 15개의 레이저 다이오드 모듈(908(A))과 장치(900)의 타 측부에 16개의 레이저 다이오드 모듈(908(B))을 포함한다. 레이저 다이오드 모듈(908(A))은 도 9b에 도시된 것처럼 레이저 다이오드 모듈(908(B))에 대해 서로 엇갈리게 배열되고, 즉 레이저 다이오드 모듈(908(A))로부터 방출된 방사선은 레이저 다이오드 모듈(908(B))로부터 방출된 방사선과 서로 맞물리게 된다. 또한 바람직한 실시예에서, 대향하는 레이저 다이오드 모듈의 각각의 세트는 하나 이상의 전력 소오스(916)에 전기적으로 연결된다. 대안적으로, 각각의 단일 레이저 다이오드 모듈, 또는 레이저 다이오드 모듈의 조합은 하나 이상의 전력 소오스에 이해 가동될 수도 있다. 전력 소오스(916)는 컴퓨터 시스템(914)에 전기적으로 연결된다.The continuous wave electromagnetic radiation source 908 (A + B) is similar to the continuous wave electromagnetic radiation source 202 described in connection with FIG. 2A. In a preferred embodiment, the continuous wave electromagnetic radiation source 908 (A + B) is comprised of a substrate having a width of 30 microns and a length of at least 300 mm that is no greater than 9 kW of radiation concentrated by a light 910 (A + B) into one radiation. On the surface. Also in a preferred embodiment, the continuous wave electromagnetic radiation source 908 (A + B) comprises 15 laser diode modules 908 (A) on one side of the device 900 and 16 lasers on the other side of the device 900. Diode module 908 (B). The laser diode modules 908 (A) are arranged staggered with respect to the laser diode module 908 (B) as shown in FIG. 9B, that is, the radiation emitted from the laser diode module 908 (A) is laser diode module. Interlocked with radiation emitted from 908 (B). Also in a preferred embodiment, each set of opposing laser diode modules is electrically connected to one or more power sources 916. Alternatively, each single laser diode module, or combination of laser diode modules, may be operated on one or more power sources. The power source 916 is electrically connected to the computer system 914.

바람직한 실시예에서, 물과 같은 냉각 유체는 본 발명이 속하는 기술분야에서 공지된 바와 같이 연속파 전자기 방사선 소오스(908(A+B))를 냉각시키기 위해 연속파 전자기 방사선 소오스 내에서 순환된다.In a preferred embodiment, a cooling fluid such as water is circulated within the continuous wave electromagnetic radiation source to cool the continuous wave electromagnetic radiation source 908 (A + B) as is known in the art.

광(910(A+B))은 전술한 포커싱 광과 유사한 포커싱 광(910(A))과 인터리브 콤바이너(910B))를 포함한다. 인터리브 콤바이너(910(B))는 도 10과 관련하여 후술되고, 포커싱 광(910(A))은 도 11과 관련하여 후술된다.Light 910 (A + B) includes a focusing light 910 (A) and an interleaved combiner 910B similar to the focusing light described above. The interleaved combiner 910 (B) is described below with respect to FIG. 10, and the focusing light 910 (A) is described below with respect to FIG. 11.

장치(900)는 또한 바람직하게 도 11과 관련하여 후술되는 것처럼 컴퓨터 시스템(914)에 연결된 탐지 모듈(912(A+B+C))을 포함한다.Apparatus 900 also preferably includes detection module 912 (A + B + C) coupled to computer system 914 as described below in connection with FIG.

컴퓨터 시스템(914)은 도 13과 관련하여 후술되는 방법을 수행하는 명령어 및/또는 과정을 포함한다.Computer system 914 includes instructions and / or procedures to perform the methods described below in connection with FIG. 13.

도 9c는 본 발명의 또다른 실시예에 따라 기판(962)을 열적으로 프로세싱하는 또다른 장치(950)의 후면도이다. 상기 실시예에서, 연속파 전자기 방사선은 기판(962)의 전체 폭을 가로질러 연장하지 않고, 오히려 기판의 지름 또는 폭을 가로질러 단지 부분적으로 연장한다. 즉, 연속파 전자기 방사선은 기판의 지름 또는 폭(968) 보다 작은 길이(960)를 갖는다.9C is a back view of another apparatus 950 for thermally processing substrate 962 in accordance with another embodiment of the present invention. In this embodiment, the continuous wave electromagnetic radiation does not extend across the entire width of the substrate 962, but rather only partially extends across the diameter or width of the substrate. That is, the continuous wave electromagnetic radiation has a length 960 smaller than the diameter or width 968 of the substrate.

사용 중에, 연속파 전자기 방사선은 바람직하게 기판 표면을 가로질러 하나 이상의 스캔을 형성한다. 각각의 연속적인 스캔은 바람직하게 이미 스캔된 영역과겹쳐, 방사선의 길이를 따라 열적 노출 균일성이 개선된다. 방사선 이동 메카니즘(966)은 방사선의 길이를 따라 연속파 전자기 방사선과 기판을 서로에 대해, 즉 방사선의 길이와 실질적으로 동일선상에 있고 스캔 방향에 실질적으로 수직하게 이동시키기 위해 사용된다. 이러한 오버랩은 RTP에서 사용되는 회전식 평균화와 유사한 방식으로 기판 상의 모든 지점의 열적 노출을 평균화한다.In use, the continuous wave electromagnetic radiation preferably forms one or more scans across the substrate surface. Each successive scan preferably overlaps an already scanned area, improving thermal exposure uniformity along the length of the radiation. The radiation movement mechanism 966 is used to move the continuous wave electromagnetic radiation and the substrate along the length of the radiation relative to each other, ie substantially collinear with the length of the radiation and substantially perpendicular to the scan direction. This overlap averages the thermal exposures of all points on the substrate in a manner similar to the rotary averaging used in RTP.

방사선 이동 메카니즘(966)은 바람직하게 연속파 전자기 방사선을 기판에 이동시키기 위해 연속파 전자기 방사 모듈(방사선 소오스(954) 및 렌즈(956))을 이동시킨다. 대안적으로, 스테이지(964)는 방사선에 대해 이동되거나, 방사선 및 스테이지가 서로에 대해 이동될 수도 있다.The radiation movement mechanism 966 preferably moves the continuous wave electromagnetic radiation module (the radiation source 954 and the lens 956) to move the continuous wave electromagnetic radiation to the substrate. Alternatively, stage 964 may be moved relative to radiation, or the radiation and stage may be moved relative to each other.

게다가, 연속파 전자기 방사선의 길이(960)가 단지 기판(962)의 직경 또는 폭을 가로질러 부분적으로 스캔하기 때문에, 이러한 실시예는 보다 작은 레이저 다이오드 모듈(966)을 요구한다. 예를 들어, 2개의 레이저 다이오드 모듈은 3개의 대향 레이저 다이오드 모듈(966) 사이에 인터리브될 수도 있다.In addition, this embodiment requires a smaller laser diode module 966 because the length 960 of continuous wave electromagnetic radiation only partially scans across the diameter or width of the substrate 962. For example, two laser diode modules may be interleaved between three opposing laser diode modules 966.

도 10은 도 9a 및 도 9b에 도시된 인터리브 콤바이너(910(B))의 개략적인 측면도이다. 인터리브 콤바이너(910(B))는 광(910(A+B))의 일부를 형성하고 후술하는 것처럼 방출된 연속파 전자기 방사선의 필 비를 개선하기 위해 사용된다. 바람직한 실시예에서, 인터리브 콤바이너(910(B))는 인터리빙 프리즘 조립체이다.FIG. 10 is a schematic side view of the interleaved combiner 910 (B) shown in FIGS. 9A and 9B. The interleaved combiner 910 (B) is used to form part of the light 910 (A + B) and to improve the fill ratio of the emitted continuous wave electromagnetic radiation as described below. In a preferred embodiment, the interleaved combiner 910 (B) is an interleaving prism assembly.

게다가, 장치(900, 도 9a 및 도 9b)의 바람직한 실시예는 각각의 레이저 다이오드 모듈(908(A) 및 908(B))의 신속한 축상 출력을 콜리메이트시키는 마이크로 렌즈(도시 않음)를 포함한다. 상기 바람직한 실시예에서, 각각의 레이저 다이오드모듈의 피치(1002)는 2.2mm이고, 신속 출상 콜리메이팅 마이크로 렌즈의 어퍼쳐(1004)는 0.9mm이다. 필 비는 연속파 전자기 방사 모듈의 전체 영역으로 나누어진 연속파 전자기 방사선에 노출된 영역이다. 그러므로, 예를 들어 렌즈 시스템이 길이 1cm와 폭이 900미크론인 비임 풋프린트를 제공하고 각각의 레이저 다이오드 모듈의 피치가 2.2mm라면 필 비는 900미크론/2.2mm 또는 41%, 즉 단지 연속파 전자기 방사 모듈의 방출 영역의 41%가 사실상 연속파 전자기 방사선을 방출하고, 레이저 모듈면 상의 공간 또는 영역 중 59%는 어둡다. 어두운 영역은 길이 1cm이고 폭이 1.3mm(2.2-0.9)이다. 이는 실질적으로 연속파 전자기 방사선이 존재하지 않는 빈 영역을 야기한다.In addition, the preferred embodiment of the apparatus 900 (FIGS. 9A and 9B) includes a microlens (not shown) that collates the rapid on-axis output of each laser diode module 908 (A) and 908 (B). . In this preferred embodiment, the pitch 1002 of each laser diode module is 2.2 mm, and the aperture 1004 of the fast emerging collimating micro lens is 0.9 mm. The fill ratio is the area exposed to continuous wave electromagnetic radiation divided into the entire area of the continuous wave electromagnetic radiation module. Thus, for example, if the lens system provides a beam footprint of 1 cm in length and 900 microns in width and the pitch of each laser diode module is 2.2 mm, the fill ratio is 900 microns / 2.2 mm or 41%, i.e. only continuous wave electromagnetic radiation. 41% of the emitting area of the module actually emits continuous wave electromagnetic radiation and 59% of the space or area on the laser module surface is dark. The dark areas are 1 cm long and 1.3 mm (2.2-0.9) wide. This results in an empty area where substantially no continuous wave electromagnetic radiation is present.

광학적 성능을 개선하기 위해, 필 비가 인터리브 콤바이너(910(B))에 의해 증가됨으로써, 보다 작은 후속적인 일련의 렌즈(910(A+B), 도 9a 및 도 9b)를 요구한다. 바람직한 실시예에서, 인터리브 콤바이너(910(B))는 필 비를 2배가 되게 한다. 예를 들어, 제 4 및 제 5 레이저 다이오드 모듈로부터의 연속파 전자기 방사 출력은 도 10에 도시된 것처럼 제 2 및 제 3 레이저 다이오드 모듈로부터 방출된 연속파 전자기 방사선 사이에 인터리브된다. 따라서, 전력 출력은 3개의 레이저 다이오드 바아의 영역 내에 압축된 5개의 레이저 다이오드 바아의 출력이다. 이는 후속적인 비임 팽창 및 포커싱을 용이하게 하여 적절히 높은 전력 밀도가 달성될 수 있다.To improve the optical performance, the fill is increased by the interleaved combiner 910 (B), requiring a smaller subsequent series of lenses 910 (A + B), FIGS. 9A and 9B. In a preferred embodiment, the interleaved combiner 910 (B) doubles the fill ratio. For example, continuous wave electromagnetic radiation output from the fourth and fifth laser diode modules is interleaved between continuous wave electromagnetic radiation emitted from the second and third laser diode modules as shown in FIG. 10. Thus, the power output is the output of five laser diode bars compressed in the area of three laser diode bars. This facilitates subsequent beam expansion and focusing so that a moderately high power density can be achieved.

바람직한 실시예에서 인터리브 콤바이너(910(B))는 연속파 전자기 방사선 파장에서 향상된 반사를 위해 BK7 또는 용융된 실리카와 같은 적절한 광학 유리 상에다층 유전체 미러를 사용한다.In a preferred embodiment the interleaved combiner 910 (B) uses a multilayer dielectric mirror on a suitable optical glass such as BK7 or fused silica for enhanced reflection at continuous wave electromagnetic radiation wavelengths.

도 11은 포커싱 광(910(A))과 탐지 모듈(912(A+B+C))의 보다 상세한 횡단면도이다. 포커싱 광(910(A))의 목적은 연속파 전자기 방사선 소오스(908(A+B), 도 9a 및 도 9b)로부터 방출된 연속파 전자기 방사선을 기판(906)의 표면 상에 하나의 연속파 방사선으로 집중시키는 것이다. 바람직한 실시예에서, 포커싱 광(910(A))은 A-G로 라벨이 붙은 일련의 7개의 렌즈를 포함한다. 모든 렌즈(A-G)는 바람직하게 구형상 또는 플라노(Plano) 형을 갖는 원통 렌즈이다. 이러한 구형상을 갖는 원통 렌즈는 비구형상을 갖는 원통 렌즈와 비교하여 제조의 용이성 및 낮은 비용 때문에 선택된다. 그러나, 대안적인 실시예에서 보다 적은 비구형상 렌즈 또는 비구형상을 갖는 원통 렌즈가 도시된 구형상 또는 플라노 형을 갖는 7개의 원통 렌즈를 대체할 수 있다. 게다가, 연속파 전자기 방사선을 포커싱하는 외에, 전체 원통형 렌즈는 소정의 광학적 수차를 상당히 감소시킨다.11 is a more detailed cross sectional view of the focusing light 910 (A) and detection module 912 (A + B + C). The purpose of the focusing light 910 (A) is to concentrate the continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source 908 (A + B), FIGS. 9A and 9B into one continuous wave radiation on the surface of the substrate 906. It is to let. In a preferred embodiment, the focusing light 910 (A) comprises a series of seven lenses labeled A-G. All lenses A-G are preferably cylindrical lenses having a spherical or Plano type. Cylindrical lenses with this spherical shape are selected because of their ease of manufacture and low cost compared to cylindrical lenses with aspherical shape. However, in alternative embodiments a less aspherical lens or a cylindrical lens with aspherical shape may be substituted for the seven cylindrical lenses with spherical or plano type shown. Moreover, in addition to focusing continuous wave electromagnetic radiation, the entire cylindrical lens significantly reduces certain optical aberrations.

또한, 바람직한 실시예에서, 렌즈(A)는 광학적으로 편평한 입구측과 원통형 출구측을 실질적으로 갖는 확대 렌즈이다. 확대 렌즈는 나머지 포커싱 렌즈(B-G)에 의한 후속적인 포키싱을 위해 인터리브 콤바이너(910(B), 도 9a 및 도 9b)에 의해 집중된 연속파 전자기 방사선을 팽창시키기 위해 사용된다. 예를 들어, 바람직한 실시예에서, 연속파 전자기 방사선 비임은 20mm 폭으로 확대되고 신속 축선 수렴은 0.1°이하로 감소된다. 감소된 수렴으로 인해 보다 좁은 방사선 폭을 달성할 수 있다. 게다가, 보다 넓은 비임으로 0.4의 조리개수에 대한 허용가능한 작동 거리를 달성할 수 있다. 나머지 렌즈(B-G)에 의해 집중되면 최종 비임은 기판(906)의 표면에서 약 30미크론 폭이다.Also in a preferred embodiment, the lens A is an magnifying lens having a substantially optically inlet side and a cylindrical outlet side. The magnifying lens is used to inflate the continuous wave electromagnetic radiation concentrated by the interleaved combiner 910 (B), FIGS. 9A and 9B for subsequent focusing by the remaining focusing lens B-G. For example, in a preferred embodiment, the continuous wave electromagnetic radiation beam is expanded to 20 mm wide and the rapid axis convergence is reduced to less than 0.1 degrees. Narrower radiation widths can be achieved due to reduced convergence. In addition, a wider beam can achieve an acceptable operating distance for an aperture of 0.4. When focused by the remaining lens B-G, the final beam is about 30 microns wide at the surface of the substrate 906.

최종 렌즈(G)는 바람직하게 실질적으로 대향하며 광학적으로 평탄한 입구측과 출구측을 가지며, 단지 렌즈 환경으로부터 웨이퍼 환경을 고립시키기 위한 석영 윈도우로서 작용한다. 이는 또한 포커스를 방사선 소오스로부터 어느정도 벗어나게 이동시킨다.The final lens G preferably has substantially opposite and optically flat inlet and outlet sides and serves only as a quartz window for isolating the wafer environment from the lens environment. It also moves the focus somewhat out of the radiation source.

바람직한 실시예에서, 윈도우로부터 기판까지의 거리는 약 8mm이다. 또한 바람직한 실시예에서, 렌즈(A-G)는 다음의 규정 데이타를 갖는다.In a preferred embodiment, the distance from the window to the substrate is about 8 mm. Also in a preferred embodiment, the lens A-G has the following prescribed data.

입구 비임 반경 = 2.750000; 필드 각도 = 0.250000; 주 파장 = 810nm, 여기서 반경과 두께는 밀리미터 단위이다.Inlet beam radius = 2.750000; Field angle = 0.250000; Principal wavelength = 810 nm, where radius and thickness are in millimeters.

표면surface 반경Radius 두께thickness 어퍼쳐 반경Aperture radius 재료material 소오스Source 0.0000000.000000 1.0000e+201.0000e + 20 4.3634e+174.3634e + 17 공기air A입구 A entrance 0.0000000.000000 3.0000003.000000 4.0000004.000000 XX BK7BK7 A출구 A exit 7.0000007.000000 28.00000028.000000 3.0000003.000000 XX 공기air B입구 B entrance 0.0000000.000000 5.0000005.000000 12.50000012.500000 XX BK7BK7 B출구 B exit -23.000000-23.000000 0.0000000.000000 12.50000012.500000 XX 공기air C입구 C entrance 74.10000074.100000 5.0000005.000000 12.50000012.500000 AXAX BK7BK7 C출구 Exit C 0.0000000.000000 0.0000000.000000 12.50000012.500000 XX 공기air D입구 D entrance 41.00000041.000000 5.0000005.000000 12.50000012.500000 XX BK7BK7 D출구 D exit 119.000000119.000000 0.0000000.000000 12.500000012.5000000 XX 공기air E입구 E entrance 26.50000026.500000 5.0000005.000000 10.00000010.000000 XX BK7BK7 E출구 E exit 44.50000044.500000 0.0000000.000000 10.00000010.000000 XX 공기air F입구 F entrance 12.00000012.000000 5.0000005.000000 8.0000008.000000 XX BK7BK7 F출구 F exit 22.80000022.800000 3.0000003.000000 8.0000008.000000 XX 공기air G입구 G inlet 0.0000000.000000 4.0000004.000000 10.00000010.000000 XX 석영quartz G출구 G exit 0.0000000.000000 0.0000000.000000 3.2841513.284151 SXSX 공기air 기판Board 0.0000000.000000 8.4200008.420000 0.1142720.114272 SS

"표면"은 렌즈의 표면을 지칭하며, "입구"는 렌즈의 입구 표면을 지칭하고 "출구"는 렌즈의 출구 표면을 지칭한다. 재료는 렌즈가 제조되는 재료를 지칭한다. "X", "AX" 및 "SX" 데이타는 직사각형 또는 타원형인 어퍼쳐의 형상을 지칭하며,여기서 "X"는 특정 어퍼쳐 데이타를 의미하고, "S"는 특정되기 보다는 계산된 컬럼 내의 어퍼쳐 반경을 의미하며, "A"는 어퍼쳐 스톱, 기본적으로 선이 통과할 수 있어야 하는 윈도우를 의미한다. 예를 들어, 렌즈(A, 도 11)의 입구 표면 "AENTRY"은 0mm의 반경, 즉 평탄하고, 3mm의 두께, 4mm의 어퍼쳐 반경을 가지고, 직사각형 형상을 가지며, BK7유리로 제조된다. 상기 챠트는 Sinclair Optic's OSLO(등록 상표) 선 트레이싱 소프트웨어를 이용하여 형성되었다."Surface" refers to the surface of the lens, "inlet" refers to the entrance surface of the lens and "outlet" refers to the exit surface of the lens. Material refers to the material from which the lens is made. "X", "AX" and "SX" data refers to the shape of an aperture that is rectangular or elliptical, where "X" refers to specific aperture data, and "S" refers to the upper in the calculated column rather than specified "A" means aperture stop, basically a window through which a line must pass. For example, the entrance surface "AENTRY" of the lens A (Fig. 11) has a radius of 0 mm, i.e., a flat, 3 mm thick, 4 mm aperture aperture, a rectangular shape and is made of BK7 glass. The chart was formed using Sinclair Optic's OSLO line tracing software.

렌즈(A-G)는 바람직하게 프레임(1102)에 의해 포커싱 광(910(A)) 내의 위치에 유지된다. 바람직한 실시예에서, 프레임(1102)은 가공된 스테인레스 강으로 제조된다. 프레임(1102)은 또한 바람직하게 로버스트 시스템이 사용시에 렌즈를 정렬시키지 않고, 여기서 소정의 오정렬이 단지 기판 표면을 향해 또는 기판 표면으로부터 포커스 라인을 이동(또는 측면으로 이동)시킬 것을 보장하는 소정의 허용오차를 포함한다. 이러한 포커스의 이동은 도 14a 내지 도 14d와 관련하여 후술되는 것처럼 자동화된 포커싱 시스템에 의해 조절된다. 게다가, 바람직한 사용 중에, 세정 가스가 프레임 내로 펌프되고 렌즈를 냉각시키기 위해 가스 주입기(1104)를 통해 렌즈 사이의 공간(1108)으로 유입된다. 이러한 세정 가스는 바람직하게 상온(렌즈 상에 형성하는 응축을 방지하기 위해)에서 질소이다.Lens A-G is preferably held in position within focusing light 910 (A) by frame 1102. In a preferred embodiment, the frame 1102 is made of machined stainless steel. The frame 1102 also preferably does not allow the robust system to align the lens in use, where a certain misalignment is desired to ensure that the focus line only moves (or laterally) the focus line towards or away from the substrate surface. Include tolerances. This shift of focus is controlled by an automated focusing system as described below with respect to FIGS. 14A-14D. In addition, during preferred use, cleaning gas is pumped into the frame and flows through the gas injector 1104 into the space 1108 between the lenses to cool the lens. This cleaning gas is preferably nitrogen at room temperature (to prevent condensation forming on the lens).

탐지 모듈(912(A+B+C))은 바람직하게 하나 이상의 반사된 전력 탐지기(912(A)), 하나 이상의 방출된 전력 탐지기(912(B)), 및/또는 하나 이상의 선속분할기(912(C))를 포함한다. 방출된 전력 탐지기(912(B))는 연속파 전자기 방사선 소오스(908(A+B), 도 9a 및 도 9b)로부터 방출된 연속파 전자기 방사선의 일부를탐지하도록 구성되고, 반사된 전력 탐지기(912(A))는 기판(906)의 표면으로부터 반사된 연속파 전자기 방사선의 일부를 탐지하도록 구성된다. 방출된 전력 탐지기(912(B))는 연속파 전자기 방사선 소오스의 출력을 모니터하고, 반사된 전력 탐지기(912(A))는 기판에 의해 흡수된 에너지, 방출율, 반사율, 및/또는 기판의 온도를 탐지하는데 사용된다. 적절한 방출된 전력 탐지기(912(B))와 반사된 전력 탐지기(912(A))는 Hamamatsu에 의해 제조된다.Detection module 912 (A + B + C) preferably includes one or more reflected power detectors 912 (A), one or more emitted power detectors 912 (B), and / or one or more beam splitters 912. (C)). The emitted power detector 912 (B) is configured to detect a portion of the continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source 908 (A + B), FIGS. 9A and 9B, and the reflected power detector 912 ( A)) is configured to detect a portion of the continuous wave electromagnetic radiation reflected from the surface of the substrate 906. The emitted power detector 912 (B) monitors the output of the continuous wave electromagnetic radiation source, and the reflected power detector 912 (A) monitors the energy absorbed by the substrate, the emission rate, the reflectance, and / or the temperature of the substrate. Used to detect. Suitable emitted power detector 912 (B) and reflected power detector 912 (A) are manufactured by Hamamatsu.

선속분할기(912(C))는 선속분할기의 실질적으로 평탄한 제 1 표면으로 입사되는 방출된 연속파 전자기 방사선의 일부를 방출된 전력 탐지기(912(B))를 향해 반사시킴으로써 방출된 연속파 전자기 방사선의 일부를 샘플하도록 구성된다. 바람직한 실시예에서, 제 1 평탄 표면에 대향하는 선속분할기(912(C))의 평탄한 제 2 표면은 기판의 표면으로부터 반사된 연속파 전자기 방사선을 반사된 전력 탐지기(912(A))로 반사시키는데 사용된다. 선속분할기는 바람직하게 연속파 전자기 방사선 소오스(908(A+B))와 스테이지(904, 도 9a 및 도 9b) 사이에 배치된다. 선속분할기(912(C))는 또한 바람직하게 MgF와 같은 반사방지 코팅으로 코팅된다. 사용 시에, 선속분할기(912(C))는 연속파 전자기 방사선 소오스(908(A+B))에 의해 방출된 연속파 전자기 방사선의 1% 이하를 반사시키거나 샘플링한다.Beam splitter 912 (C) is a portion of the continuous wave electromagnetic radiation emitted by reflecting a portion of the emitted continuous wave electromagnetic radiation that is incident on the substantially flat first surface of the beam splitter toward emitted power detector 912 (B). It is configured to sample. In a preferred embodiment, the planar second surface of the beam splitter 912 (C) opposite the first planar surface is used to reflect the continuous wave electromagnetic radiation reflected from the surface of the substrate to the reflected power detector 912 (A). do. The beam splitter is preferably disposed between the continuous wave electromagnetic radiation source 908 (A + B) and the stage 904 (FIGS. 9A and 9B). Beam splitter 912 (C) is also preferably coated with an antireflective coating such as MgF. In use, beam splitter 912 (C) reflects or samples up to 1% of the continuous wave electromagnetic radiation emitted by continuous wave electromagnetic radiation source 908 (A + B).

사용 시에, 탐지된 방출 전력 대 탐지된 반사 전력의 비는 기판에서의 흡수 측정치를 제공한다. 흡수는 방사 에너지가 열적 방사에 대한 플랭크의 법칙(Plank's Law)에 따라 흡수되고, 열과 같은 다른 형태의 에너지로 변환되고, 보다 긴 파장에서 재방사되는 프로세스이다.In use, the ratio of detected emission power to detected reflected power provides an absorption measure at the substrate. Absorption is the process by which radiant energy is absorbed in accordance with Plank's Law for thermal radiation, converted to other forms of energy such as heat, and re-radiated at longer wavelengths.

바람직한 실시예에서, 방출된 전력 탐지기(912(B))와 반사된 전력 탐지기(912(A))는 810nm의 연속파 전자기 방사선을 탐지한다. 또한 바람직한 실시예에서, 하나 이상의 탐지기(912(A))는 연속파 전자기 방사선에서 기판 상의 온도를 탐지하기 위한 온도 탐지기로서 구성된다. 온도를 탐지하기 위해, 온도 탐지기는 1500nm와 같이, 810nm와 다른 파장의 연속파 전자기 방사선을 탐지한다. 이는 필터(1106)를 반사된 연속파 전자기 방사선과 탐지기(912(A)) 사이에 위치시킴으로써 달성된다. 필터(1106)는 810nm와 다른 파장을 갖는 연속파 전자기 방사선이 탐지기(912(A))에 도달하여 광 고온계로서 작용하도록 허용하고 탐지된 신호가 광 소오스로부터의 반사가 아닌 방출 신호임을 보장하도록 구성된다. 즉, 반사된 방사선 만이 810nm와 다른 파장을 갖는다. 바람직한 실시예에서, 필터는 900nm 내지 2000nm 범위, 바람직하게 1500nm의 파장에서 광 고온계의 작동을 허용하도록 구성된다. 그러나, 이러한 온도 측정치는 방출율 변화에 민감하다.In a preferred embodiment, the emitted power detector 912 (B) and the reflected power detector 912 (A) detect 810 nm continuous wave electromagnetic radiation. Also in a preferred embodiment, one or more detectors 912 (A) are configured as temperature detectors for detecting temperature on the substrate in continuous wave electromagnetic radiation. To detect the temperature, the temperature detector detects continuous wave electromagnetic radiation of a wavelength different from 810 nm, such as 1500 nm. This is accomplished by placing the filter 1106 between the reflected continuous wave electromagnetic radiation and the detector 912 (A). The filter 1106 is configured to allow continuous wave electromagnetic radiation having a wavelength different from 810 nm to reach the detector 912 (A) to act as an optical pyrometer and to ensure that the detected signal is an emission signal rather than a reflection from the light source. . That is, only the reflected radiation has a wavelength different from 810 nm. In a preferred embodiment, the filter is configured to allow operation of the optical pyrometer in the wavelength range from 900 nm to 2000 nm, preferably 1500 nm. However, these temperature measurements are sensitive to changes in emission rate.

반사된 전력 탐지기(912(A))와 방출된 전력 탐지기(912(B))는 또한 바람직하게 탐지된 신호를 최대화시키고 장치 내에 있는 렌즈의 0이 아닌 반사율로 인해 광 내에서 산란될 수도 있는 소정 스트레이 방사선의 콜렉션을 최소화하기 위해 핀홀 어퍼쳐를 포함한다.Reflected power detector 912 (A) and emitted power detector 912 (B) also preferably maximize the detected signal and may be scattered in the light due to the non-zero reflectance of the lens in the device. A pinhole aperture is included to minimize the collection of stray radiation.

15개 및 16개의 대향하는 레이저 다이오드 모듈을 포함하는 바람직한 실시예에서, 15쌍의 반사된 전력 탐지기(912(A))와 방출된 전력 탐지기(912(B))가 바람직하게 제공된다. 다른 모든 반사된 전력 탐지기(912(A))는 바람직하게 전술한 것처럼 온도 탐지기로서 구성된다.In a preferred embodiment comprising 15 and 16 opposing laser diode modules, 15 pairs of reflected power detector 912 (A) and emitted power detector 912 (B) are preferably provided. All other reflected power detectors 912 (A) are preferably configured as temperature detectors as described above.

대안적인 실시예는 또한 포커싱 광(910(A))과 기판(906) 사이에 위치된 반사기(1110)를 포함한다. 반사기(1110)는 기판 표면으로부터 반사된 방사선을 연속파 전자기 방사선으로 반사시키도록 구성된다. 바람직한 실시예에서 반사기(1110)는 렌즈의 포커스에서 곡률 중심을 갖는 원통 미러이다.Alternative embodiments also include a reflector 1110 positioned between the focusing light 910 (A) and the substrate 906. The reflector 1110 is configured to reflect the radiation reflected from the substrate surface into continuous wave electromagnetic radiation. In a preferred embodiment the reflector 1110 is a cylindrical mirror having a center of curvature at the focus of the lens.

도 12는 도 9a 및 도 9b에 도시된 장치(900)의 시제품의 등축도이다. 도시된 바와 같이, 반도체 웨이퍼와 같은 기판이 챔버(1202) 내의 스테이지(904) 상에 위치된다. 연속파 전자기 방사 모듈(902)은 챔버(1202)에 결합된다. 게다가, 이동 메카니즘(218, 도 2)과 같은 이동 메카니즘이 화살표(1206)로 표시된 것처럼 스테이지(904)를 연속파 전자기 방사 모듈(902)에 대해 이동시킨다. 컴퓨터 시스템(914, 도 9a 및 도 9b)과 같은 전자 장치의 일부가 하우징(1210) 내에 포함된다. 장치(900)는 바람직하게 기판(906)을 장치(900) 내외로 이동시키기 위해 팩토리 인터페이스(1208)에 결합된다.12 is an isometric view of a prototype of the device 900 shown in FIGS. 9A and 9B. As shown, a substrate, such as a semiconductor wafer, is located on stage 904 in chamber 1202. The continuous wave electromagnetic radiation module 902 is coupled to the chamber 1202. In addition, a movement mechanism such as movement mechanism 218 (FIG. 2) moves stage 904 relative to the continuous wave electromagnetic radiation module 902 as indicated by arrow 1206. A portion of an electronic device, such as computer system 914 (FIGS. 9A and 9B), is included in housing 1210. Device 900 is preferably coupled to factory interface 1208 to move substrate 906 into and out of device 900.

도 13은 열적 프로세싱을 제어하는 방법(1320)의 흐름도이다. 상기 방법(1320)이 단계(1322)로서 시작되면, 기판은 단계(1323)에서 스테이지 상으로 배향되어, 후속 방향의 스캔이 열적 프로세스를 최적화할 것이다. 상이한 배향의 기판이 상이한 기계적 특성을 갖고 항복 강도가 다른 방향 보다 일 방향에서 더 크기 때문에, 상기와 같이 수행되었다. 일반적으로, 결정 방향을 표시하기 위해 노치가 기판 상에 제공된다. 기판(904, 도 9a 및 도 9b)의 표면은 선택적으로 단계(1324)에서 열 강화층으로 코팅될 수도 있다. 열 강화층은 옥사이드의 버퍼층 상에 있는 도핑된 폴리 실리콘 또는 실리콘 나이트라이드와 같은 높은 흡수 특성을 갖는 재료, 및/또는 반사 방지 특성을 갖는 재료로부터 제조된다. 열 강화층은 기판 표면 조건에 대한 둔감성 형성을 돕는다. 예를 들어, 기판의 표면이 매우 반사성이거나 비균일하면, 열 강화층은 기판의 실질적으로 균일한 열 노출 유지를 돕는다.13 is a flowchart of a method 1320 for controlling thermal processing. If the method 1320 begins as a step 1322, the substrate is oriented onto the stage in step 1323, so that subsequent scans will optimize the thermal process. As substrates of different orientations had different mechanical properties and yield strength was greater in one direction than in the other, it was performed as above. Generally, a notch is provided on the substrate to indicate the crystal direction. The surface of the substrate 904 (FIGS. 9A and 9B) may optionally be coated with a thermal reinforcement layer in step 1324. The thermal reinforcement layer is made from a material having high absorption properties, such as doped polysilicon or silicon nitride, on a buffer layer of oxide, and / or a material having antireflection properties. The thermal reinforcement layer helps to form insensitivity to substrate surface conditions. For example, if the surface of the substrate is very reflective or non-uniform, the thermal enhancement layer helps to maintain a substantially uniform thermal exposure of the substrate.

기판은 그 후 단계(1326)에서 연속파 방사 모듈(902, 도 9a 및 도 9b)로부터 방출된 연속파 전자기 방사선으로 조사되어, 소정의 전력 밀도로 소정의 시간 동안 기판의 표면을 가열시킨다. 소정의 전력 밀도는 바람직하게 30kW/㎠(바람직하게 100kW/㎠) 이상이고, 소정의 시간은 바람직하게 100마이크로초 내지 100밀리초(바람직하게 약 1밀리초) 범위이다. 이는 기판의 표면을 약 500℃ 이하의 주변 온도로부터 약 700℃ 이상의 프로세스 온도로 가열시킨다. Si 내의 소자 구조물의 최대 폭의 10배와 같은 표면으로부터 소정의 깊이에서 온도는 주변 온도와 (프로세스 온도-주변 온도)/2의 합 보다 작게 유지된다.The substrate is then irradiated with continuous wave electromagnetic radiation emitted from the continuous wave radiation module 902 (FIGS. 9A and 9B) in step 1326 to heat the surface of the substrate for a predetermined time at a predetermined power density. The predetermined power density is preferably at least 30 kW / cm 2 (preferably 100 kW / cm 2) and the predetermined time is preferably in the range of 100 microseconds to 100 milliseconds (preferably about 1 millisecond). This heats the surface of the substrate to a process temperature of about 700 ° C. or more from an ambient temperature of about 500 ° C. or less. At a given depth from the surface, such as 10 times the maximum width of the device structure in Si, the temperature is kept less than the sum of the ambient temperature and (process temperature-ambient temperature) / 2.

전술한 것처럼, 연속파 전자기 방사선은 기판의 표면 전체를 가로질러 또는 부분적으로 가로질러 연장할 수도 있다.As mentioned above, continuous wave electromagnetic radiation may extend across or partially across the entire surface of the substrate.

반사기(1110, 도 11)를 갖는 실시예에서, 반사기에 지향된 소정의 반사된 또는 산란된 빛은 단계(1328)에서 방사선을 향해 반사된다.In an embodiment with a reflector 1110 (FIG. 11), any reflected or scattered light directed to the reflector is reflected towards radiation at step 1328.

방출된 전력은 단계(1330)에서 방출된 전력 탐지기(912(B))에 의해 측정되고 컴퓨터 시스템(914, 도 9a 및 도 9b)에 전송된다. 반사된 전력은 단계(1332)에서 반사된 전력 탐지기(912(A))에 의해 측정되고 컴퓨터 시스템(914, 도 9a 및 도 9b)에 전송된다. 컴퓨터 시스템(914, 도 9a 및 도 9b)은 단계(1334)에서 반사된 전력과 방출된 전력을 비교하고, 단계(1336)에서 그에 따라 연속파 전자기 방사선 소오스에 공급된 전력을 제어한다. 예를 들어, 연속파 전자기 방사선 소오스는 상이한 기판을 동일한 방출 전력으로 상이하게 가열할 수도 있다. 컴퓨터 시스템은 전력 소오스(916, 도 9a 및 도 9b) 전력을 제어하고, 교대로 개개 레이저 다이오드 모듈, 레이저 다이오드 모듈 세트, 또는 모든 레이저 다이오드 모듈을 동시에 제어할 수도 있다. 이러한 방식으로, 개개 레이저 다이오드 모듈, 또는 레이저 다이오드 모듈의 조합이 실시간으로 제어될 수도 있다.The released power is measured by the power detector 912 (B) emitted in step 1330 and transmitted to the computer system 914 (FIGS. 9A and 9B). The reflected power is measured by the reflected power detector 912 (A) in step 1332 and transmitted to the computer system 914 (FIGS. 9A and 9B). Computer system 914 (FIGS. 9A and 9B) compares the reflected power with the emitted power at step 1334 and controls the power supplied to the continuous wave electromagnetic radiation source accordingly at step 1336. For example, continuous wave electromagnetic radiation sources may heat different substrates differently with the same emission power. The computer system may control the power of the power source 916 (FIGS. 9A and 9B) and may alternately control individual laser diode modules, a set of laser diode modules, or all laser diode modules simultaneously. In this way, individual laser diode modules, or combinations of laser diode modules, may be controlled in real time.

대안적인 실시예에서, 측정된 방출 전력과 반사 전력에 기초하여 조절 메카니즘(도 14a 내지 도 14d와 관련하여 후술됨)은 단계(1335)에서 실시간으로 스테이지의 높이를 조절할 수 있다. 스테이지 높이의 조절은 기판의 표면을 포커스 내외로 야기시킴으로써, 전체 전력으로부터 독립적으로 기판 표면 상의 연속파 전자기 방사선의 전력 밀도를 제어할 수 있다.In an alternate embodiment, an adjustment mechanism (described below in connection with FIGS. 14A-14D) based on the measured emission power and the reflected power may adjust the height of the stage in real time in step 1335. Adjusting the stage height causes the surface of the substrate to be in and out of focus, thereby controlling the power density of continuous wave electromagnetic radiation on the substrate surface independently from the total power.

측정된 반사 전력과 방출 전력은 단계(1338)에서 기판의 반사율, 기판의 방출율, 기판에 의해 흡수된 에너지, 및/또는 기판의 온도를 계산하는데 사용될 수도 있다. 반사율은 방출된 전력으로 나누어진 반사된 전력에 비례한다. 웨이퍼로부터의 열 방출 신호는 연속파 전자기 방사선 소오스의 파장 보다 긴 파장에서 광 및 선택적으로 인터리브 콤바이너를 통해 측정된다.The measured reflected and emitted power may be used to calculate the reflectance of the substrate, the release rate of the substrate, the energy absorbed by the substrate, and / or the temperature of the substrate in step 1338. Reflectance is proportional to the reflected power divided by the emitted power. The heat emission signal from the wafer is measured via light and optionally an interleaved combiner at wavelengths longer than the wavelength of the continuous wave electromagnetic radiation source.

유사하게, 온도는 방출된 전력에서 반사된 전력을 뺀 값과 동일한 흡수 전력에 비례한다. 계산된 실제 온도는 탐지기의 검정을 거친 반사된 및 방출된 전력의 차이로부터 유도된다. 정확한 방법은 본 발명이 속하는 기술분야에서 공지된 것처럼 RTP용으로 사용되는 기존의 방출율 보정 구조와 유사하다. 이러한 계산은 모두본원에 참조된 미국 특허 제6,406,179호; 6,226,453호; 6,183,130호; 6,179,466호; 6,179,465호; 6,151,446호; 6,086,245호; 6,056,433호; 6,007,241호; 5,938,335호; 5,848,842호; 5,755,511호; 5,660,472호에 개시되어 있다.Similarly, the temperature is proportional to the absorbed power equal to the emitted power minus the reflected power. The calculated actual temperature is derived from the difference in reflected and emitted power through the detector's calibration. The exact method is similar to existing emission rate correction schemes used for RTP as is known in the art. All such calculations are described in US Pat. No. 6,406,179; 6,226,453; 6,183,130; 6,179,466; 6,179,465; 6,151,446; 6,086,245; 6,056,433; 6,007,241; 5,938,335; 5,848,842; 5,755,511; 5,660,472.

열 강화층이 제공된다면, 단계(1340)에서 일반적으로 제거된다.If a heat strengthening layer is provided, it is generally removed at step 1340.

더욱이, 대안적인 실시예에서, 열적 노출 균일성은 오버-스캐닝에 의해 개선될 수 있다. 오버-스캐닝은 기판의 폭 보다 긴 방사선을 이용한다. 각각의 스캔 후에, 방사선은 단계(1341)에서 그 길이를 따라 약간 이동되어, 느린 축상 균일성이 시간에 따라 저하된다면 전체 열적 균일성은 개선된다. 방사선의 이동은 기판의 열적 노출을 효과적으로 평균화한다.Moreover, in alternative embodiments, thermal exposure uniformity can be improved by over-scanning. Over-scanning uses radiation that is longer than the width of the substrate. After each scan, the radiation is moved slightly along its length in step 1341 so that the overall thermal uniformity is improved if the slow on-axis uniformity degrades with time. Movement of radiation effectively averages the thermal exposure of the substrate.

도 14a는 자동화 포커싱 메카니즘(1400)의 부분 횡단면도이고, 도 14b는 도 14a에 도시된 선 14B-14B'를 따라 취한 툴링 기판과 스테이지(1414)의 평면도이다. 자동화 포커싱 메카니즘(1400)은 연속파 전자기 방사선을 연속파 전자기 방사 모듈(902)로부터 기판의 상부 표면 상에 집중시키기 위해 사용된다.14A is a partial cross-sectional view of an automated focusing mechanism 1400, and FIG. 14B is a top view of the tooling substrate and stage 1414 taken along line 14B-14B 'shown in FIG. 14A. The automated focusing mechanism 1400 is used to focus continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation module 902 on the upper surface of the substrate.

포커싱 메카니즘(1400)은 바람직하게 스테이지(1414)에 내장된 다중 포토 다이오드 센서(1408)를 포함한다. 각각의 포토 다이오드 센서(1408)는 제어기(1404)에 전기적으로 결합된다. 바람직한 실시예에서, 5개의 포토 다이오드 센서(1408)가 제공되지만, 일반적으로 후술되는 것처럼 피치(X축 주위), 롤(Y축 주위), 및 높이(X축 주위)의 변화를 설명하기 위해 3개 이상의 포토 다이오드 센서(1408)가 존재해야 한다. 포토 다이오드 센서(1408)는 툴링 기판의 상부 표면이 연속파 전자기 방사선 소오스의 포커스 평면에 있음을 확인하기 위해 시스템의 셋업 중에 사용된다.Focusing mechanism 1400 preferably includes multiple photodiode sensors 1408 embedded in stage 1414. Each photodiode sensor 1408 is electrically coupled to a controller 1404. In a preferred embodiment, five photodiode sensors 1408 are provided, but in order to account for variations in pitch (around X axis), rolls (around Y axis), and a height (around X axis), as generally described below. There must be at least one photodiode sensor 1408. Photodiode sensor 1408 is used during setup of the system to confirm that the upper surface of the tooling substrate is in the focus plane of the continuous wave electromagnetic radiation source.

바람직한 실시예에서, 중앙 포토 다이오드 센서는 높이를 설정하기 위해 사용되고, 중앙 포토 다이오드 센서의 좌측 및 우측에 있는 포토 다이오드 센서는 스테이지의 소정 틸트 또는 롤(Y축 주위의 회전)을 실질적으로 제거하기 위해 사용된다. 선단 및 말단 포토 다이오드 센서는 스테이지의 소정 팁 또는 피치(X축 주위의 회전)를 제거하기 위해 사용된다. 조절 작업은 포토 다이오드 센서의 신호를 최대화할 때 기초된다.In a preferred embodiment, a center photodiode sensor is used to set the height, and photodiode sensors on the left and right side of the center photodiode sensor are used to substantially eliminate any tilt or roll (rotation around the Y axis) of the stage. Used. Leading and distal photodiode sensors are used to remove any tip or pitch (rotation around the X axis) of the stage. Adjustment work is based on maximizing the signal of the photodiode sensor.

이러한 확인은 기판 장착 로봇에 의해 스테이지(1414) 상에 장착된 툴링 기판(1412)을 요구한다. 툴링 기판(1412)은 각각의 포토 다이오드 센서(1410) 직상의 핀홀 어퍼쳐(1410)를 갖는다. 핀홀 어퍼쳐는 심지어 최상의 포커스에서 방사선의 폭 보다 작은 지름을 갖는다.This confirmation requires a tooling substrate 1412 mounted on the stage 1414 by the substrate mounting robot. The tooling substrate 1412 has a pinhole aperture 1410 directly above each photodiode sensor 1410. The pinhole aperture even has a diameter smaller than the width of the radiation at the best focus.

제어기(1404)는 또한 조절 메카니즘(1402)에 결합된다. 조절 메카니즘(1402)은 연속파 전자기 방사선을 툴링 기판의 표면 상에 집중시키기 위해 제어기에 의해 요구되는 것처럼, 스테이지(1414)(Z축을 따라)를 상승 또는 하강시키고, 피치(X축의 주위)를 조절하고, 또는 롤(Y축의 주위)을 조절하기 위해 구성된다.Controller 1404 is also coupled to regulating mechanism 1402. The adjustment mechanism 1402 raises or lowers the stage 1414 (along the Z axis), adjusts the pitch (around the X axis), as required by the controller to focus continuous wave electromagnetic radiation on the surface of the tooling substrate. , Or to adjust the roll (around the Y axis).

바람직한 실시예에서, 조절 메카니즘(1402)은 3개 이상의 랙 및 피니온 드라이브(1406)를 포함하며, 이들 각각은 랙 및 피니온 드라이브의 스크류의 일 단부에서 스테이지에 회전가능하게 결합된다. 사용 시에, 3개의 모든 랙 및 피니온 드라이브(1406)가 함께 상승 또는 하강된다면, 스테이지(904)는 상승 또는 하강된다. 그러나, 개개 랙 및 피니온 드라이브(1406)가 하강 또는 상승된다면 스테이지의 피치 및 롤이 조절될 수 있다. 그러나, 소정의 적절한 조절 메카니즘(1402)이 사용될 수도 있음을 인식해야 한다.In a preferred embodiment, the adjustment mechanism 1402 includes three or more racks and pinion drives 1406, each of which is rotatably coupled to the stage at one end of the screws of the rack and pinion drive. In use, if all three racks and pinion drives 1406 are raised or lowered together, the stage 904 is raised or lowered. However, the pitch and roll of the stage can be adjusted if the individual rack and pinion drive 1406 are lowered or raised. However, it should be appreciated that any suitable adjustment mechanism 1402 may be used.

제어기(1404)는 또한 연속파 전자기 방사선 소오스(908(A+B))와 스테이지(904)를 서로에 대해 이동시키기 위해 이동 메카니즘(218)에 결합된다.The controller 1404 is also coupled to the moving mechanism 218 to move the continuous wave electromagnetic radiation source 908 (A + B) and the stage 904 relative to each other.

도 14c는 연속파 전자기 방사선을 기판의 상부 표면 상에 자동적으로 집중시키는 방법의 흐름도(1420)이다. 상기 방법이 단계(1422)에서 시작되면, 툴링 기판(1412, 도 14a)이 단계(1424)에서 스테이지 상에 위치된다. 연속파 전자기 방사선 소오스(908(A+B))는 단계(1426)에서 툴링 기판의 중심 아래에 위치된 중앙 포토 다이오드와 같은 제 1 포토 다이오드 센서(1408, 도 14a)를 방사시킨다. 제 1 포토 다이오드 센서는 절대 높이 조절을 위해 사용되는 측정치를 제공한다. 제 1 포토 다이오드 센서는 단계(1428)에서 연속파 전자기 방사선의 강도를 측정하고, 상기 강도를 제어기(1404, 도 14a)에 전송한다. 제어기는 단계(1430)에서 스테이지의 높이를 조절하도록 조절 메카니즘(1402, 도 14a)을 지시한다. 높이는 빛 라인이 제 1 포토 다이오드 센서 앞에 있는 어퍼쳐에 집중될 때까지 조절 메카니즘에 의한 스테이지(904, 도 14a)의 상승 또는 하강에 의해 Z축을 따라 조절된다.14C is a flow chart 1420 of a method for automatically concentrating continuous wave electromagnetic radiation onto a top surface of a substrate. If the method begins at step 1422, a tooling substrate 1412 (FIG. 14A) is positioned on the stage at step 1424. The continuous wave electromagnetic radiation source 908 (A + B) emits a first photodiode sensor 1408 (FIG. 14A), such as a central photodiode, located below the center of the tooling substrate at step 1426. The first photodiode sensor provides a measurement used for absolute height adjustment. The first photodiode sensor measures the intensity of the continuous wave electromagnetic radiation at step 1428 and transmits the intensity to the controller 1404 (FIG. 14A). The controller directs the adjustment mechanism 1402 (FIG. 14A) to adjust the height of the stage at step 1430. FIG. The height is adjusted along the Z axis by the rising or falling of the stage 904 (FIG. 14A) by the adjusting mechanism until the light line is concentrated at the aperture in front of the first photodiode sensor.

제어기는 그 후 단계(1431)에서 연속파 전자기 방사 모듈과 스테이지를 서로에 대해 이동시키도록 이동 메카니즘을 지시하여, 다음 포토 다이오드가 방사선과 정렬된다. 다음 포토 다이오드 센서(1408, 도 14a)는 단계(1432)에서 조사된다. 상기 포토 다이오드 센서에서 측정된 연속파 전자기 방사선의 강도는 단계(1434)에서 측정되고 제어기(1404, 도 14a)에 전송된다. 제어기는 단계(1436)에서 빛 라인이 상기 포토 다이오드 센서에서 집중되는 것을 보장하기 위해 필요에 따라 X 및 Y축 주위로 스테이지를 틸트시킴으로써 스테이지의 피치 및/또는 롤을 조절하도록 조절 메카니즘(1402)을 지시한다. 제어기는 그 후 단계(1438)에서 셋업이 완성되었는지, 즉 측정치가 모든 포토 다이오드 센서로부터 취해졌는지를 결정한다. 상기 방법이 완료되지 않는다면(1438-No), 방사 모듈과 스테이지는 다음 포토 다이오드가 단계(1432)에서 조사된 포토 다이오드 및 방사선과 정렬될 때까지 서로에 대해 이동되고, 상기 방법은 빛 라인이 기판의 표면을 따라 모든 지점에서 집중될 때까지 반복된다. 상기 방법이 완료되면(1438-Yes), 상기 방법은 단계(1440)에서 완료된다.The controller then directs the movement mechanism to move the continuous wave electromagnetic radiation module and stage relative to each other at step 1431, so that the next photodiode is aligned with the radiation. The next photodiode sensor 1408 (FIG. 14A) is irradiated at step 1432. The intensity of the continuous wave electromagnetic radiation measured at the photodiode sensor is measured at step 1434 and transmitted to the controller 1404 (FIG. 14A). The controller may adjust the adjustment mechanism 1402 to adjust the pitch and / or roll of the stage by tilting the stage around the X and Y axes as needed to ensure that light lines are concentrated at the photodiode sensor in step 1434. Instruct. The controller then determines in step 1438 whether the setup has been completed, i.e. the measurements have been taken from all photodiode sensors. If the method is not complete (1438-No), the radiation module and stage are moved relative to each other until the next photodiode is aligned with the photodiode and radiation irradiated in step 1432, the method wherein the light lines Repeat until concentrated at all points along the surface. Once the method is complete (1438-Yes), the method is completed in step 1440.

상기 방법은 반복적일 수도 있다. 대안적으로, Z 방향으로 완전한 스캔이 조절 전에 모든 탐지기에 대해 수행될 수 있다. 이러한 방식으로, 포커스 평면에 대한 툴링 웨이퍼의 평면이 시스템에 알려지게 된다. 이 때, 3개의 서보가 2개의 평면을 일치되게 적절히 조절한다.The method may be iterative. Alternatively, a complete scan in the Z direction may be performed for all detectors before adjustment. In this way, the plane of the tooling wafer relative to the focus plane is known to the system. At this time, the three servos properly adjust the two planes to coincide.

바람직한 실시예에서, 높이가 조절된 후에, 틸트 또는 롤이 좌측 및 우측 포토 다이오드 센서를 이용하여 제거되고, 스테이지가 틸트 또는 롤된다면 상이한 높이에서 포커스 내외에 있게 될 것이다. 틸트 또는 롤이 제거되면, 기판은 선단 에지 포토 다이오드 센서로 이동되고 또다른 스루 포커스 데이타 세트가 수집된다. 중앙 포토 다이오드 센서 및 선단 에지 포토 다이오드 센서가 동일한 높이에서 동일한 스루 포커스를 가질 때 0으로 된다. 말단 에지 포토 다이오드 센서는 스테이지가 실제 레벨에 있음을 확인하기 위해 사용된다.In a preferred embodiment, after the height is adjusted, the tilt or roll is removed using the left and right photodiode sensors, and if the stage is tilted or rolled, it will be in and out of focus at different heights. Once the tilt or roll is removed, the substrate is moved to the leading edge photo diode sensor and another through focus data set is collected. It becomes zero when the center photodiode sensor and the leading edge photodiode sensor have the same through focus at the same height. The end edge photodiode sensor is used to confirm that the stage is at the actual level.

도 14d는 측정된 에너지 밀도(1454, 정규화된 신호) 대 스테이지의 높이의 그래프(1450)이며, 0은 어퍼쳐(1410, 도 14a)에서 최상의 포커스에 있다. 스루 포커스는 1452로 도시된다. 도시된 바와 같이, 빛 라인이 어퍼쳐에서 집중될 때, 1456에서 에너지 밀도가 최고이다. 또한 촛점 크기, 즉 에너지가 분포된 영역이 도시된다. 스폿은 레이저 다이오드의 이미지가 포커스 평면에 있는 도형이다. 분석을 단순화하기 위해, 회전 가능하게 대칭인 렌즈가 가정되며, 즉 라인이 아닌 스폿이 분석을 위해 사용되는 이유이다. 그러나 실제 사용 시에, 스폿은 바람직하게 퍼지는 폭을 갖는 긴 라인이다.FIG. 14D is a graph 1450 of the measured energy density 1454 (normalized signal) versus the height of the stage, with 0 at the best focus in aperture 1410 (FIG. 14A). Through focus is shown at 1452. As shown, the energy density is highest at 1456 when the light lines are concentrated at the aperture. Also shown is the focal size, ie the area where the energy is distributed. A spot is a shape in which the image of the laser diode is in the focus plane. To simplify the analysis, a rotatable symmetrical lens is assumed, that is why spots rather than lines are used for the analysis. In practical use, however, the spot is preferably a long line with a spreading width.

따라서, 포커싱 메카니즘(1400, 도 14a)은 모든 기판에 대해 양호한 포커스를 보장한다. 또한 이는 이동 가능한 광에 호소해야 함이 없이 라인 폭을 변하게 허용하며, 즉 기판 표면에서의 전력 밀도는 연속파 전자기 방사선 소오스에 의해 총 전력 출력을 조절함이 없이 스테이지의 높이를 조절함으로써 독립적으로 조절될 수 있다.Thus, the focusing mechanism 1400 (FIG. 14A) ensures good focus for all substrates. It also allows the line width to be varied without having to appeal to movable light, i.e. the power density at the substrate surface can be adjusted independently by adjusting the height of the stage without adjusting the total power output by the continuous wave electromagnetic radiation source. Can be.

더욱이, 전술한 시스템, 장치, 또는 방법은 임플랜터 또는 플라즈마 도핑(PLAD)과 함께 사용될 수도 있다. 또한, 전술한 방법은 UV에서 또는 UV 부근에서 작동하는 고전력의 연속파 전자기 방사 레이저 소오스의 이용을 요구하는 후방 단부 열적 프로세스를 위해 사용될 수도 있다. 이러한 후방 단부 열적 프로세스가 구리 리플로우이면, 이러한 레이저 소오스에 의해 생성된 파장은 구리를 포함하는 최상의 재료에 의해 강하게 흡수된다.Moreover, the system, apparatus, or method described above may be used with an implanter or plasma doping (PLAD). The method described above may also be used for a back end thermal process requiring the use of a high power continuous wave electromagnetic radiation laser source operating in or near the UV. If this back end thermal process is copper reflow, the wavelength generated by this laser source is strongly absorbed by the best material, including copper.

게다가, 전술한 장치 및 방법은 기판 표면으로부터의 포토레지스트의 에칭과같은 등방성 에칭 및/또는 애싱을 위해 사용될 수도 있다. 이러한 등방성 에칭 및/또는 애싱은 플라즈마의 이용을 요구하지 않아서, 고온 전자에 의해 야기되는 것과 같은 플라즈마 손상 문제점을 갖지 않는다.In addition, the apparatus and method described above may be used for isotropic etching and / or ashing, such as etching of photoresist from a substrate surface. This isotropic etching and / or ashing does not require the use of a plasma and does not have plasma damage problems such as those caused by hot electrons.

게다가, 전술한 장치 및 방법은 모든 평판 패널 어닐링에 사용될 수도 있다. 현재의 레이저 재결정 프로세스는 평판 패널의 표면을 가로질러 레이저 스폿을 래스터한다. 재결정은 일반적으로 방사상으로 진행하여, 속도 및 오버스캐닝을 중요한 프로세스 제어 변수로 만든다. 그러나 본 발명을 이용하여 재결정화는 넓고 연속적인 전방으로부터 진행하여, 재결정화를 위해 감소된 자유도로 인해 보다 큰 입도의 형성을 야기한다. 그러나, 본 발명에서 재결정화는 방사선의 전방 및 후방에서 발생하여, 스캔 속도를 중요한 변수로 만든다.In addition, the apparatus and method described above may be used for all flat panel annealing. Current laser recrystallization processes raster laser spots across the surface of a flat panel. Recrystallization generally proceeds radially, making speed and overscanning an important process control variable. However, using the present invention, recrystallization proceeds from a wide and continuous front, resulting in the formation of larger grain sizes due to the reduced degrees of freedom for recrystallization. However, in the present invention, recrystallization occurs in front of and behind the radiation, making the scan speed an important variable.

또한, 전술한 장치 및 방법은 p-n 접합 누설을 개선하기 위해 a-c/Si 인터페이스를 지나 활성화시키는데 사용될 수도 있으며, a-c는 비정질-결정질 인터페이스이다. 현재의 어닐링 방법과 관련된 문제점은 초기 a-c 인터페이스에서 모든 결함이 어닐링되지 않는다는 것이다. 이들 결함은 비정질 임플란트에 대한 엔드-오브-레인지(end-of-range, EOR) 결함이다. 이들 결함이 전압이 유지되는 접합영역(고갈 영역)에 남아 있다면, 실리콘에 대한 정규 어레이 가정은 덜 완전하고 누설이 발생할 것이다. 그러나 본 발명에서 열적 노출은 접합 영역을 EOR 결함을 지나 보다 깊게 이동시키기에 충분히 길게 형성될 수 있다. 펄스화된 레이저는 마이크로초 이하의 짧은 펄스 길이로 인해 확산이 발생할 수 없기 때문에, 이를 행하는데 적절하지 않다.The apparatus and method described above may also be used to activate past the a-c / Si interface to improve p-n junction leakage, where a-c is an amorphous-crystalline interface. A problem associated with current annealing methods is that not all faults are annealed at the initial a-c interface. These defects are end-of-range (EOR) defects for amorphous implants. If these defects remain in the junction region (depletion region) where the voltage is maintained, the normal array assumption for silicon is less complete and leakage will occur. In the present invention, however, the thermal exposure can be formed long enough to move the junction region deeper beyond the EOR defect. Pulsed lasers are not suitable for doing this because diffusion cannot occur due to short pulse lengths of microseconds or less.

본 발명의 특정 실시예의 전술한 설명은 도시 및 설명의 목적으로 제공된다. 이들은 본 발명을 개시된 형태로 제한하거나 완벽한 것은 아니다. 분명 많은 수정예 및 변형예가 전술한 개시 내용의 관점에서 가능하다. 예를 들어, 하나의 선속분할기가 연속파 전자기 방사선을 반사된 전력 탐지기(912(A))와 방출된 전력 탐지기(912(B))를 향해 반사시키기 위해 개시되었지만, 하나 이상의 선속분할기가 사용될 수도 있다. 본 발명의 원리 및 실제 적용을 설명하여, 당업자가 예상되는 특정 용도에 적절한 다양한 수정예와 함께 본 발명과 다양한 실시예를 최상으로 이용할 수 있도록 실시예가 선택되고 개시된다. 더욱이, 방법에서의 단계 순서는 설정된 과정으로 발생할 필요는 없다. 본 발명의 범위는 다음의 청구범위 및 균등 범위에 의해 정의된다. 게다가, 소정의 인용 참증이 본원에 참조되었다.The foregoing descriptions of specific embodiments of the present invention are provided for purposes of illustration and description. They are not intended to be exhaustive or to limit the invention to the forms disclosed. Obviously many modifications and variations are possible in light of the above teaching. For example, although one beam splitter has been disclosed for reflecting continuous wave electromagnetic radiation toward reflected power detector 912 (A) and emitted power detector 912 (B), one or more beam splitters may be used. . Having described the principles and practical applications of the present invention, embodiments are selected and disclosed in order to enable those skilled in the art to best utilize the present invention and various embodiments with various modifications as are suited to the particular use envisioned. Moreover, the order of steps in the method need not occur in a set process. The scope of the invention is defined by the following claims and their equivalents. In addition, certain citations are incorporated herein by reference.

Claims (138)

열적 프로세싱 장치로서,As a thermal processing device, 기판을 상부에 수용하도록 구성된 스테이지;A stage configured to receive a substrate thereon; 상기 스테이지에 인접하게 배치된 연속파 전자기 방사선 소오스;A continuous wave electromagnetic radiation source disposed adjacent the stage; 상기 연속파 전자기 방사선 소오스와 상기 스테이지 사이에 배치된 일련의 렌즈; 및A series of lenses disposed between the continuous wave electromagnetic radiation source and the stage; And 상기 스테이지와 상기 하나의 연속파 전자기 방사선을 서로에 대해 이동시키도록 구성된 이동 메카니즘을 포함하며,A movement mechanism configured to move the stage and the one continuous wave electromagnetic radiation with respect to each other, 상기 연속파 전자기 방사선 소오스는 상기 기판을 향한 경로를 따라 연속파 전자기 방사선을 방출하도록 구성되고,The continuous wave electromagnetic radiation source is configured to emit continuous wave electromagnetic radiation along a path towards the substrate, 상기 일련의 렌즈는 상기 연속파 전자기 방사선을 상기 기판의 표면 상에 하나의 연속파 전자기 방사선으로 집중시키도록 구성되는,The series of lenses is configured to focus the continuous wave electromagnetic radiation onto one continuous wave electromagnetic radiation on a surface of the substrate, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 경로 내에 위치된 탐지 모듈을 더 포함하며,Further comprising a detection module located within the path, 상기 탐지 모듈은 연속파 전자기 방사선을 탐지하도록 구성되는,The detection module is configured to detect continuous wave electromagnetic radiation, 열적 프로세싱 장치.Thermal processing unit. 제 2 항에 있어서,The method of claim 2, 상기 탐지 모듈에 결합된 컴퓨터 시스템을 더 포함하는,Further comprising a computer system coupled to the detection module, 열적 프로세싱 장치.Thermal processing unit. 제 2 항에 있어서,The method of claim 2, 상기 탐지 모듈은 상기 일련의 렌즈 사이에 배치되는,The detection module is disposed between the series of lenses, 열적 프로세싱 장치.Thermal processing unit. 제 2 항에 있어서,The method of claim 2, 상기 탐지 모듈은 상기 연속파 전자기 방사선 소오스로부터 방출된 연속파 전자기 방사선을 탐지하도록 구성된 하나 이상의 방출된 전력 탐지기를 포함하는,The detection module comprises one or more emitted power detectors configured to detect continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source; 열적 프로세싱 장치.Thermal processing unit. 제 2 항에 있어서,The method of claim 2, 상기 탐지 모듈은 상기 표면으로부터 반사된 연속파 전자기 방사선을 탐지하도록 구성된 하나 이상의 반사된 전력 탐지기를 포함하는,The detection module comprises one or more reflected power detectors configured to detect continuous wave electromagnetic radiation reflected from the surface; 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 연속파 전자기 방사선 소오스와 상기 일련의 렌즈 사이에 배치된 확대렌즈를 더 포함하는,Further comprising an enlarged lens disposed between said continuous wave electromagnetic radiation source and said series of lenses, 열적 프로세싱 장치.Thermal processing unit. 제 7 항에 있어서,The method of claim 7, wherein 상기 확대 렌즈와 상기 일련의 렌즈 사이에 배치된 탐지 모듈을 더 포함하는,Further comprising a detection module disposed between the magnifying lens and the series of lenses, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 하나의 연속파 전자기 방사선의 폭이 500미크론 이하인,The width of the one continuous wave electromagnetic radiation is 500 microns or less, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 하나의 연속파 전자기 방사선이 30kW/㎠ 이상의 전력 밀도를 갖는,The one continuous wave electromagnetic radiation has a power density of at least 30 kW / cm 2, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 탐지 모듈은,The detection module, 상기 연속파 전자기 방사선 소오스로부터 방출된 연속파 전자기 방사선을 탐지하도록 구성된 하나 이상의 방출된 전력 탐지기; 및One or more emitted power detectors configured to detect continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source; And 상기 표면으로부터 반사된 연속파 전자기 방사선을 탐지하도록 구성된 하나 이상의 반사된 전력 탐지기를 포함하는,One or more reflected power detectors configured to detect continuous wave electromagnetic radiation reflected from the surface, 열적 프로세싱 장치.Thermal processing unit. 제 11 항에 있어서,The method of claim 11, wherein 상기 방출된 연속파 전자기 방사선의 일부를 샘플링하는 하나 이상의 선속분할기를 더 포함하는,One or more beam splitters for sampling a portion of the emitted continuous wave electromagnetic radiation, 열적 프로세싱 장치.Thermal processing unit. 제 12 항에 있어서,The method of claim 12, 상기 하나 이상의 선속분할기는 상기 연속파 전자기 방사 모듈과 상기 스테이지 사이에 배치되는,The at least one beam splitter is disposed between the continuous wave electromagnetic radiation module and the stage, 열적 프로세싱 장치.Thermal processing unit. 제 11 항에 있어서,The method of claim 11, wherein 상기 반사된 연속파 전자기 방사선의 일부를 샘플링하는 하나 이상의 선속분할기를 더 포함하는,One or more beam splitters for sampling a portion of the reflected continuous wave electromagnetic radiation, 열적 프로세싱 장치.Thermal processing unit. 제 11 항에 있어서,The method of claim 11, wherein 상기 방출된 연속파 전자기 방사선과 상기 반사된 연속파 전자기 방사선의 일부를 샘플링하는 하나 이상의 선속분할기를 더 포함하는,One or more beam splitters for sampling the emitted continuous wave electromagnetic radiation and a portion of the reflected continuous wave electromagnetic radiation; 열적 프로세싱 장치.Thermal processing unit. 제 11 항에 있어서,The method of claim 11, wherein 상기 하나 이상의 방출된 전력 탐지기와 상기 하나 이상의 반사된 전력 탐지기는 810nm의 연속파 전자기 방사선을 탐지하는,The one or more emitted power detectors and the one or more reflected power detectors detect 810 nm continuous wave electromagnetic radiation; 열적 프로세싱 장치.Thermal processing unit. 제 11 항에 있어서,The method of claim 11, wherein 상기 탐지 모듈은 상기 하나의 연속파 전자기 방사선에서 상기 표면의 온도를 탐지하도록 구성된 하나 이상의 온도 탐지기를 더 포함하는,The detection module further comprises one or more temperature detectors configured to detect the temperature of the surface in the one continuous wave electromagnetic radiation, 열적 프로세싱 장치.Thermal processing unit. 제 17 항에 있어서,The method of claim 17, 상기 하나 이상의 온도 탐지기는 810nm와 다른 파장의 연속파 전자기 방사선을 탐지하는,The one or more temperature detectors detect continuous wave electromagnetic radiation of a wavelength different from 810 nm, 열적 프로세싱 장치.Thermal processing unit. 제 18 항에 있어서,The method of claim 18, 상기 온도 탐지기와 상기 연속파 전자기 방사선 사이에 배치된 필터를 더 포함하며,A filter disposed between the temperature detector and the continuous wave electromagnetic radiation, 상기 필터는 810nm와 다른 파장을 갖는 연속파 전자기 방사선 만이 상기 온도 탐지기에 도달하도록 구성되는,The filter is configured such that only continuous wave electromagnetic radiation having a wavelength different from 810 nm reaches the temperature detector, 열적 프로세싱 장치.Thermal processing unit. 제 19 항에 있어서,The method of claim 19, 상기 필터는 900nm 내지 2000nm 범위의 광 고온계 작동을 허용하도록 구성되는,The filter is configured to allow optical pyrometer operation in the range of 900 nm to 2000 nm. 열적 프로세싱 장치.Thermal processing unit. 제 19 항에 있어서,The method of claim 19, 상기 필터는 1500nm에서 광 고온계 작동을 허용하도록 구성되는,The filter is configured to allow optical pyrometer operation at 1500 nm, 열적 프로세싱 장치.Thermal processing unit. 제 11 항에 있어서,The method of claim 11, wherein 상기 탐지 모듈에 결합된 컴퓨터 시스템을 더 포함하며,Further comprising a computer system coupled to the detection module, 상기 하나 이상의 방출된 전력 탐지기에 방출되는 방출 전력을 결정하는 과정;Determining emitted power emitted by the one or more emitted power detectors; 상기 하나 이상의 반사된 전력 탐지기에 반사되는 반사 전력을 결정하는 과정; 및Determining reflected power reflected by the one or more reflected power detectors; And 상기 방출된 전력과 상기 반사된 전력에 기초하여 상기 연속파 전자기 방사선 소오스에 공급된 전력을 제어하는 과정을 포함하는,Controlling the power supplied to the continuous wave electromagnetic radiation source based on the emitted power and the reflected power; 열적 프로세싱 장치.Thermal processing unit. 제 22 항에 있어서,The method of claim 22, 상기 컴퓨터 시스템은 반사율을 결정하는 반사율 과정을 포함하며,The computer system includes a reflectance process for determining reflectance, 상기 반사율은 반사된 전력으로 나누어진 반사된 전력에 비례하는,The reflectance is proportional to the reflected power divided by the reflected power, 열적 프로세싱 장치.Thermal processing unit. 제 22 항에 있어서,The method of claim 22, 상기 컴퓨터 시스템은 상기 하나의 연속파 방사선에서 표면의 온도를 결정하는 온도 과정을 더 포함하며,The computer system further comprises a temperature process for determining the temperature of the surface in the one continuous wave radiation, 상기 온도는 상기 방출된 전력에서 상기 반사된 전력을 뺀 값과 동일한 흡수된 전력에 비례하는,The temperature is proportional to the absorbed power equal to the emitted power minus the reflected power, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 일련의 렌즈는 상기 연속파 전자기 방사선 소오스와 상기 스테이지 사이에 배치된 하나 이상의 확대 렌즈를 더 포함하며,The series of lenses further comprises one or more magnification lenses disposed between the continuous wave electromagnetic radiation source and the stage, 상기 하나 이상의 확대 렌즈는 상기 연속파 전자기 방사선 소오스로부터 방출된 연속파 전자 방사 비임을 확대된 연속파 전자기 방사 비임으로 확대시키도록 구성되는,The at least one magnifying lens is configured to enlarge the continuous wave electromagnetic radiation beam emitted from the continuous wave electromagnetic radiation source into an enlarged continuous wave electromagnetic radiation beam, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 일련의 렌즈는 상기 연속파 전자기 방사선 소오스와 상기 스테이지 사이에 일렬로 배열된 다중 원통 렌즈를 더 포함하며,The series of lenses further comprises multiple cylindrical lenses arranged in a row between the continuous wave electromagnetic radiation source and the stage, 상기 다중 원통 렌즈는 상기 연속파 전자기 방사선을 상기 하나의 연속파 전자기 방사선으로 집중시키도록 구성되는,The multi-cylindrical lens is configured to focus the continuous wave electromagnetic radiation into the one continuous wave electromagnetic radiation, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 연속파 전자기 방사선 소오스는 다중 세트의 대향하는 레이저 다이오드 모듈을 포함하는,The continuous wave electromagnetic radiation source comprises multiple sets of opposing laser diode modules, 열적 프로세싱 장치.Thermal processing unit. 제 27 항에 있어서,The method of claim 27, 각각의 상기 다중 세트의 대향하는 레이저 다이오드 모듈은 별도로 제어되는,Each of said multiple sets of opposing laser diode modules is controlled separately, 열적 프로세싱 장치.Thermal processing unit. 제 27 항에 있어서,The method of claim 27, 각각의 세트의 레이저 다이오드에 대한 별도의 탐지 모듈을 더 포함하는,Further comprising a separate detection module for each set of laser diodes, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 연속파 전자기 방사선 소오스와 상기 일련의 렌즈 사이에 배치된 인터리브 콤바이너를 더 포함하는,Further comprising an interleaved combiner disposed between the continuous wave electromagnetic radiation source and the series of lenses, 열적 프로세싱 장치.Thermal processing unit. 제 30 항에 있어서,The method of claim 30, 상기 인터리브 콤바이너는 연속파 전자기 방사 파장에서 향상된 반사를 위해 유전체 스택을 이용하는,The interleaved combiner uses a dielectric stack for enhanced reflection at continuous wave electromagnetic radiation wavelengths, 열적 프로세싱 장치.Thermal processing unit. 제 30 항에 있어서,The method of claim 30, 상기 기판으로부터의 열적 방출 신호는 상기 연속파 전자기 방사선의 파장 보다 긴 파장에서 상기 일련의 렌즈 뿐만 아니라 상기 인터리브 콤바이너를 통해 측정되는,The thermal emission signal from the substrate is measured through the interleaved combiner as well as the series of lenses at wavelengths longer than the wavelength of the continuous wave electromagnetic radiation, 열적 프로세싱 장치.Thermal processing unit. 제 30 항에 있어서,The method of claim 30, 상기 인터리브 콤바이너는 상기 일련의 렌즈의 크기를 감소시키기 위해 필 비(fill ratio) 향상 광을 이용하는,The interleaved combiner uses fill ratio enhancement light to reduce the size of the series of lenses, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 연속파 전자기 방사선 소오스와 상기 스테이지를 서로에 대해 이동시키도록 구성된 조절 메카니즘을 더 포함하는,Further comprising an adjustment mechanism configured to move the continuous wave electromagnetic radiation source and the stage relative to each other, 열적 프로세싱 장치.Thermal processing unit. 제 34 항에 있어서,The method of claim 34, wherein 상기 경로 내에 위치되고 연속파 전자기 방사선을 탐지하도록 구성된 탐지 모듈과, 상기 탐지 모듈에 결합된 컴퓨터 시스템을 더 포함하며,A detection module located within the path and configured to detect continuous wave electromagnetic radiation, and a computer system coupled to the detection module, 상기 컴퓨터 시스템은 상기 하나의 연속파 방사선을 상기 기판 상에 촛점 상태로 유지시키기 위해 상기 탐지 모듈에 의한 측정치에 기초하여 상기 조절 메카니즘을 제어하는,The computer system controls the adjustment mechanism based on measurements by the detection module to maintain the one continuous wave radiation focused on the substrate, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 산란된 연속파 방사선을 상기 하나의 연속파 방사선을 향해 재배향시키는 하나 이상의 반사 표면을 더 포함하는,Further comprising at least one reflective surface for redirecting the scattered continuous wave radiation towards the one continuous wave radiation, 열적 프로세싱 장치.Thermal processing unit. 제 1 항에 있어서,The method of claim 1, 상기 열적 프로세싱 장치를 이온 임플랜터 또는 플라즈마 도핑(PLAD)에 결합시키는,Coupling the thermal processing device to an ion implanter or plasma doping (PLAD), 열적 프로세싱 장치.Thermal processing unit. 기판의 표면으로부터 소정 깊이에서의 온도(TD)를 주변 온도와 (프로세스 온도-주변 온도)/2를 합한 값[TD≤TA+ (TP-TA)/2] 미만으로 유지시키면서 기판의 표면이 주변 온도(TA)로부터 프로세스 온도(TP)로 가열되도록 상기 기판의 표면을 소정 시간 동안 소정 전력 밀도에서 가열하는 단계를 포함하는,While maintaining the temperature T D at a predetermined depth from the surface of the substrate below the sum of the ambient temperature and the (process temperature-ambient temperature) / 2 [T D ≤ T A + (T P -T A ) / 2] Heating the surface of the substrate at a predetermined power density for a predetermined time such that the surface of the substrate is heated from the ambient temperature T A to the process temperature T P , 열적 프로세싱 방법.Thermal processing method. 제 38 항에 있어서,The method of claim 38, 상기 소정 전력 밀도는 30kW/㎠ 이상인,The predetermined power density is 30 kW / cm 2 or more, 열적 프로세싱 방법.Thermal processing method. 제 38 항에 있어서,The method of claim 38, 상기 소정 시간은 100마이크로초 내지 100밀리초 범위인,The predetermined time is in the range of 100 microseconds to 100 milliseconds, 열적 프로세싱 방법.Thermal processing method. 제 38 항에 있어서,The method of claim 38, 상기 주변 온도는 약 500℃ 이하인,The ambient temperature is about 500 ° C. or less, 열적 프로세싱 방법.Thermal processing method. 제 38 항에 있어서,The method of claim 38, 상기 프로세스 온도는 약 700℃ 이상인,The process temperature is at least about 700 ° C., 열적 프로세싱 방법.Thermal processing method. 제 38 항에 있어서,The method of claim 38, 상기 소정 깊이는 관심 깊이의 10배인,The predetermined depth is ten times the depth of interest, 열적 프로세싱 방법.Thermal processing method. 제 43 항에 있어서,The method of claim 43, 상기 관심 깊이는 실리콘 내의 소자 구조물의 최대 깊이인,The depth of interest is the maximum depth of the device structure in silicon, 열적 프로세싱 방법.Thermal processing method. 제 38 항에 있어서,The method of claim 38, 상기 표면을 열 강화층으로 초기에 코팅시키는 단계를 포함하는,Initially coating the surface with a heat reinforcing layer, 열적 프로세싱 방법.Thermal processing method. 제 38 항에 있어서,The method of claim 38, 상기 가열 단계는 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선을 상기 기판의 표면을 가로질러 연장하는 하나의 방사선으로 집중시키는 단계를 포함하는,Said heating step comprises concentrating continuous wave electromagnetic radiation from a continuous wave electromagnetic radiation source into one radiation extending across the surface of said substrate, 열적 프로세싱 방법.Thermal processing method. 제 46 항에 있어서,The method of claim 46, 상기 기판의 모든 지점이 실질적으로 동일한 열적 노출을 갖도록, 상기 하나의 연속파 전자기 방사선을 상기 표면에 대해 일정한 소정 속도로 이동시키는 단계를 포함하는,Moving the one continuous wave electromagnetic radiation at a constant predetermined rate relative to the surface such that all points of the substrate have substantially the same thermal exposure, 열적 프로세싱 방법.Thermal processing method. 제 46 항에 있어서,The method of claim 46, 산란된 연속파 전자기 방사선 모두를 상기 하나의 방사선을 향해 반사시키는 단계를 더 포함하는,Reflecting all of the scattered continuous wave electromagnetic radiation towards the one radiation, 열적 프로세싱 방법.Thermal processing method. 제 46 항에 있어서,The method of claim 46, 상기 표면을 가열하는데 사용된 연속파 전자기 방사선의 방출된 전력을 측정하는 단계를 더 포함하는,Measuring the emitted power of the continuous wave electromagnetic radiation used to heat the surface; 열적 프로세싱 방법.Thermal processing method. 제 49 항에 있어서,The method of claim 49, 상기 표면으로부터 반사된 연속파 전자기 방사선의 반사된 전력을 측정하는 단계를 더 포함하는,Measuring the reflected power of continuous wave electromagnetic radiation reflected from the surface, 열적 프로세싱 방법.Thermal processing method. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 반사된 전력을 상기 방출된 전력과 비교하는 단계를 더 포함하는,Further comparing the reflected power with the emitted power; 열적 프로세싱 방법.Thermal processing method. 제 51 항에 있어서,The method of claim 51, wherein 상기 비교 단계에 기초하여 상기 연속파 전자기 방사선 소오스에 공급된 전력을 제어하는 단계를 더 포함하는,Controlling the power supplied to the continuous wave electromagnetic radiation source based on the comparing step; 열적 프로세싱 방법.Thermal processing method. 제 46 항에 있어서,The method of claim 46, 반사된 연속파 전자기 방사선과 실질적으로 다른 파장의 상기 연속파 전자기 방사선의 촛점에서 상기 기판으로부터의 열적 방출을 별도로 측정하는 단계를 더 포함하는,Separately measuring thermal emission from the substrate at the focus of the continuous wave electromagnetic radiation at a wavelength substantially different from the reflected continuous wave electromagnetic radiation, 열적 프로세싱 방법.Thermal processing method. 제 46 항에 있어서,The method of claim 46, 상기 하나의 방사선에서 상기 표면의 온도를 결정하는 단계를 더 포함하는,Determining the temperature of the surface at the one radiation; 열적 프로세싱 방법.Thermal processing method. 제 46 항에 있어서,The method of claim 46, 흡수율, 반사율, 및 방출율로 구성된 그룹으로부터 선택된 상기 기판의 특성을 결정하는 단계를 더 포함하는,Determining a property of the substrate selected from the group consisting of absorbance, reflectance, and emission rate, 열적 프로세싱 방법.Thermal processing method. 제 46 항에 있어서,The method of claim 46, 상기 집중 단계 전에 스캔 방향에 대한 상기 기판의 최적 배향을 선택하는 단계를 더 포함하며,Selecting an optimal orientation of the substrate relative to the scan direction prior to the concentrating step, 상기 최적 배향은 상기 기판의 원칙적인 슬립 평면과 최소 오버랩을 갖는 스캔 방향을 보장함으로써 결정되는,The optimal orientation is determined by ensuring a scan direction having a minimum overlap with the principle slip plane of the substrate, 열적 프로세싱 방법.Thermal processing method. 제 46 항에 있어서,The method of claim 46, 상기 기판을 예열하는 단계를 더 포함하는,Further comprising the step of preheating the substrate, 열적 프로세싱 방법.Thermal processing method. 제 57 항에 있어서,The method of claim 57, 상기 예열 단계는 상기 연속파 전자기 방사선 소오스에 의한 하나 이상의 예비스캔으로 구성되는,The preheating step consists of one or more prescans by the continuous wave electromagnetic radiation source, 열적 프로세싱 방법.Thermal processing method. 제 57 항에 있어서,The method of claim 57, 상기 예열 단계는 고온 플레이트를 이용하는,The preheating step uses a hot plate, 열적 프로세싱 방법.Thermal processing method. 열적 프로세싱 장치로서,As a thermal processing device, 연속파 전자기 방사 비임을 방출하도록 구성된 연속파 전자기 방사선 소오스;A continuous wave electromagnetic radiation source configured to emit a continuous wave electromagnetic radiation beam; 기판을 상부에 수용하도록 구성된 스테이지;A stage configured to receive a substrate thereon; 상기 연속파 전자기 방사선 소오스와 상기 스테이지 사이에 배치된 하나 이상의 확대 렌즈;At least one magnifying lens disposed between the continuous wave electromagnetic radiation source and the stage; 상기 하나 이상의 확대 렌즈와 상기 스테이지 사이에 일렬로 배열된 다중 원통 렌즈; 및Multiple cylindrical lenses arranged in a row between the one or more magnification lenses and the stage; And 상기 스테이지와 상기 연속파 전자기 방사 비임을 서로에 대해 이동시키도록 구성된 이동 메카니즘을 포함하며,A movement mechanism configured to move the stage and the continuous wave electromagnetic radiation beam relative to each other, 상기 하나 이상의 확대 렌즈는 상기 연속파 전자기 방사 비임을 확대된 연속파 전자기 방사 비임으로 확대시키도록 구성되며,The at least one magnifying lens is configured to enlarge the continuous wave electromagnetic radiation beam with an enlarged continuous wave electromagnetic radiation beam, 상기 다중 원통 렌즈는 상기 확대된 연속파 전자기 방사 비임을 상기 기판의 표면 상에 하나의 연속파 전자기 방사선으로 집중시키도록 구성되는,The multi-cylindrical lens is configured to focus the extended continuous wave electromagnetic radiation beam into one continuous wave electromagnetic radiation on the surface of the substrate, 열적 프로세싱 장치.Thermal processing unit. 제 60 항에 있어서,The method of claim 60, 상기 하나 이상의 확대 렌즈는 2개의 확대 렌즈를 포함하는,Wherein said at least one magnifying lens comprises two magnifying lenses, 열적 프로세싱 장치.Thermal processing unit. 제 60 항에 있어서,The method of claim 60, 상기 다중 원통 렌즈는 구형상을 갖는,The multi-cylindrical lens has a spherical shape, 열적 프로세싱 장치.Thermal processing unit. 제 60 항에 있어서,The method of claim 60, 상기 다중 원통 렌즈는 비구형상을 갖는,The multi-cylindrical lens has an aspherical shape, 열적 프로세싱 장치.Thermal processing unit. 제 60 항에 있어서,The method of claim 60, 상기 다중 원통 렌즈의 일부는 구형상을 갖고 나머지는 다른 형태를 갖는,Part of the multi-cylindrical lens has a spherical shape and the other part has a different shape, 열적 프로세싱 장치.Thermal processing unit. 제 60 항에 있어서,The method of claim 60, 상기 다중 렌즈 사이에 냉각 세정 가스를 순환시키기 위해 상기 다중 원통 렌즈 주위에 가스 주입기를 더 포함하는,Further comprising a gas injector around the multiple cylindrical lens to circulate a cooling cleaning gas between the multiple lenses, 열적 프로세싱 장치.Thermal processing unit. 제 60 항에 있어서,The method of claim 60, 상기 연속파 전자기 방사선 소오스는 최상의 포커스에서 30kW/㎠ 이상의 전력 밀도를 갖는 연속파 전자기 방사선을 방출시키는,The continuous wave electromagnetic radiation source emits continuous wave electromagnetic radiation having a power density of at least 30 kW / cm 2 at the best focus, 열적 프로세싱 장치.Thermal processing unit. 열적 프로세싱 장치용 자동 포커싱 메카니즘으로서,An automatic focusing mechanism for a thermal processing device, 연속파 전자기 방사선을 기판의 표면 상에 하나의 연속파 전자기 방사선으로집중시키도록 구성된 연속파 전자기 방사 모듈;A continuous wave electromagnetic radiation module configured to focus the continuous wave electromagnetic radiation into one continuous wave electromagnetic radiation on a surface of the substrate; 기판을 상부에 수용하도록 구성된 스테이지;A stage configured to receive a substrate thereon; 상기 스테이지에 결합된 하나 이상의 포토 탐지기;One or more photo detectors coupled to the stage; 상기 스테이지와 상기 연속파 전자기 방사 모듈을 서로에 대해 이동시키도록 구성된 이동 메카니즘;A movement mechanism configured to move the stage and the continuous wave electromagnetic radiation module relative to each other; 상기 스테이지에 결합된 조절 메카니즘; 및An adjustment mechanism coupled to the stage; And 상기 연속파 전자기 방사 모듈, 상기 하나 이상의 포토 탐지기, 상기 이동 메카니즘, 및 상기 조절 메카니즘에 결합된 제어기를 포함하며,A controller coupled to the continuous wave electromagnetic radiation module, the one or more photo detectors, the movement mechanism, and the adjustment mechanism, 상기 하나 이상의 포토 탐지기는 상기 연속파 전자기 방사선의 강도를 측정하도록 구성되고,The at least one photo detector is configured to measure the intensity of the continuous wave electromagnetic radiation, 상기 조절 메카니즘은 상기 스테이지의 높이, 롤 및 피치를 조절하도록 구성되는,The adjustment mechanism is configured to adjust the height, roll and pitch of the stage, 자동 포커싱 메카니즘.Auto focusing mechanism. 제 67 항에 있어서,The method of claim 67 wherein 상기 하나 이상의 포토 탐지기는 상기 스테이지에 내장된 3개의 포토 탐지기를 포함하는,The at least one photo detector comprises three photo detectors embedded in the stage, 자동 포커싱 메카니즘.Auto focusing mechanism. 제 67 항에 있어서,The method of claim 67 wherein 상기 하나 이상의 포토 탐지기와 상기 제어기는 상기 연속파 전자기 방사 모듈에 대한 상기 스테이지의 피치, 롤, 및 높이를 측정하도록 구성되는,The one or more photo detectors and the controller are configured to measure the pitch, roll, and height of the stage relative to the continuous wave electromagnetic radiation module, 자동 포커싱 메카니즘.Auto focusing mechanism. 제 67 항에 있어서,The method of claim 67 wherein 상기 연속파 전자기 방사 모듈은;The continuous wave electromagnetic radiation module; 연속파 전자기 방사선 소오스; 및Continuous wave electromagnetic radiation source; And 상기 연속파 전자기 방사선 소오스와 상기 스테이지 사이에 배치되고, 상기 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선을 상기 하나의 방사선으로 집중시키도록 구성되는 광;을 포함하는,A light disposed between the continuous wave electromagnetic radiation source and the stage, the light configured to focus continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into the one radiation; 자동 포커싱 메카니즘.Auto focusing mechanism. 기판의 표면 상에 하나의 연속파 전자기 방사선을 자동적으로 포커싱하는 방법으로서,A method of automatically focusing one continuous wave electromagnetic radiation on a surface of a substrate, 연속파 전자기 방사선 소오스를 제공하는 단계;Providing a continuous wave electromagnetic radiation source; 하나 이상의 포토 탐지기가 결합된 스테이지를 제공하는 단계;Providing a stage with one or more photo detectors coupled; 하나 이상의 어퍼쳐를 갖는 툴링 기판을 상기 스테이지를 관통해 위치시키는 단계;Positioning a tooling substrate having at least one aperture through the stage; 상기 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선으로 상기 하나 이상의 어퍼쳐를 방사시키는 단계;Radiating the one or more apertures with continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source; 상기 하나 이상의 포토 탐지기에서 상기 연속파 전자기 방사선의 강도를 측정하는 단계; 및Measuring the intensity of the continuous wave electromagnetic radiation at the one or more photo detectors; And 상기 강도에 기초하여 상기 스테이지와 상기 연속파 전자기 방사선의 위치를 서로 조절하는 단계를 포함하며,Adjusting the position of the stage and the continuous wave electromagnetic radiation with each other based on the intensity, 상기 하나 이상의 포토 탐지기는 연속파 전자기 방사선의 강도를 측정하도록 구성되며,The at least one photo detector is configured to measure the intensity of the continuous wave electromagnetic radiation, 상기 하나 이상의 어퍼쳐는 상기 하나 이상의 포토 탐지기와 정렬되는,The at least one aperture aligned with the at least one photo detector, 하나의 연속파 전자기 방사선의 자동 포커싱 방법.Automatic focusing method of one continuous wave electromagnetic radiation. 제 71 항에 있어서,The method of claim 71 wherein 상기 조절 단계는 상기 스테이지와 상기 연속파 전자기 방사선 소오스 서로에 대한 높이, 피치, 또는 롤을 조절하는,The adjusting step adjusts the height, pitch, or roll with respect to each other of the stage and the continuous wave electromagnetic radiation source, 하나의 연속파 전자기 방사선의 자동 포커싱 방법.Automatic focusing method of one continuous wave electromagnetic radiation. 제 71 항에 있어서,The method of claim 71 wherein 상기 툴링 기판 내의 또다른 어퍼쳐와 또다른 포토 탐지기를 정렬시키도록 상기 스테이지와 상기 연속파 전자기 방사선 소오스를 서로에 대해 측면으로 이동시키는 단계;Moving the stage and the continuous wave electromagnetic radiation source laterally relative to each other to align another aperture and another aperture in the tooling substrate; 상기 또다른 어퍼쳐를 상기 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선에 노출시키는 단계;Exposing the another aperture to continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source; 상기 또다른 포토 탐지기에서 상기 연속파 전자기 방사선의 또다른 강도를 감지하는 단계; 및Sensing another intensity of the continuous wave electromagnetic radiation at the another photo detector; And 상기 또다른 강도에 기초하여 상기 스테이지와 상기 연속파 전자기 방사선 소오스의 서로에 대한 위치를 설정하는 단계를 포함하는,Setting the position of the stage and the continuous wave electromagnetic radiation source relative to each other based on the another intensity, 하나의 연속파 전자기 방사선의 자동 포커싱 방법.Automatic focusing method of one continuous wave electromagnetic radiation. 제 73 항에 있어서,The method of claim 73, wherein 상기 스테이지가 상기 연속파 전자기 방사선 소오스에 대해 소정 위치에 있을 때까지 상기 이동 단계, 노출 단계, 감지 단계, 및 설정 단계를 반복하는 단계를 더 포함하는,Repeating the moving, exposing, sensing, and setting steps until the stage is at a predetermined position with respect to the continuous wave electromagnetic radiation source. 하나의 연속파 전자기 방사선의 자동 포커싱 방법.Automatic focusing method of one continuous wave electromagnetic radiation. 반도체 기판을 열적으로 프로세싱하는 방법으로서,A method of thermally processing a semiconductor substrate, 연속파 전자기 방사선을 반도체 기판의 표면을 가로질러 부분적으로 연장하는 하나의 연속파 전자기 방사선으로 집중시키는 단계;Concentrating the continuous wave electromagnetic radiation into one continuous wave electromagnetic radiation that extends partially across the surface of the semiconductor substrate; 상기 하나의 연속파 전자기 방사선과 상기 표면을 서로에 대해 일정한 소정 속도로 이동시키는 단계;Moving the one continuous wave electromagnetic radiation and the surface at a constant predetermined speed relative to each other; 상기 하나의 방사선을 그 길이를 따라 약간 이동시키는 단계; 및Moving the one radiation slightly along its length; And 상기 반도체 기판의 모든 노출된 지점이 실질적으로 균일한 열적 노출을 갖도록 상기 하나의 연속파 전자기 방사선과 상기 표면을 서로에 대해 상기 일정한소정 속도로 이동시키는 단계를 포함하는,Moving said one continuous wave electromagnetic radiation and said surface at said constant speed relative to one another such that all exposed points of said semiconductor substrate have substantially uniform thermal exposure, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 집중 단계는,The concentration step, 기판의 표면으로부터 소정 깊이에서의 온도(TD)를 주변 온도와 (프로세스 온도-주변 온도)/2를 합한 값[TD≤TA+ (TP-TA)/2] 미만으로 유지시키면서 기판의 표면이 주변 온도(TA)로부터 프로세스 온도(TP)로 가열되도록 상기 표면을 소정 시간 동안 소정 전력 밀도에서 가열하는 단계를 포함하는,While maintaining the temperature T D at a predetermined depth from the surface of the substrate below the sum of the ambient temperature and the (process temperature-ambient temperature) / 2 [T D ≤ T A + (T P -T A ) / 2] Heating the surface at a predetermined power density for a predetermined time such that the surface of the substrate is heated from ambient temperature T A to process temperature T P , 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 76 항에 있어서,77. The method of claim 76, 상기 소정 전력 밀도는 30kW/㎠ 이상인,The predetermined power density is 30 kW / cm 2 or more, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 76 항에 있어서,77. The method of claim 76, 상기 소정 시간은 100마이크로초 내지 100밀리초 범위인,The predetermined time is in the range of 100 microseconds to 100 milliseconds, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 76 항에 있어서,77. The method of claim 76, 상기 주변 온도는 약 500℃ 이하인,The ambient temperature is about 500 ° C. or less, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 76 항에 있어서,77. The method of claim 76, 상기 프로세스 온도는 약 700℃ 이상인,The process temperature is at least about 700 ° C., 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 76 항에 있어서,77. The method of claim 76, 상기 소정 깊이는 관심 깊이의 10배인,The predetermined depth is ten times the depth of interest, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 81 항에 있어서,82. The method of claim 81 wherein 상기 관심 깊이는 실리콘 내의 소자 구조물의 최대 깊이인,The depth of interest is the maximum depth of the device structure in silicon, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 하나의 연속파 전자기 방사선의 폭은 500미크론 이하인,Wherein the width of one continuous wave electromagnetic radiation is less than 500 microns, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 기판을 열 강화층으로 초기에 코팅하는 단계를 포함하는,Initially coating the substrate with a thermal reinforcement layer, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 산란된 연속파 전자기 방사선 모두를 상기 하나의 방사선을 향해 다시 반사시키는 단계를 더 포함하는,Further reflecting back all scattered continuous wave electromagnetic radiation towards the one radiation, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 연속파 전자기 방사선의 방출된 전력을 측정하는 단계를 더 포함하는,Further comprising measuring the emitted power of the continuous wave electromagnetic radiation, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 86 항에 있어서,87. The method of claim 86, 상기 표면으로부터 반사된 연속파 전자기 방사선의 반사 전력을 측정하는 단계를 더 포함하는,Measuring the reflected power of the continuous wave electromagnetic radiation reflected from the surface, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 반사된 연속파 전자기 방사선과 실질적으로 다른 파장의 상기 하나의 연속파전자기 방사선의 촛점에서 상기 기판으로부터의 열적 방출을 별도로 측정하는 단계를 더 포함하는,Separately measuring thermal emission from said substrate at the focus of said one continuous wave electromagnetic radiation at a wavelength substantially different from reflected continuous wave electromagnetic radiation, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 하나의 방사선에서 상기 표면의 온도를 결정하는 단계를 더 포함하는,Determining the temperature of the surface at the one radiation; 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 87 항에 있어서,88. The method of claim 87, 상기 반사된 전력과 상기 방출된 전력을 비교하는 단계를 더 포함하는,Further comprising comparing the reflected power with the emitted power; 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 연속파 전자기 방사선 소오스에 공급된 전력을 제어하는 단계를 더 포함하는,Controlling the power supplied to the continuous wave electromagnetic radiation source; 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 흡수율, 반사율, 및 방출율로 구성된 그룹으로부터 선택된 상기 기판의 특성을 결정하는 단계를 더 포함하는,Determining a property of the substrate selected from the group consisting of absorbance, reflectance, and emission rate, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 집중 단계 전에 스캔 방향에 대한 상기 기판의 최적 배향을 선택하는 단계를 더 포함하며,Selecting an optimal orientation of the substrate relative to the scan direction prior to the concentrating step, 상기 최적 배향은 상기 기판의 원칙적인 슬립 평면과 최소 오버랩을 갖는 스캔 방향을 보장함으로써 결정되는,The optimal orientation is determined by ensuring a scan direction having a minimum overlap with the principle slip plane of the substrate, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 기판을 예열하는 단계를 더 포함하는,Further comprising the step of preheating the substrate, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 94 항에 있어서,95. The method of claim 94, 상기 예열 단계는 상기 연속파 전자기 방사선 소오스에 의한 하나 이상의 예비스캔으로 구성되는,The preheating step consists of one or more prescans by the continuous wave electromagnetic radiation source, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 제 94 항에 있어서,95. The method of claim 94, 상기 예열 단계는 고온 플레이트를 이용하는,The preheating step uses a hot plate, 반도체 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a semiconductor substrate. 기판 상에 층을 증착시키는 장치로서,An apparatus for depositing a layer on a substrate, 반응 챔버;Reaction chamber; 하나 이상의 가스를 상기 반응 챔버에 주입시키도록 구성된 가스 주입기;A gas injector configured to inject one or more gases into the reaction chamber; 연속파 전자기 방사선 소오스;Continuous wave electromagnetic radiation source; 상기 반응 챔버 내에 있고, 기판을 상부에 수용하도록 구성된 스테이지;A stage in the reaction chamber and configured to receive a substrate thereon; 상기 연속파 전자기 방사선 소오스와 상기 스테이지 사이에 배치되고, 상기 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선을 상기 기판의 표면 상에 하나의 연속파 전자기 방사선으로 집중시키도록 구성된 광; 및Light disposed between the continuous wave electromagnetic radiation source and the stage, the light configured to focus continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into one continuous wave electromagnetic radiation on a surface of the substrate; And 상기 스테이지와 상기 하나의 연속파 전자기 방사선을 서로에 대해 이동시키도록 구성된 이동 메카니즘을 포함하며,A movement mechanism configured to move the stage and the one continuous wave electromagnetic radiation with respect to each other, 상기 하나의 연속파 전자기 방사선의 길이는 상기 기판을 가로질러 연장하는,The length of the one continuous wave electromagnetic radiation extends across the substrate, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 하나의 연속파 전자기 방사선은 상기 기판의 폭을 가로질러 전체적으로 연장하는,Wherein the one continuous wave electromagnetic radiation extends entirely across the width of the substrate, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 연속파 전자기 방사선 소오스는 하나 이상의 레이저인,The continuous wave electromagnetic radiation source is one or more lasers, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 연속파 전자기 방사선 소오스는 하나 이상의 레이저 다이오드인,The continuous wave electromagnetic radiation source is one or more laser diodes, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 연속파 전자기 방사선 소오스는 0.5kW 내지 50kW 범위의 전력을 갖는,The continuous wave electromagnetic radiation source has a power in the range of 0.5 kW to 50 kW, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 연속파 전자기 방사선 소오스는 약 5kW의 전력을 갖는,The continuous wave electromagnetic radiation source has a power of about 5 kW, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 하나의 방사선은 10kW/㎠ 내지 200kW/㎠ 범위의 전력 밀도를 갖는,Wherein the one radiation has a power density in the range of 10 kW / cm 2 to 200 kW / cm 2, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 스테이지는 상기 기판을 단단히 파지시키는 수단을 포함하는,The stage includes means for firmly holding the substrate; 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 광은 하나 이상의 콜리메이터와, 상기 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선을 상기 기판의 상부 표면에서 하나의 연속파 전자기 방사선으로 집중시키도록 구성된 하나 이상의 렌즈를 포함하는,The light comprises one or more collimators and one or more lenses configured to focus continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into one continuous wave electromagnetic radiation at the top surface of the substrate, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 광은 상기 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선을 상기 기판의 상부 표면에서 하나의 연속파 전자기 방사선으로 집중시키도록 구성된 하나 이상의 원통 렌즈를 포함하는,The light comprises one or more cylindrical lenses configured to focus continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into one continuous wave electromagnetic radiation at the top surface of the substrate; 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 광은 원통 렌즈, 콜리메이터, 광 섬유, 도파관, 디퓨져, 회절 렌즈, 프레넬 렌즈, 오목 렌즈, 볼록 렌즈, 평판 미러, 오목 미러, 볼록 미러, 굴절 렌즈,그라디언트 인덱스(gradient index) 렌즈, 및 이들의 조합 중 하나 이상으로 구성된 그룹으로부터 선택되는,The light may be cylindrical lenses, collimators, optical fibers, waveguides, diffusers, diffractive lenses, Fresnel lenses, concave lenses, convex lenses, flat mirrors, concave mirrors, convex mirrors, refractive lenses, gradient index lenses, and these Selected from the group consisting of one or more combinations of 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 이동 메카니즘의 속도를 제어하는 제어기를 더 포함하는,And a controller for controlling the speed of the movement mechanism. 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 이동 메카니즘은 상기 스테이지와 상기 하나의 연속파 전자기 방사선을 서로에 대해 일정한 속도로 이동시키는,The moving mechanism moves the stage and the one continuous wave electromagnetic radiation at a constant speed relative to each other, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 이동 메카니즘은 상기 스테이지에 결합되는,The movement mechanism is coupled to the stage, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 이동 메카니즘은 상기 광에 결합되는,The movement mechanism is coupled to the light, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 이동 메카니즘은 상기 광과 상기 연속파 전자기 방사선 소오스에 결합되는,The movement mechanism is coupled to the light and the continuous wave electromagnetic radiation source, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 이동 메카니즘은 상기 스테이지와 상기 하나의 연속파 전자기 방사선을 서로에 대해 상기 하나의 방사선에 실질적으로 수직한 방향으로 이동시키는,The moving mechanism moves the stage and the one continuous wave electromagnetic radiation with respect to each other in a direction substantially perpendicular to the one radiation, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 기판은 단결정 실리콘 기판, 절연체 상의 실리콘(SOI), 실리콘 게르마늄, 실리콘 게르마늄의 합금, 유리, 및 석영으로 구성된 그룹으로부터 선택되는,The substrate is selected from the group consisting of a single crystal silicon substrate, silicon on insulator (SOI), silicon germanium, an alloy of silicon germanium, glass, and quartz, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 하나의 방사선은 약 3 내지 500미크론의 폭을 갖는,Said one radiation having a width of about 3 to 500 microns, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 장치는 1 ×106℃/초 이상의 온도 램프-업 비율을 갖는,The device has a temperature ramp-up ratio of at least 1 × 10 6 ° C / sec, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 장치는 1 ×106℃/초 이상의 온도 램프-다운 비율을 갖는,The device has a temperature ramp-down ratio of at least 1 × 10 6 ° C / sec, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 제 97 항에 있어서,97. The method of claim 97, 상기 연속파 전자기 방사선 소오스로부터의 연속파 전자기 방사선은 190nm 내지 950nm 범위의 파장을 갖는,The continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source has a wavelength in the range of 190 nm to 950 nm, 기판 상에 층을 증착시키는 장치.A device for depositing a layer on a substrate. 기판 상에 층을 증착시키는 방법으로서,A method of depositing a layer on a substrate, 기판을 반응 챔버 내에 위치시키는 단계;Positioning the substrate in the reaction chamber; 하나 이상의 가스를 상기 반응 챔버 내에 유입시키는 단계;Introducing at least one gas into the reaction chamber; 연속파 전자기 방사선을 상기 기판의 표면을 가로질러 연장하는 하나의 방사선으로 집중시키는 단계; 및Concentrating continuous wave electromagnetic radiation into one radiation extending across the surface of the substrate; And 상기 방사선에 의해 발생된 열과 상기 하나 이상의 가스의 조합으로 상기 하나 이상의 가스가 반응하여 상기 기판의 표면 상에 층을 증착시키도록, 상기 하나의 방사선을 상기 표면에 대해 일정한 소정 속도로 이동시키는 단계를 포함하는,Moving the one radiation at a constant predetermined rate relative to the surface such that the one or more gases react with a combination of heat generated by the radiation and the one or more gases to deposit a layer on the surface of the substrate. Included, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 상기 집중 단계 전에, 상기 기판을 프로세싱하는 열적 레서피, 상기 기판의 특성, 상기 연속파 전자기 방사선의 전력, 상기 하나의 방사선의 폭, 상기 하나의 방사선에서의 전력 밀도, 및 이들의 조합으로 구성된 그룹으로부터 선택되는 인자에 기초하여 상기 일정한 소정 속도를 결정하는 단계를 포함하는,Prior to the concentrating step, select from the group consisting of a thermal recipe for processing the substrate, the characteristics of the substrate, the power of the continuous wave electromagnetic radiation, the width of one radiation, the power density at the one radiation, and combinations thereof Determining the constant predetermined speed based on a factor of being; 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 상기 집중 단계 전에, 상기 하나 이상의 레이저 다이오드로부터 상기 연속파 전자기 방사선을 방출시키는 단계를 포함하는,Before the concentrating step, emitting the continuous wave electromagnetic radiation from the one or more laser diodes, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 상기 집중 단계 전에, 상기 연속파 전자기 방사선을 방출시키는 단계를 포함하며,Before the concentrating step, emitting the continuous wave electromagnetic radiation, 상기 연속파 전자기 방사선은 단일 파장을 갖는 균일하고 간섭성의 방사선인,The continuous wave electromagnetic radiation is uniform coherent radiation having a single wavelength, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 상기 집중 단계 전에, 상기 연속파 전자기 방사선을 방출시키는 단계를 포함하며,Before the concentrating step, emitting the continuous wave electromagnetic radiation, 상기 연속파 전자기 방사선은 펄스화된 또는 플래쉬되지 않는,The continuous wave electromagnetic radiation is not pulsed or flashed, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 상기 집중 단계 전에, 상기 연속파 전자기 방사선을 콜리메이트시키는 단계를 포함하는,Prior to said concentrating step, comprising collating said continuous wave electromagnetic radiation, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 소정 두께를 갖는 상기 층을 형성하도록 상기 유입 단계, 집중 단계, 및 이동 단계를 반복하는 단계를 더 포함하는,Further comprising repeating the inflow, concentrating, and moving steps to form the layer having a predetermined thickness, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 상기 연속파 전자기 방사선의 온도를 제어하는 단계를 더 포함하는,Further comprising controlling the temperature of the continuous wave electromagnetic radiation, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 상기 반응 챔버에 유입되는 상기 하나 이상의 가스의 양을 제어하는 단계를 더 포함하는,Controlling the amount of said one or more gases entering said reaction chamber, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 상기 반응 챔버 내의 압력을 제어하는 단계를 더 포함하는,Controlling the pressure in the reaction chamber, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 상기 유입 단계는 상기 반응 챔버 내에 다중 가스를 주입시키는 단계를 포함하는,The introducing step comprises injecting multiple gases into the reaction chamber, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 제 119 항에 있어서,119. The method of claim 119 wherein 상기 반응 챔버에 유입되는 상기 다중 가스의 비를 제어하는 단계를 더 포함하는,Controlling the ratio of the multiple gases entering the reaction chamber, 기판 상에 층을 증착시키는 방법.A method of depositing a layer on a substrate. 기판을 열적으로 프로세싱하는 방법으로서,A method of thermally processing a substrate, 연속파 전자기 방사선을 상기 기판의 전체 표면을 가로질러 연장하는 하나의 방사선으로 집중시키는 단계; 및Focusing continuous wave electromagnetic radiation into one radiation extending across the entire surface of the substrate; And 상기 기판의 모든 지점이 실질적으로 균일한 열적 노출을 갖도록, 상기 하나의 방사선을 상기 표면에 대해 일정한 소정 속도로 이동시키는 단계를 포함하는,Moving the one radiation at a constant predetermined rate relative to the surface such that all points of the substrate have substantially uniform thermal exposure, 기판을 열적으로 프로세싱 하는 방법.A method of thermally processing a substrate. 제 131 항에 있어서,The method of claim 131, wherein 상기 집중 단계 전에, 상기 기판을 프로세싱하는 열적 레서피, 상기 기판의 특성, 상기 연속파 전자기 방사선의 전력, 상기 하나의 방사선의 폭, 상기 하나의 방사선에서의 전력 밀도, 및 이들의 조합으로 구성된 그룹으로부터 선택되는 인자에 기초하여 상기 일정한 소정 속도를 결정하는 단계를 포함하는,Prior to the concentrating step, select from the group consisting of a thermal recipe for processing the substrate, the characteristics of the substrate, the power of the continuous wave electromagnetic radiation, the width of one radiation, the power density at the one radiation, and combinations thereof Determining the constant predetermined speed based on a factor of being; 기판을 열적으로 프로세싱 하는 방법.A method of thermally processing a substrate. 제 131 항에 있어서,The method of claim 131, wherein 상기 집중 단계 전에, 상기 하나 이상의 레이저 다이오드로부터 상기 연속파 전자기 방사선을 방출시키는 단계를 포함하는,Before the concentrating step, emitting the continuous wave electromagnetic radiation from the one or more laser diodes, 기판을 열적으로 프로세싱 하는 방법.A method of thermally processing a substrate. 제 131 항에 있어서,The method of claim 131, wherein 상기 집중 단계 전에, 상기 연속파 전자기 방사선을 방출시키는 단계를 포함하며,Before the concentrating step, emitting the continuous wave electromagnetic radiation, 상기 연속파 전자기 방사선은 단일 파장을 갖는 균일하고 간섭성의 방사선인,The continuous wave electromagnetic radiation is uniform coherent radiation having a single wavelength, 기판을 열적으로 프로세싱 하는 방법.A method of thermally processing a substrate. 제 131 항에 있어서,The method of claim 131, wherein 상기 집중 단계 전에, 상기 연속파 전자기 방사선을 방출시키는 단계를 포함하며,Before the concentrating step, emitting the continuous wave electromagnetic radiation, 상기 연속파 전자기 방사선은 펄스화된 또는 플래쉬되지 않는,The continuous wave electromagnetic radiation is not pulsed or flashed, 기판을 열적으로 프로세싱 하는 방법.A method of thermally processing a substrate. 제 131 항에 있어서,The method of claim 131, wherein 상기 집중 단계 전에, 상기 연속파 전자기 방사선을 콜리메이트시키는 단계를 포함하는,Prior to said concentrating step, comprising collating said continuous wave electromagnetic radiation, 기판을 열적으로 프로세싱 하는 방법.A method of thermally processing a substrate. 제 131 항에 있어서,The method of claim 131, wherein 상기 기판은 단결정 실리콘 기판, 절연체 상의 실리콘(SOI), 실리콘 게르마늄, 실리콘 게르마늄의 합금, 유리, 및 석영으로 구성된 그룹으로부터 선택되는,The substrate is selected from the group consisting of a single crystal silicon substrate, silicon on insulator (SOI), silicon germanium, an alloy of silicon germanium, glass, and quartz, 기판을 열적으로 프로세싱 하는 방법.A method of thermally processing a substrate. 기판의 모든 지점이 실질적으로 균일한 열적 노출을 갖도록 상기 기판의 상부 표면을 하나의 연속파 전자기 방사선으로 일정한 소정 속도에서 스캐닝하는 단계를 포함하는,Scanning the upper surface of the substrate with a single continuous wave electromagnetic radiation at a constant predetermined rate such that all points of the substrate have substantially uniform thermal exposure, 기판을 열적으로 프로세싱하는 방법.A method of thermally processing a substrate.
KR1020047016741A 2002-04-18 2003-04-18 Thermal flux processing by scanning electromagnetic radiation KR101010492B1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US10/126,419 US7005601B2 (en) 2002-04-18 2002-04-18 Thermal flux processing by scanning
US10/126,419 2002-04-18
US10/202,119 US7078651B2 (en) 2002-04-18 2002-07-23 Thermal flux deposition by scanning
US10/202,119 2002-07-23
US10/325,497 2002-12-18
US10/325,497 US6987240B2 (en) 2002-04-18 2002-12-18 Thermal flux processing by scanning
PCT/US2003/012291 WO2003089184A1 (en) 2002-04-18 2003-04-18 Thermal flux processing by scanning electromagnetic radiation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020107014478A Division KR101037525B1 (en) 2002-04-18 2003-04-18 Thermal flux processing by scanning electromagnetic radiation

Publications (2)

Publication Number Publication Date
KR20050008688A true KR20050008688A (en) 2005-01-21
KR101010492B1 KR101010492B1 (en) 2011-01-21

Family

ID=47461451

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047016741A KR101010492B1 (en) 2002-04-18 2003-04-18 Thermal flux processing by scanning electromagnetic radiation

Country Status (2)

Country Link
JP (1) JP5687249B2 (en)
KR (1) KR101010492B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009089248A2 (en) * 2008-01-11 2009-07-16 Applied Materials, Inc. Apparatus and method of aligning and positioning a cold substrate on a hot surface
US9418871B2 (en) 2013-10-30 2016-08-16 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10103040B1 (en) * 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60166185A (en) * 1984-02-10 1985-08-29 Mitsubishi Electric Corp Focusing device for laser
JP2683241B2 (en) * 1988-02-19 1997-11-26 富士通株式会社 Annealing device using energy beam
JP3401769B2 (en) * 1993-12-28 2003-04-28 株式会社ニコン Exposure method, stage device, and exposure device
JP3469337B2 (en) * 1994-12-16 2003-11-25 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JPH11121378A (en) * 1997-10-14 1999-04-30 Toshiba Corp Manufacture of polycrystal semiconductor film, manufacture of semiconductor device, manufacture of liquid crystal display, and laser annealing device
JP3011183B2 (en) * 1998-05-08 2000-02-21 松下電器産業株式会社 Laser processing method and processing device
DE19823226A1 (en) * 1998-05-25 1999-12-02 Arcmet Technologie Gmbh Linz Tilting arc furnace
JP3347072B2 (en) * 1998-09-16 2002-11-20 株式会社東芝 Polycrystalline growth method
JP3410989B2 (en) * 1999-08-02 2003-05-26 住友重機械工業株式会社 Precision laser irradiation apparatus and control method
US6891610B2 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009089248A2 (en) * 2008-01-11 2009-07-16 Applied Materials, Inc. Apparatus and method of aligning and positioning a cold substrate on a hot surface
WO2009089248A3 (en) * 2008-01-11 2009-10-08 Applied Materials, Inc. Apparatus and method of aligning and positioning a cold substrate on a hot surface
US8309475B2 (en) 2008-01-11 2012-11-13 Applied Materials, Inc. Apparatus and method of aligning and positioning a cold substrate on a hot surface
US9418871B2 (en) 2013-10-30 2016-08-16 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
US9698026B2 (en) 2013-10-30 2017-07-04 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
US10037906B2 (en) 2013-10-30 2018-07-31 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
US10453716B2 (en) 2013-10-30 2019-10-22 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
US10847389B2 (en) 2013-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures

Also Published As

Publication number Publication date
JP5687249B2 (en) 2015-03-18
JP2012238864A (en) 2012-12-06
KR101010492B1 (en) 2011-01-21

Similar Documents

Publication Publication Date Title
KR101037525B1 (en) Thermal flux processing by scanning electromagnetic radiation
US9737959B2 (en) Thermal processing by scanning a laser line beam
US8674257B2 (en) Automatic focus and emissivity measurements for a substrate system
KR101574501B1 (en) Laser beam positioning system
US7910499B2 (en) Autofocus for high power laser diode based annealing system
US20080008460A1 (en) System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US20080151951A1 (en) Laser optical system
US20090323739A1 (en) Laser optical system
US8405175B2 (en) Suitably short wavelength light for laser annealing of silicon in DSA type systems
JP5687249B2 (en) Heat flux processing by scanning

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140127

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee