JP5687249B2 - Heat flux processing by scanning - Google Patents

Heat flux processing by scanning Download PDF

Info

Publication number
JP5687249B2
JP5687249B2 JP2012155648A JP2012155648A JP5687249B2 JP 5687249 B2 JP5687249 B2 JP 5687249B2 JP 2012155648 A JP2012155648 A JP 2012155648A JP 2012155648 A JP2012155648 A JP 2012155648A JP 5687249 B2 JP5687249 B2 JP 5687249B2
Authority
JP
Japan
Prior art keywords
substrate
continuous wave
electromagnetic radiation
wave electromagnetic
stage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2012155648A
Other languages
Japanese (ja)
Other versions
JP2012238864A (en
Inventor
ディーン, シー. ジェニングズ,
ディーン, シー. ジェニングズ,
マーク ヤン,
マーク ヤン,
アブヒラッシュ, ジェイ. マユール,
アブヒラッシュ, ジェイ. マユール,
ヴァーノン ベーレンス,
ヴァーノン ベーレンス,
ポール, エー. オブライアン,
ポール, エー. オブライアン,
レオニド, エム. ターティスキー,
レオニド, エム. ターティスキー,
アレキサンダー ゴールディン,
アレキサンダー ゴールディン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/126,419 external-priority patent/US7005601B2/en
Priority claimed from US10/325,497 external-priority patent/US6987240B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012238864A publication Critical patent/JP2012238864A/en
Application granted granted Critical
Publication of JP5687249B2 publication Critical patent/JP5687249B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/034Observing the temperature of the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0665Shaping the laser beam, e.g. by masks or multi-focusing by beam condensation on the workpiece, e.g. for focusing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/083Devices involving movement of the workpiece in at least one axial direction
    • B23K26/0838Devices involving movement of the workpiece in at least one axial direction by using an endless conveyor belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Laser Beam Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)

Description

発明の分野
[0001]本発明は、一般に、半導体デバイスの製造に係る。より詳細には、本発明は、基板を放射の線で走査することにより基板を熱処理するための装置及び方法に向けられる。
Field of Invention
[0001] The present invention relates generally to the manufacture of semiconductor devices. More particularly, the present invention is directed to an apparatus and method for thermally treating a substrate by scanning the substrate with a line of radiation.

[0002]集積回路(IC)市場には、常に、より大きなメモリ容量、より速いスイッチング速度、及びより小さな特徴部サイズの需要がある。これらの需要に対処するために業界がとってきた主たるステップの1つは、大型の炉においてシリコンウェハのような多数の基板をバッチ処理することから、小型の反応チャンバーにおいて単一基板を処理することへと切り換えることである。   [0002] There is always a demand in the integrated circuit (IC) market for larger memory capacities, faster switching speeds, and smaller feature sizes. One of the major steps the industry has taken to address these demands is to batch process multiple substrates, such as silicon wafers, in a large furnace, thus processing a single substrate in a small reaction chamber. It is to switch to.

[0003]一般に、このようなバッチ処理製造では、4つの基本的操作、即ち成層、パターン化、ドーピング及び熱処理が実行される。これら操作の多くは、種々の化学的及び物理的反応が生じ得るように基板を高い温度に加熱することを必要とする。特に関心があるのは、熱処理及び成層であり、その各々について以下に説明する。   [0003] Generally, in such a batch process manufacturing, four basic operations are performed: stratification, patterning, doping and heat treatment. Many of these operations require heating the substrate to an elevated temperature so that various chemical and physical reactions can occur. Of particular interest are heat treatment and stratification, each of which is described below.

[0004]熱処理とは、特定の結果を得るために基板を単に加熱及び冷却する操作である。熱処理中には、付加的な材料が基板に追加されたり基板から除去されたりしない。急速熱処理又はアニールのような熱処理は、通常、比較的大量の熱エネルギー(高温度)を短い時間内に基板に与え、その後、基板を急速に冷却して、熱処理を終了させることを必要とする。このような処理中に基板へ伝達される熱エネルギーの量は、熱履歴として知られている。ある材料の熱履歴は、温度及びプロセス時間幅の関数である。プロセス時間が非常に短い場合には高温度でしか行えない超小型ICの製造では、低い熱履歴が望ましい。   [0004] A heat treatment is an operation in which the substrate is simply heated and cooled to obtain a particular result. During the heat treatment, no additional material is added to or removed from the substrate. Heat treatments such as rapid thermal annealing or annealing usually require a relatively large amount of thermal energy (high temperature) to be applied to the substrate in a short time, and then the substrate is rapidly cooled to terminate the thermal treatment. . The amount of thermal energy transferred to the substrate during such processing is known as the thermal history. The thermal history of a material is a function of temperature and process time span. A low thermal history is desirable in the manufacture of micro ICs that can only be performed at high temperatures when the process time is very short.

[0005]現在利用されている熱処理は、例えば、急速熱処理(RTP)及びインパルス(スパイク)アニールを含む。このようなプロセスは広範囲に利用されているが、現在の技術は理想的なものではない。このような技術は、基板の温度をランプアップ及びランプダウンさせる速度が遅過ぎるのに加えて、基板を高温度に長時間露出させる傾向がある。これらの問題は、基板のサイズが増大し、スイッチング速度が高くなり及び/又は特徴部のサイズが小さくなるにつれて、益々過酷になる。   [0005] Currently utilized thermal processing includes, for example, rapid thermal processing (RTP) and impulse (spike) annealing. Although such processes are widely used, current technology is not ideal. Such techniques tend to expose the substrate to high temperatures for extended periods of time, in addition to being too slow to ramp up and down the substrate temperature. These problems become increasingly severe as the size of the substrate increases, the switching speed increases and / or the feature size decreases.

[0006]一般に、これらの熱処理は、所定の熱レシピに基づいて制御された条件のもとで基板の温度を上昇させる。これらの熱レシピは、基本的に、基板を加熱しなければならない温度と、温度の変化率、即ち温度のランプアップ及びランプダウン率と、熱処理システムが特定温度に留まる時間とで構成される。例えば、熱レシピは、基板を室温から1200℃以上の個別温度へ加熱し、各個別温度における処理時間を60秒まで又はそれ以上にすることを要求することがある。   [0006] Generally, these heat treatments raise the temperature of the substrate under controlled conditions based on a predetermined thermal recipe. These thermal recipes basically consist of the temperature at which the substrate must be heated, the rate of change of temperature, i.e., the temperature ramp-up and ramp-down rates, and the time that the thermal processing system remains at a specific temperature. For example, a thermal recipe may require that the substrate be heated from room temperature to individual temperatures of 1200 ° C. or higher, and that the processing time at each individual temperature be up to 60 seconds or more.

[0007]更に、基板におけるドーパントの拡散を最小にするといったある目的を満足するために、各基板が高い温度を受ける時間長さを制限しなければならない。これを達成するために、温度のランプアップ及びランプダウン率が高いのが好ましい。換言すれば、基板の温度をできるだけ短い時間内に低い温度から高い温度へ及びそれとは逆に調整して熱履歴を最小にできることが望まれる。   [0007] Further, to meet certain objectives such as minimizing dopant diffusion in the substrate, the length of time that each substrate is subjected to high temperatures must be limited. To achieve this, it is preferred that the temperature ramp-up and ramp-down rates be high. In other words, it is desirable to be able to adjust the substrate temperature from a low temperature to a high temperature in the shortest possible time and vice versa to minimize thermal history.

[0008]高い温度ランプ率に対するこの要求は、典型的な温度ランプアップ率が、従来の炉の場合に5−15℃/分であるのに比して、200−400℃/sの範囲である急速熱処理(RTP)の開発を導くに至った。典型的なランプダウン率は、80−150℃/sの範囲である。   [0008] This requirement for high temperature ramp rates is in the range of 200-400 ° C / s compared to typical temperature ramp-up rates of 5-15 ° C / min for conventional furnaces. It led to the development of some rapid thermal processing (RTP). Typical ramp down rates are in the range of 80-150 ° C / s.

[0009]図1は、従来の異なる熱プロセスの熱プロフィールを示すグラフ100である。明らかなように、典型的なRTPシステムの熱プロフィール102は、250℃/sのランプアップ率と、90℃/sのランプダウン率とを有する。   [0009] FIG. 1 is a graph 100 illustrating the thermal profile of different conventional thermal processes. As is apparent, a typical RTP system thermal profile 102 has a ramp-up rate of 250 ° C./s and a ramp-down rate of 90 ° C./s.

[0010]RTPの欠点は、たとえICデバイスが基板の頂部数ミクロンに存在するだけであっても、基板全体を加熱することである。これは、いかに速く基板を加熱及び冷却できるかを制限する。更に、基板全体が高い温度になると、熱は、周囲空間又は構造物へ消散するしかない。その結果、今日の技術現状のRTPシステムは、400℃/sのランプアップ率及び150℃/sのランプダウン率を得るのに苦労している。   [0010] A disadvantage of RTP is that it heats the entire substrate, even if the IC device is only on the top few microns of the substrate. This limits how fast the substrate can be heated and cooled. Furthermore, when the entire substrate is at a high temperature, heat can only be dissipated into the surrounding space or structure. As a result, today's state-of-the-art RTP systems struggle to obtain a ramp-up rate of 400 ° C./s and a ramp-down rate of 150 ° C./s.

[0011]また、図1は、レーザアニールプロセスの熱プロフィール104も示している。レーザアニールは、薄膜トランジスタ(TFT)パネルの製造中に使用される。このようなシステムは、レーザスポットを使用して、ポリシリコンを溶融し、再結晶化する。TFTパネル上の次々の露出フィールドを横切ってレーザスポットを走査することによりTFTパネル全体が露出される。基板用途の場合には、レーザパルスを使用して、約20−40nsの時間中に露出フィールドを照射し、ここで、露出フィールドは、基板を横切り及び基板を下るようにラスタ走査することにより得られる。レーザアニールに対する熱プロフィール104から明らかなように、ランプ率は、ほぼ瞬間的に数十億度/秒となる。しかしながら、レーザアニールに使用されるレーザパルス又はフラッシュは、速過ぎて、非溶融プロセスに対して充分なアニールを生じさせるに足る時間をしばしば与えない。また、露出領域に隣接するデバイス又は構造は、それらを溶融させる極端な温度に露出されるか、または低過ぎてほとんどアニールを生じさせない温度に露出されることがある。更に、基板の各部分の熱露出の均質化は、異なる領域が異なる率で吸収して大きな温度勾配を生じさせるので、達成が困難である。このプロセスは、平衡温度に熱拡散するには速過ぎて、甚だしいパターン依存性を生じさせる。その結果、この技術は、基板表面上の異なる領域が著しく異なる温度に加熱されて、短い距離にわたり大きな非均一性を生じさせることがあるので、単結晶シリコンのアニールには適していない。   [0011] FIG. 1 also shows a thermal profile 104 of the laser annealing process. Laser annealing is used during the manufacture of thin film transistor (TFT) panels. Such a system uses a laser spot to melt and recrystallize the polysilicon. The entire TFT panel is exposed by scanning a laser spot across successive exposure fields on the TFT panel. For substrate applications, a laser pulse is used to illuminate the exposure field for a period of about 20-40 ns, where the exposure field is obtained by raster scanning across the substrate and down the substrate. It is done. As can be seen from the thermal profile 104 for laser annealing, the ramp rate is almost instantaneously billions of degrees per second. However, the laser pulses or flash used for laser annealing are too fast and often do not give enough time to produce sufficient annealing for non-melting processes. Also, devices or structures adjacent to the exposed areas may be exposed to extreme temperatures that cause them to melt, or exposed to temperatures that are too low to cause annealing. In addition, homogenization of the thermal exposure of each part of the substrate is difficult to achieve because different regions absorb at different rates and produce large temperature gradients. This process is too fast for thermal diffusion to the equilibrium temperature, creating a tremendous pattern dependence. As a result, this technique is not suitable for single crystal silicon annealing because different regions on the substrate surface can be heated to significantly different temperatures, resulting in large non-uniformities over short distances.

[0012]カナダのボルテックインダストリーズリミテッドにより現在開発中の別の熱処理システムは、フラッシュアシストスパイクアニールを使用して、短い時間内に基板に高い熱エネルギーを与え、次いで、領域を急速に冷却して、熱露出を制限するよう試みるものである。この熱処理システムの使用は、1060℃までスパイクアニールの接合深さを与えるが、フラッシュでのアクチベーションを1100℃まで改善するはずである。典型的に、RTPシステムは、通常ほぼ1060℃の希望温度までランプアップし、次いで、希望のフラッシュ温度に到達した直後にランプダウンを開始する。これは、高い温度から適当なアクチベーションを依然として得ながら、生じる拡散量を最小にするために行なわれる。このようなフラッシュアシストスパイクアニールの熱プロフィール106も、図1に示されている。   [0012] Another heat treatment system currently under development by Canadian Voltech Industries Limited uses flash-assisted spike annealing to provide high thermal energy to the substrate in a short time, and then rapidly cool the region, It attempts to limit heat exposure. The use of this heat treatment system will give spike anneal junction depths up to 1060 ° C, but should improve flash activation to 1100 ° C. Typically, RTP systems typically ramp up to a desired temperature of approximately 1060 ° C. and then begin ramping down immediately after reaching the desired flash temperature. This is done to minimize the amount of diffusion that occurs while still obtaining adequate activation from high temperatures. A thermal profile 106 of such a flash assist spike anneal is also shown in FIG.

[0013]以上のことから、高いランプアップ及びランプダウン率で基板をアニールするための装置及び方法が要望される。これは、小型デバイスの製造に多大な制御を与え、性能向上をもたらす。更に、このような装置及び方法は、基板の各ポイントが実質的に均質な熱露出を有し、これにより、パターン依存性及び潜在的欠陥を低減するよう確保しなければならない。   In view of the foregoing, there is a need for an apparatus and method for annealing a substrate with high ramp-up and ramp-down rates. This gives great control to the manufacture of small devices and results in improved performance. In addition, such an apparatus and method must ensure that each point on the substrate has a substantially uniform thermal exposure, thereby reducing pattern dependence and potential defects.

[0014]次いで、通常エネルギー又は熱の追加を必要とする別の基本的製造操作である成層に注目する。成層は、種々の技術を使用して基板の表面に薄い層又は膜を追加するもので、最も広く利用されているのは、成長及び堆積である。追加された層は、ICデバイスにおいては、半導体、誘電体(絶縁体)又は導体として機能する。これらの層は、均一な厚み、滑らかで且つ平坦な面、均一な組成及び粒子サイズ、応力のない膜、純度及び完全性等の種々の要件を満足しなければならない。エネルギーの追加を必要とする通常の堆積技術は、幾つか例を挙げると、化学気相堆積(CVD)、急速熱化学気相堆積(RTCVD)として知られている種々のCVD、低圧CVD(LPCVD)として知られている別の種々のCVD、及び原子層堆積(ALD)である。   [0014] Next, focus on stratification, another basic manufacturing operation that usually requires the addition of energy or heat. Stratification uses a variety of techniques to add a thin layer or film to the surface of the substrate, the most widely used being growth and deposition. The added layer functions as a semiconductor, dielectric (insulator) or conductor in the IC device. These layers must meet various requirements such as uniform thickness, smooth and flat surface, uniform composition and particle size, stress free film, purity and integrity. Typical deposition techniques that require additional energy include various CVDs known as chemical vapor deposition (CVD), rapid thermal chemical vapor deposition (RTCVD), and low pressure CVD (LPCVD), to name a few. ) And various other CVDs known as) and atomic layer deposition (ALD).

[0015]CVDは、窒化シリコン(Si)のような1つ以上の層又は膜を基板表面に物理的に堆積するための最も広く利用されている技術である。CVDプロセス中に、最終的な膜に必要とされる原子又は分子を含むアンモニア(NH)及びジクロロシラン(DCS)のような種々のガスが反応チャンバーに注入される。熱、光又はプラズマのような高いエネルギーでガスとガスとの間の化学反応が誘発される。反応した原子又は分子は、基板表面に堆積し、所定厚みの薄膜を形成するように蓄積する。反応副産物は、反応チャンバーから実質的にフラッシュされる。堆積率は、供給エネルギーの反応条件、反応チャンバーに存在するガスの量及び比率、及び/又は反応チャンバー内の圧力を制御することで、操作することができる。 [0015] CVD is the most widely used technique for physically depositing one or more layers or films, such as silicon nitride (Si 3 N 4 ), on a substrate surface. During the CVD process, various gases such as ammonia (NH 3 ) and dichlorosilane (DCS) containing atoms or molecules required for the final film are injected into the reaction chamber. A chemical reaction between gases is induced with high energy such as heat, light or plasma. The reacted atoms or molecules are deposited on the substrate surface and accumulated so as to form a thin film having a predetermined thickness. Reaction by-products are substantially flushed from the reaction chamber. The deposition rate can be manipulated by controlling the reaction conditions of the supply energy, the amount and ratio of gases present in the reaction chamber, and / or the pressure in the reaction chamber.

[0016]反応エネルギーは、一般に、熱(伝導又は対流)、誘導RF、放射、プラズマ又は紫外線エネルギー源により供給される。温度は、一般に、室温から1250℃までの範囲であり、更に一般的には、250℃から850℃の範囲である。   [0016] Reaction energy is generally supplied by heat (conduction or convection), induction RF, radiation, plasma, or ultraviolet energy sources. The temperature is generally in the range from room temperature to 1250 ° C, more typically in the range of 250 ° C to 850 ° C.

[0017]現在の熱推進プロセスでは、基板を高い温度に加熱することが望まれるが、基板をこれらの高い温度に著しく長く露出させないことも望まれる。換言すれば、基板の温度を低い温度から高い温度へ、及びそれとは逆に、できるだけ短い時間内に調整できることが望ましく、即ち低い熱履歴をもつことが望ましい。   [0017] While current thermal propulsion processes desire to heat the substrate to elevated temperatures, it is also desirable not to expose the substrate to these elevated temperatures significantly longer. In other words, it is desirable to be able to adjust the temperature of the substrate from a low temperature to a high temperature and vice versa, in as short a time as possible, ie having a low thermal history.

[0018]しかしながら、現在の熱推進プロセスは、基板の表面だけを加熱すればよいにも関わらず、基板全体を加熱する。基板全体を加熱することは、基板が温度の変化に抵抗する熱慣性をもつことになるので、どれほど速く基板を加熱及び冷却できるかを制限する。例えば、基板全体が高温になると、周囲空間又は構造物へ熱を消散しなければ、基板を冷却することができない。   [0018] However, current thermal propulsion processes heat the entire substrate even though only the surface of the substrate need be heated. Heating the entire substrate limits how quickly the substrate can be heated and cooled because the substrate will have a thermal inertia that resists changes in temperature. For example, if the entire substrate becomes hot, the substrate cannot be cooled unless heat is dissipated into the surrounding space or structure.

[0019]CVD又はLPCVDでは、種々のガスが反応チャンバーに同時に供給又は注入される。しかしながら、反応ガスと反応ガスとの間に生じる気相反応は、基板の周りの周囲空間を含む反応チャンバー内のいずれの位置にも発生し得る。周囲空間に生じる反応は、膜に埋設されることになる粒子を形成し得るので、望ましくない。また、気相反応は、流れに依存する堆積も生じさせ、この流れ依存性のために著しい非均一性を引き起こすことになる。   [0019] In CVD or LPCVD, various gases are supplied or injected simultaneously into the reaction chamber. However, the gas phase reaction that occurs between the reaction gases can occur at any location within the reaction chamber including the surrounding space around the substrate. Reactions that occur in the surrounding space are undesirable because they can form particles that will be embedded in the membrane. Vapor phase reactions also cause flow-dependent deposition, which can cause significant non-uniformity due to this flow dependency.

[0020]近年、CVD及びLPCVDでの前記気相反応の問題に対処するためにALDが開発された。ALDでは、第1ガスが反応チャンバーに注入される。第1ガスの原子又は分子が基板の表面に付着する。次いで、パージガスが注入されて、第1ガスを反応チャンバーからフラッシュする。最終的に、第2ガスが反応チャンバーに注入され、基板の表面の第2ガスと反応させる。第1及び第2ガスが反応チャンバーに同時に存在しないので、周囲空間に気相反応は生じない。これは、周囲空間における粒子形成及び流れ依存性に関連した問題を排除する。しかしながら、ALDの堆積率は低く、約1Å/秒である。また、ALDは、CVDと同じ温度制約及び熱履歴の問題で束縛される。   [0020] Recently, ALD has been developed to address the problem of the gas phase reaction in CVD and LPCVD. In ALD, a first gas is injected into a reaction chamber. The first gas atoms or molecules adhere to the surface of the substrate. A purge gas is then injected to flush the first gas from the reaction chamber. Finally, a second gas is injected into the reaction chamber and reacts with the second gas on the surface of the substrate. Since the first and second gases are not present in the reaction chamber at the same time, no gas phase reaction occurs in the surrounding space. This eliminates problems associated with particle formation and flow dependence in the surrounding space. However, the deposition rate of ALD is low, about 1 kg / sec. ALD is also constrained by the same temperature constraints and thermal history issues as CVD.

[0021]以上に鑑み、基板上に層を堆積するものであって、気相反応問題を軽減する装置及び方法が要望される。より詳細には、このような装置及び方法は、基板の表面だけを加熱し、高いランプアップ及びランプダウン率、即ち低い熱履歴を与えるものでなければならない。また、このような装置及び方法は、均一な層厚み、滑らかで且つ平坦な層表面、均一な層組成及び粒子サイズ、応力の低い膜、純度及び完全性といった一般的及び特定のパラメータを満足するのが好ましい。   [0021] In view of the foregoing, there is a need for an apparatus and method for depositing layers on a substrate that alleviates the gas phase reaction problem. More particularly, such an apparatus and method should heat only the surface of the substrate and provide a high ramp-up and ramp-down rate, ie a low thermal history. Such an apparatus and method also satisfies general and specific parameters such as uniform layer thickness, smooth and flat layer surface, uniform layer composition and particle size, low stress film, purity and integrity. Is preferred.

[0022]本発明の一実施形態によれば、基板上に層を堆積する装置が提供される。この装置は、反応チャンバーと、この反応チャンバーへ少なくとも1つのガスを注入するように構成されたガス注入器とを備えている。また、この装置は、連続波電磁放射ソースと、反応チャンバー内のステージと、これら連続波電磁放射ソースとステージとの間に配置された収束光学系とを備えている。ステージは、その上に基板を受け取るように構成される。収束光学系は、連続波電磁放射ソースからの連続波電磁放射を、基板の上面における連続波電磁放射の線へと収束するように構成される。連続波電磁放射の線は、基板の幅又は直径を横切って延びるのが好ましい。この装置は、更に、ステージと、連続波電磁放射の線を互いに並進移動するように構成された並進移動メカニズムも備えている。   [0022] According to one embodiment of the present invention, an apparatus for depositing a layer on a substrate is provided. The apparatus includes a reaction chamber and a gas injector configured to inject at least one gas into the reaction chamber. The apparatus also includes a continuous wave electromagnetic radiation source, a stage in the reaction chamber, and a focusing optical system disposed between the continuous wave electromagnetic radiation source and the stage. The stage is configured to receive a substrate thereon. The converging optics is configured to focus the continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into a line of continuous wave electromagnetic radiation on the top surface of the substrate. The line of continuous wave electromagnetic radiation preferably extends across the width or diameter of the substrate. The apparatus further includes a translation mechanism configured to translate the stage and the line of continuous wave electromagnetic radiation relative to each other.

[0023]更に、本発明によれば、基板上に1つ以上の層を堆積するための方法が提供される。基板は、最初に反応チャンバーに配置される。反応チャンバーには1つ以上のガスが導入される。放射の線を並進移動するための所定の速度が決定される。この所定の速度は、基板を処理するための熱レシピ、基板の特性、連続波電磁放射の電力、この放射の線の幅、放射の線における電力密度、等の多数のファクタに基づくものである。   [0023] Furthermore, in accordance with the present invention, a method is provided for depositing one or more layers on a substrate. The substrate is first placed in the reaction chamber. One or more gases are introduced into the reaction chamber. A predetermined speed for translating the line of radiation is determined. This predetermined speed is based on a number of factors such as the thermal recipe for processing the substrate, the characteristics of the substrate, the power of the continuous wave electromagnetic radiation, the width of the radiation line, the power density in the radiation line, etc. .

[0024]次いで、連続波電磁放射が連続波放射ソースから放出され、好ましくは、コリメートされる。連続波電磁放射は、その後、基板の表面を横切って延びる放射の線へと収束される。次いで、放射の線は、一定の所定の速度で表面に対して並進移動される。   [0024] Continuous wave electromagnetic radiation is then emitted from the continuous wave radiation source and preferably collimated. The continuous wave electromagnetic radiation is then focused into a line of radiation that extends across the surface of the substrate. The line of radiation is then translated relative to the surface at a constant predetermined speed.

[0025]導入されたガス(1つ又は複数)と、放射の線により発生される熱との結合で、少なくとも1つのガスが反応して基板の表面に層を堆積させる。望ましくない反応副産物は、次いで、反応チャンバーからフラッシュされる。所定厚みの層が基板の表面に形成されるまでこのプロセスが繰り返される。   [0025] In combination with the introduced gas (s) and the heat generated by the radiation, at least one gas reacts to deposit a layer on the surface of the substrate. Undesirable reaction byproducts are then flushed from the reaction chamber. This process is repeated until a layer of a predetermined thickness is formed on the surface of the substrate.

[0026]本発明の別の実施形態によれば、熱束処理装置が提供される。この熱束処理装置は、連続波電磁放射ソースと、ステージと、収束光学系と、並進移動メカニズムとを備えている。連続波電磁放射ソースは、1つ以上のレーザダイオードであるのが好ましい。ステージは、その上に基板を受け取るように構成される。収束光学系は、連続波電磁放射ソースとステージとの間に配置されると共に、連続波電磁放射ソースからの連続波電磁放射を、基板の上面における連続波電磁放射の線へと収束するように構成されるのが好ましい。連続波電磁放射の線の長さは、基板の全幅にわたって延びるのが好ましい。並進移動メカニズムは、ステージと、連続波電磁放射の線を互いに並進移動するように構成され、基板をしっかり把持するためのチャックを含むのが好ましい。   [0026] According to another embodiment of the present invention, a heat flux processor is provided. The heat flux processing apparatus includes a continuous wave electromagnetic radiation source, a stage, a focusing optical system, and a translation mechanism. The continuous wave electromagnetic radiation source is preferably one or more laser diodes. The stage is configured to receive a substrate thereon. The converging optical system is disposed between the continuous wave electromagnetic radiation source and the stage so as to converge the continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation source into a line of continuous wave electromagnetic radiation on the upper surface of the substrate. Preferably it is configured. The length of the line of continuous wave electromagnetic radiation preferably extends over the entire width of the substrate. The translation mechanism is preferably configured to translate the stage and the line of continuous wave electromagnetic radiation relative to each other and preferably includes a chuck for securely grasping the substrate.

[0027]更に、基板を熱処理するための方法が提供される。連続波放射が、基板の上面における放射の線へと収束される。放射の線は、表面に対して一定の所定速度で並進移動される。これは、基板の各ポイントが実質的に均質の熱露出又は熱履歴をもつことを許容する。ランプ電力ではなく走査速度を変調することによりプロセス制御が達成され、装置の制御が簡単化される。これは、欠陥を発生せずに高い局部加熱を許容する。   [0027] Further provided is a method for heat treating a substrate. Continuous wave radiation is focused to a line of radiation at the top surface of the substrate. The line of radiation is translated relative to the surface at a constant predetermined speed. This allows each point on the substrate to have a substantially homogeneous thermal exposure or thermal history. By controlling the scan speed rather than the lamp power, process control is achieved and the control of the device is simplified. This allows high local heating without causing defects.

[0028]それ故、本発明は、所与の瞬間に基板の表面の僅かな部分しか加熱しない。これは、全放射電力要求を緩和する。実際に、基板の弦が一度に1つしか加熱されないので、300mm基板において5kWの放射ソースだけで150kW/cmのエネルギー密度を達成できる。 [0028] Therefore, the present invention heats only a small portion of the surface of the substrate at a given moment. This alleviates the total radiated power requirement. In fact, an energy density of 150 kW / cm 2 can be achieved with only a 5 kW radiation source on a 300 mm substrate since only one of the substrate strings is heated at a time.

[0029]所与の瞬間に小さな領域を加熱することにより、数キロワットの放射電力だけで基板上に数百万度/秒のランプ率を達成することができる。更に、この高いランプ率は、上面を周囲温度から1200℃以上に加熱し、基板の体積温度が上昇し得る前にほぼ周囲温度へ冷却して戻すのを許容する。   [0029] By heating a small area at a given moment, a ramp rate of millions of degrees per second can be achieved on the substrate with only a few kilowatts of radiated power. In addition, this high ramp rate allows the top surface to be heated from ambient temperature to over 1200 ° C. and allowed to cool back to approximately ambient temperature before the volume temperature of the substrate can be increased.

[0030]上述した装置及び方法は、基板表面を1ミリ秒以内に適度な温度に加熱することができる。更に、放射の線が基板の表面に熱を与えるだけであるので、ガスの反応は表面に生じるだけである。室温の反応が無視できる場合、これは、基板表面から離れたところに望ましからぬ気相反応を招くことなく、多数のガスを同時に注入するのを許容する。この方法は、大気圧において実行して反応物の高速な分解を生じさせることができ、これにより、高い堆積率が可能となる。   [0030] The apparatus and method described above can heat the substrate surface to an appropriate temperature within 1 millisecond. Furthermore, since the radiation lines only give heat to the surface of the substrate, the gas reaction only occurs at the surface. If room temperature reactions are negligible, this allows multiple gases to be injected simultaneously without incurring unwanted gas phase reactions away from the substrate surface. This method can be performed at atmospheric pressure to produce fast decomposition of the reactants, which allows for high deposition rates.

[0031]本発明の別の実施形態によれば、ステージと、連続波電磁放射ソースと、一連のレンズと、並進移動メカニズムと、検出モジュールと、コンピュータシステムとを備えた熱処理装置が提供される。ステージは、その上に基板を受け取るように構成される。連続波電磁放射ソースは、ステージに隣接して配置され、基板に向う経路に沿って連続波電磁放射を放出するように構成される。一連のレンズは、連続波電磁放射ソースとステージとの間に配置される。一連のレンズは、連続波電磁放射を、基板の表面上の連続波電磁放射の線へと凝縮するように構成される。この凝縮は、放射を、連続波電磁放射の線に又はそれに向けて収斂又は集中させる。並進移動メカニズムは、ステージと、連続波電磁放射の線を互いに並進移動するように構成される。検出モジュールは、前記経路内に配置されて、連続波電磁放射を検出するように構成される。好ましい実施形態では、検出モジュールは、一連のレンズ間に配置され、更に好ましくは、伸張レンズと、連続波電磁放射を凝縮するように構成された残りのレンズとの間に配置される。コンピュータシステムは、検出モジュールに結合される。また、好ましい実施形態においては、連続波電磁放射の線は、その幅が500ミクロン以下で、その電力密度が少なくとも30kW/cmである。 [0031] According to another embodiment of the present invention, there is provided a thermal processing apparatus comprising a stage, a continuous wave electromagnetic radiation source, a series of lenses, a translation mechanism, a detection module, and a computer system. . The stage is configured to receive a substrate thereon. The continuous wave electromagnetic radiation source is disposed adjacent to the stage and configured to emit continuous wave electromagnetic radiation along a path toward the substrate. A series of lenses is disposed between the continuous wave electromagnetic radiation source and the stage. The series of lenses is configured to condense continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on the surface of the substrate. This condensation causes the radiation to converge or concentrate on or towards the line of continuous wave electromagnetic radiation. The translation mechanism is configured to translate the stage and the line of continuous wave electromagnetic radiation relative to each other. A detection module is disposed in the path and configured to detect continuous wave electromagnetic radiation. In a preferred embodiment, the detection module is placed between a series of lenses, more preferably between an extension lens and the remaining lens configured to condense continuous wave electromagnetic radiation. The computer system is coupled to the detection module. Also, in a preferred embodiment, the line of continuous wave electromagnetic radiation has a width of 500 microns or less and a power density of at least 30 kW / cm 2 .

[0032]検出モジュールは、連続波電磁放射ソースから放出された放出連続波電磁放射を検出するように構成された少なくとも1つの放出電力検出器を含むのが好ましい。また、検出モジュールは、表面から反射された反射連続波電磁放射を検出するように構成された少なくとも1つの反射電力検出器も含むのが好ましい。放出連続波電磁放射の一部分をサンプリングするか、または反射連続波電磁放射の一部分をサンプリングするために少なくとも1つのビームスプリッタが設けられる。このビームスプリッタは、好ましくは、連続波電磁放射モジュールとステージとの間に配置され、更に好ましくは、一連のレンズ間に配置され、もっと好ましくは、伸張レンズと、連続波電磁放射を凝縮するように構成された残りのレンズとの間に配置される。一実施形態において、放出電力検出器と反射電力検出器は、810nmの連続波電磁放射を検出する。少なくとも1つの温度検出器は、810nm以外の波長の連続波電磁放射を検出することにより連続波電磁放射の線における表面の温度を検出するように構成される。この温度検出器と連続波電磁放射の線との間にフィルタが配置されるのが好ましい。このフィルタは、810nm以外の波長をもつ連続波電磁放射のみが温度検出器に到達するのを許容するように構成される。このフィルタは、光学高温計が900nmから2000nm、特に1500nmで動作するのを許容するように構成される。   [0032] The detection module preferably includes at least one emitted power detector configured to detect emitted continuous wave electromagnetic radiation emitted from a continuous wave electromagnetic radiation source. The detection module also preferably includes at least one reflected power detector configured to detect reflected continuous wave electromagnetic radiation reflected from the surface. At least one beam splitter is provided to sample a portion of the emitted continuous wave electromagnetic radiation or to sample a portion of the reflected continuous wave electromagnetic radiation. This beam splitter is preferably arranged between the continuous wave electromagnetic radiation module and the stage, more preferably between a series of lenses, more preferably an extension lens and so as to condense the continuous wave electromagnetic radiation. It is arranged between the remaining lenses constructed. In one embodiment, the emitted power detector and reflected power detector detect 810 nm continuous wave electromagnetic radiation. The at least one temperature detector is configured to detect a surface temperature in a line of continuous wave electromagnetic radiation by detecting continuous wave electromagnetic radiation having a wavelength other than 810 nm. A filter is preferably arranged between the temperature detector and the line of continuous wave electromagnetic radiation. This filter is configured to allow only continuous wave electromagnetic radiation having a wavelength other than 810 nm to reach the temperature detector. This filter is configured to allow the optical pyrometer to operate from 900 nm to 2000 nm, in particular 1500 nm.

[0033]コンピュータシステムは、放出電力検出器へ放出される放出電力を決定するための手順と、反射電力検出器へ反射される反射電力を決定するための手順と、連続波電磁放射ソースに供給される電力を、前記検出、放出及び/又は反射された電力に基づいて制御するための手順とを含むのが好ましい。また、コンピュータシステムは、反射率を決定するための反射率手順を含んでもよい。反射率は、反射電力を放出電力で除算したものに比例する。また、コンピュータシステムは、連続波放射の線における表面の温度を決定するための手順を含んでもよい。温度は、放出電力から反射電力を差し引いたものに等しい吸収電力に比例する。   [0033] A computer system provides a procedure for determining emitted power emitted to an emitted power detector, a procedure for determining reflected power reflected to the reflected power detector, and a continuous wave electromagnetic radiation source And a procedure for controlling the power to be generated based on the detected, emitted and / or reflected power. The computer system may also include a reflectance procedure for determining the reflectance. The reflectance is proportional to the reflected power divided by the emitted power. The computer system may also include a procedure for determining the temperature of the surface in the line of continuous wave radiation. The temperature is proportional to the absorbed power equal to the emitted power minus the reflected power.

[0034]一連のレンズは、連続波電磁放射ソースとステージとの間に配置された少なくとも1つの伸張レンズを含むのが好ましい。この少なくとも1つの伸張レンズは、連続波電磁放射ソースから放出された連続波電磁放射のビームを、連続波電磁放射の伸張ビームへと伸張するように構成される。一連のレンズは、更に、連続波電磁放射ソースとステージとの間に直列に配置された多数の円柱状レンズを含んでもよい。これら多数の円柱状レンズは、連続波電磁放射の伸張ビームを、基板の表面における連続波電磁放射の線へと収束するように構成される。   [0034] The series of lenses preferably includes at least one extension lens disposed between the continuous wave electromagnetic radiation source and the stage. The at least one stretching lens is configured to stretch a beam of continuous wave electromagnetic radiation emitted from a source of continuous wave electromagnetic radiation into a stretched beam of continuous wave electromagnetic radiation. The series of lenses may further include a number of cylindrical lenses arranged in series between the continuous wave electromagnetic radiation source and the stage. These multiple cylindrical lenses are configured to focus the stretched beam of continuous wave electromagnetic radiation onto a line of continuous wave electromagnetic radiation at the surface of the substrate.

[0035]連続波電磁放射ソースは、対向するレーザダイオードモジュールの多数のセットを備え、対向するレーザダイオードモジュールの多数のセットの各々は、別々に制御されるのが好ましい。また、レーザダイオードの各セットに対して個別の検出モジュールが設けられるのが好ましい。   [0035] The continuous wave electromagnetic radiation source comprises multiple sets of opposing laser diode modules, and each of the multiple sets of opposing laser diode modules is preferably controlled separately. It is also preferred that a separate detection module is provided for each set of laser diodes.

[0036]連続波電磁放射ソースと一連のレンズとの間にはインターリーブ合成器が配置されるのが好ましい。このインターリーブ合成器は、連続波電磁放射波長における反射向上のために誘電体スタックを使用するのが好ましい。基板からの熱放出信号は、連続波電磁放射より長い波長において一連のレンズ及びインターリーブ合成器を介して測定されるのが好ましい。インターリーブ合成器は、充満比向上光学系を使用して、一連のレンズのサイズを減少する。   [0036] An interleave synthesizer is preferably disposed between the continuous wave electromagnetic radiation source and the series of lenses. This interleaved synthesizer preferably uses a dielectric stack for enhanced reflection at continuous wave electromagnetic radiation wavelengths. The heat emission signal from the substrate is preferably measured via a series of lenses and an interleaved synthesizer at wavelengths longer than continuous wave electromagnetic radiation. An interleaved synthesizer uses a fill ratio enhancement optical system to reduce the size of a series of lenses.

[0037]また、連続波電磁放射ソースとステージを互いに向って移動するために調整メカニズムが設けられてもよい。これは、コンピュータシステムが、検出モジュールにより得られた測定値に基づいて調整メカニズムを制御して、連続波放射の線を表面に収束した状態に保つのを許容する。別の実施形態では、散乱した連続波放射を連続波放射の線に向けて戻すように再指向するために反射面が設けられる。   [0037] An adjustment mechanism may also be provided to move the continuous wave electromagnetic radiation source and stage toward each other. This allows the computer system to control the adjustment mechanism based on the measurements obtained by the detection module to keep the line of continuous wave radiation focused on the surface. In another embodiment, a reflective surface is provided to redirect the scattered continuous wave radiation back towards the line of continuous wave radiation.

[0038]本発明の別の実施形態によれば、熱処理方法が提供される。基板の表面が、所定の電力密度で所定の時間中加熱される。これは、基板の表面を周囲温度(T)からプロセス温度(T)へ加熱するのを許容するが、表面から所定の深さにおける温度(T)は、周囲温度に、プロセス温度から周囲温度を引いたものの半分を加えたものより低く保たれる(T≦T+(T−T)/2)。好ましい実施形態では、所定の電力密度が少なくとも30kW/cmであり、所定の時間長さが100マイクロ秒から100ミリ秒であり、周囲温度が約500℃未満であり、プロセス温度が約700℃より高く、所定深さが当該深さの10倍であり、但し、当該深さとは、シリコンにおけるデバイス構造の最大深さである。 [0038] According to another embodiment of the present invention, a heat treatment method is provided. The surface of the substrate is heated at a predetermined power density for a predetermined time. This allows the surface of the substrate to be heated from ambient temperature (T A ) to process temperature (T P ), but the temperature at a given depth from the surface (T D ) is from ambient temperature to process temperature. It kept below plus half but minus the ambient temperature (T D ≦ T a + ( T P -T a) / 2). In a preferred embodiment, the predetermined power density is at least 30 kW / cm 2 , the predetermined time length is from 100 microseconds to 100 milliseconds, the ambient temperature is less than about 500 ° C., and the process temperature is about 700 ° C. Higher, the predetermined depth is 10 times the depth, where the depth is the maximum depth of the device structure in silicon.

[0039]また、熱処理方法は、最初に、表面に熱的向上層を被覆するステップを含んでもよい。また、散乱された連続波電磁放射は、放射の線に向って反射されて戻されてもよい。連続波電磁放射の放出電力、及び表面から反射された連続波電磁放射の反射電力が測定されてもよい。次いで、反射電力が放出電力と比較されてもよい。連続波電磁放射ソースへ供給される電力は、このような比較に基づいて制御されてもよい。また、反射された連続波電磁放射とは実質的に異なる波長において連続波電磁放射の線の焦点で基板からの熱放射の個別の測定がなされてもよい。温度は、表面上で線において決定されてもよい。また、吸収率、反射率及び放出率が決定されてもよい。   [0039] The heat treatment method may also include the step of first coating the surface with a thermal enhancement layer. Scattered continuous wave electromagnetic radiation may also be reflected back toward the line of radiation. The emitted power of continuous wave electromagnetic radiation and the reflected power of continuous wave electromagnetic radiation reflected from the surface may be measured. The reflected power may then be compared with the emitted power. The power supplied to the continuous wave electromagnetic radiation source may be controlled based on such a comparison. In addition, individual measurements of thermal radiation from the substrate may be made at the focal point of the line of continuous wave electromagnetic radiation at a wavelength substantially different from the reflected continuous wave electromagnetic radiation. The temperature may be determined in a line on the surface. Also, the absorptance, reflectance, and emission rate may be determined.

[0040]収束の前に、走査方向に対する基板の最適な向きが選択されてもよい。最適な向きは、走査方向が基板の主たるスリップ平面との最小の重畳しかもたないよう確保することにより決定される。また、基板は予熱されてもよい。予熱は、連続波電磁放射ソースでの1つ以上の前走査で構成され、ホットプレートを使用して実行されるのが好ましい。   [0040] Prior to convergence, an optimal orientation of the substrate relative to the scanning direction may be selected. The optimal orientation is determined by ensuring that the scanning direction has minimal overlap with the main slip plane of the substrate. The substrate may also be preheated. Preheating consists of one or more pre-scans with a continuous wave electromagnetic radiation source and is preferably performed using a hot plate.

[0041]更に、本発明によれば、一連のレンズは、少なくとも1つの伸張レンズと、複数の円柱状レンズとを含む。伸張レンズは、連続波電磁放射ソースとステージとの間に配置される。伸張レンズは、連続波電磁放射のビームを、連続波電磁放射の伸張されたビームへと伸張するように構成される。複数の円柱状レンズは、少なくとも1つの伸張レンズとステージとの間に直列に配置されるのが好ましい。複数の円柱状レンズは、連続波電磁放射の伸張されたビームを、基板の表面上の連続波電磁放射の線へと収束するように構成される。上記少なくとも1つの伸張レンズは、2つの伸張レンズを含むのが好ましく、一方、複数の円柱状レンズは、球面形状又は非球面形状を有する。複数の円柱状レンズのあるものは、球面形状を有し、他のものは、それを有していなくてもよい。複数のレンズの付近にガス注入器が設けられて、複数のレンズ間に冷却パージガスを循環するようにしてもよい。   [0041] Further in accordance with the present invention, the series of lenses includes at least one extension lens and a plurality of cylindrical lenses. The stretch lens is disposed between the continuous wave electromagnetic radiation source and the stage. The stretch lens is configured to stretch a beam of continuous wave electromagnetic radiation into a stretched beam of continuous wave electromagnetic radiation. The plurality of cylindrical lenses are preferably arranged in series between at least one extension lens and the stage. The plurality of cylindrical lenses are configured to focus the stretched beam of continuous wave electromagnetic radiation onto a line of continuous wave electromagnetic radiation on the surface of the substrate. The at least one extension lens preferably includes two extension lenses, while the plurality of cylindrical lenses have a spherical shape or an aspheric shape. Some of the plurality of cylindrical lenses have a spherical shape, and others do not have to have it. A gas injector may be provided in the vicinity of the plurality of lenses, and the cooling purge gas may be circulated between the plurality of lenses.

[0042]更に、熱処理装置のための自動収束メカニズムが設けられる。この自動収束メカニズムは、連続波電磁放射モジュールと、ステージと、少なくとも1つのフォト検出器と、並進移動メカニズムと、調整メカニズムと、コントローラとを含む。連続波電磁放射モジュールは、連続波電磁放射を、基板の表面上の連続波電磁放射の線へと収束するように構成される。ステージは、その上に基板を受け取るように構成される。少なくとも1つのフォト検出器がステージに結合される。少なくとも1つのフォト検出器は、連続波電磁放射の強度を測定するように構成される。並進移動メカニズムは、ステージと、連続波電磁放射モジュールを互いに並進移動するように構成される。調整メカニズムは、ステージに結合され、ステージの高さ、ロール及びピッチを調整するように構成される。最終的に、コントローラは、連続波電磁放射モジュール、少なくとも1つのフォト検出器、並進移動メカニズム、及び調整メカニズムに結合される。前記少なくとも1つのフォト検出器は、ステージに埋設された3つのフォト検出器を含むのが好ましい。3つのフォト検出器及びコントローラは、連続波電磁放射モジュールに対するステージのピッチ、ロール及び高さを測定するように構成される。   [0042] In addition, an automatic convergence mechanism for the heat treatment apparatus is provided. The automatic focusing mechanism includes a continuous wave electromagnetic radiation module, a stage, at least one photo detector, a translation mechanism, an adjustment mechanism, and a controller. The continuous wave electromagnetic radiation module is configured to focus the continuous wave electromagnetic radiation into a line of continuous wave electromagnetic radiation on the surface of the substrate. The stage is configured to receive a substrate thereon. At least one photo detector is coupled to the stage. At least one photo detector is configured to measure the intensity of the continuous wave electromagnetic radiation. The translation mechanism is configured to translate the stage and the continuous wave electromagnetic radiation module relative to each other. The adjustment mechanism is coupled to the stage and is configured to adjust the height, roll and pitch of the stage. Finally, the controller is coupled to a continuous wave electromagnetic radiation module, at least one photo detector, a translation mechanism, and an adjustment mechanism. The at least one photo detector preferably includes three photo detectors embedded in a stage. The three photo detectors and the controller are configured to measure the pitch, roll and height of the stage relative to the continuous wave electromagnetic radiation module.

[0043]使用中に、連続波電磁放射の線が基板の表面に自動的に収束される。自動収束メカニズムが設けられた後に、少なくとも1つのアパーチャーが貫通するツール基板がステージに配置される。少なくとも1つのアパーチャーは、少なくとも1つのフォト検出器と整列される。次いで、少なくとも1つのアパーチャーに、連続波電磁放射ソースからの連続波電磁放射が照射される。次いで、連続波電磁放射の強度が少なくとも1つのフォト検出器において測定され、その強度に基づいて、ステージと連続波電磁放射ソースとの位置が互いに調整される。   [0043] In use, the lines of continuous wave electromagnetic radiation are automatically focused on the surface of the substrate. After the automatic convergence mechanism is provided, a tool substrate through which at least one aperture penetrates is placed on the stage. The at least one aperture is aligned with the at least one photo detector. The at least one aperture is then irradiated with continuous wave electromagnetic radiation from a continuous wave electromagnetic radiation source. The intensity of the continuous wave electromagnetic radiation is then measured in at least one photo detector, and the position of the stage and the continuous wave electromagnetic radiation source are adjusted to each other based on the intensity.

[0044]次いで、ステージと連続波電磁放射ソースは、ツール基板の別のアパーチャーと別のフォト検出器とを整列するように互いに横方向に並進移動される。次いで、別のアパーチャーが連続波電磁放射ソースからの連続波電磁放射に露出される。次いで、別のフォト検出器において連続波電磁放射の別の強度が感知される。最終的に、この別の強度に基づいて、ステージと連続波電磁放射ソースの位置が互いにセットされる。ステージが連続波電磁放射ソースに対して所定の位置にくるまで、これらのステップが繰り返される。   [0044] The stage and continuous wave electromagnetic radiation source are then translated laterally relative to one another to align another aperture on the tool substrate and another photo detector. Another aperture is then exposed to continuous wave electromagnetic radiation from a continuous wave electromagnetic radiation source. Then, another intensity of continuous wave electromagnetic radiation is sensed at another photo detector. Finally, based on this different intensity, the position of the stage and the continuous wave electromagnetic radiation source are set to each other. These steps are repeated until the stage is in place relative to the continuous wave electromagnetic radiation source.

[0045]更に別の実施形態は、半導体基板を熱処理する方法を提供する。連続波電磁放射が、半導体基板の表面を部分的に横切って延びる連続波電磁放射の線へと収束される。次いで、連続波電磁放射の線と表面は、一定に所定速度で互いに並進移動される。その後、放射の線は、その長さに沿って、その長さに等しいか又はそれより若干短い距離だけシフトされる。再び、連続波電磁放射の線と表面とは、一定に所定速度で互いに並進移動される。このオーバー走査は、基板の各露出ポイントが実質的に均質な熱露出を有するのを許容する。   [0045] Yet another embodiment provides a method of heat treating a semiconductor substrate. Continuous wave electromagnetic radiation is focused into a line of continuous wave electromagnetic radiation that extends partially across the surface of the semiconductor substrate. The line of continuous wave electromagnetic radiation and the surface are then translated relative to each other at a constant rate. The line of radiation is then shifted along its length by a distance equal to or slightly shorter than that length. Again, the line of continuous wave electromagnetic radiation and the surface are translated relative to each other at a constant rate. This overscan allows each exposure point of the substrate to have a substantially uniform thermal exposure.

[0046]本発明の特徴及び目的を良く理解するために、添付図面を参照して以下に詳細に説明する。
従来の種々の熱プロセスの熱プロフィールを示すグラフである。 本発明の一実施形態により基板を熱処理するための装置を示す概略側面図である。 図2Aに示された基板及びステージの概略上面図である。 本発明の別の実施形態により基板を熱処理するための別の装置を示す概略側面図である。 基板を熱処理するための方法のフローチャートである。 本発明の実施形態に基づく熱処理中に固定ポイントにおける基板上の及び基板を通る温度を示すグラフである。 本発明の別の実施形態により基板上に層を堆積するための装置を示す概略側面図である。 図6に示す本発明の実施形態により基板に層を堆積するための方法を示すフローチャートである。 図6に示す本発明の実施形態により850℃及び740Torrにおいてシランを分解するためのモンテカルロシミュレーションの結果を示すグラフである。 本発明の更に別の実施形態により基板を熱処理するための更に別の装置を示す側面図である。 図9Aに示された装置の斜視図である。 本発明の更に別の実施形態により基板を熱処理するための更に別の装置を示す後面図である。 図9A及び9Bに示されたインターリーブ合成器の概略側面図である。 図9A及び9Bに示された収束光学系及び検出モジュールの詳細な断面側面図である。 図9A及び9Bに示された装置の原型を示す等尺図である。 熱プロセスを制御する方法のフローチャートである。 自動収束メカニズムの部分断面側面図である。 図14Aに示されたツール基板及びステージの、14B−14B’線に沿った上面図である。 基板の上面に連続波電磁放射の線を自動的に収束するための方法を示すフローチャートである。 測定されたエネルギー密度と、アパーチャーにおける最良の焦点からの距離とを示すグラフである。
[0046] For a better understanding of the features and objects of the present invention, reference will now be made in detail to the accompanying drawings.
It is a graph which shows the thermal profile of various conventional thermal processes. 1 is a schematic side view showing an apparatus for heat-treating a substrate according to an embodiment of the present invention. FIG. 2B is a schematic top view of the substrate and the stage shown in FIG. 2A. FIG. 6 is a schematic side view illustrating another apparatus for heat treating a substrate according to another embodiment of the present invention. 3 is a flowchart of a method for heat treating a substrate. 6 is a graph illustrating the temperature on and through a substrate at a fixed point during a heat treatment according to an embodiment of the present invention. FIG. 6 is a schematic side view illustrating an apparatus for depositing a layer on a substrate according to another embodiment of the present invention. 7 is a flow chart illustrating a method for depositing a layer on a substrate according to the embodiment of the present invention shown in FIG. 7 is a graph showing the results of a Monte Carlo simulation for decomposing silane at 850 ° C. and 740 Torr according to the embodiment of the present invention shown in FIG. 6. FIG. 6 is a side view showing still another apparatus for heat-treating a substrate according to still another embodiment of the present invention. FIG. 9B is a perspective view of the apparatus shown in FIG. 9A. FIG. 6 is a rear view showing still another apparatus for heat-treating a substrate according to still another embodiment of the present invention. FIG. 9B is a schematic side view of the interleave synthesizer shown in FIGS. 9A and 9B. FIG. 9B is a detailed cross-sectional side view of the converging optics and detection module shown in FIGS. 9A and 9B. FIG. 10 is an isometric view showing a prototype of the apparatus shown in FIGS. 9A and 9B. 2 is a flowchart of a method for controlling a thermal process. It is a partial cross section side view of an automatic convergence mechanism. FIG. 14B is a top view of the tool substrate and the stage shown in FIG. 14A along the line 14B-14B ′. 5 is a flow chart illustrating a method for automatically focusing a line of continuous wave electromagnetic radiation on the top surface of a substrate. FIG. 6 is a graph showing measured energy density and distance from the best focus at the aperture. FIG.

[0067]多数の図面全体にわたり対応する部分は同じ参照番号で示されている。参照を容易にするために、参照番号の最初の数字は、一般に、その参照番号が最初に示された図面番号を指す。例えば、102は、図1に見ることができ、1341は、図13に見ることができる。   [0067] Corresponding parts are indicated with the same reference numerals throughout the several figures. For ease of reference, the first digit of a reference number generally refers to the drawing number in which the reference number is first shown. For example, 102 can be seen in FIG. 1 and 1341 can be seen in FIG.

[0068]図2Aは、本発明の実施形態により基板を熱処理するための装置200を示す概略側面図である。基板の熱処理は、以下に説明する本発明の特徴を必要とする熱プロセスである。このような熱プロセスの実施例は、基板の熱アニール又は化学気相堆積(CVD)に使用される熱プロセスを含み、残りの図面全体を通じてこの両方を説明する。   [0068] FIG. 2A is a schematic side view illustrating an apparatus 200 for heat treating a substrate according to an embodiment of the present invention. The heat treatment of the substrate is a thermal process that requires the features of the present invention described below. Examples of such thermal processes include thermal processes used for thermal annealing or chemical vapor deposition (CVD) of substrates, both of which are described throughout the remaining figures.

[0069]装置200は、連続波電磁放射モジュール201と、基板214を受け取るように構成されたステージ216と、並進移動メカニズム218とを備えている。連続波電磁放射モジュール201は、連続波電磁放射ソース202と、該連続波電磁放射ソース202とステージ216の間に配置された収束光学系220とを備えている。   [0069] The apparatus 200 comprises a continuous wave electromagnetic radiation module 201, a stage 216 configured to receive a substrate 214, and a translation mechanism 218. The continuous wave electromagnetic radiation module 201 includes a continuous wave electromagnetic radiation source 202 and a converging optical system 220 disposed between the continuous wave electromagnetic radiation source 202 and a stage 216.

[0070]好ましい実施形態では、基板214は、単結晶シリコン基板、シリコン・オン・インスレータ(SOI)、シリコンゲルマニウム又はその合金、薄膜トランジスタ(TFT)を製造するのに使用されるシリコン層を伴うガラス又は石英基板、等のような適当な基板である。しかしながら、単結晶シリコン基板の熱束処理は、TFT基板の場合より更に困難であることが明らかである。というのは、単結晶シリコン基板は、TFTより熱伝導率が著しく高く、且つ単結晶シリコン基板の用途は、熱プロセスのより厳密な制御を必要とするからである。   [0070] In a preferred embodiment, the substrate 214 is a single crystal silicon substrate, silicon-on-insulator (SOI), silicon germanium or alloys thereof, glass with a silicon layer used to manufacture thin film transistors (TFTs), or A suitable substrate such as a quartz substrate. However, it is clear that heat flux processing of single crystal silicon substrates is even more difficult than with TFT substrates. This is because single crystal silicon substrates have significantly higher thermal conductivity than TFTs, and the use of single crystal silicon substrates requires tighter control of the thermal process.

[0071]連続波電磁放射ソース202は、「連続波」即ち光のような電磁放射線を放出することができる。「連続波」とは、放射ソースが、放射を連続的に、即ちバーストやパルスやフラッシュでない放射を放出するように構成されることを意味する。これは、通常バースト又はフラッシュ光を使用するレーザアニールに使用されるレーザとはかなり相違する。   [0071] The continuous wave electromagnetic radiation source 202 may emit electromagnetic radiation such as "continuous wave" or light. By “continuous wave” is meant that the radiation source is configured to emit radiation continuously, ie, radiation that is not a burst, pulse or flash. This is quite different from lasers typically used for laser annealing using burst or flash light.

[0072]更に、連続波電磁放射は、基板の表面又はその付近で吸収されることが必要であるので、放射の波長は、基板が放射を吸収する範囲内のものである。シリコン基板の場合には、連続波電磁放射は、190nmから950nmの波長を有するのが好ましい。更に好ましくは、約808nmの波長を有する。   [0072] Furthermore, since continuous wave electromagnetic radiation needs to be absorbed at or near the surface of the substrate, the wavelength of the radiation is within a range where the substrate absorbs radiation. In the case of a silicon substrate, the continuous wave electromagnetic radiation preferably has a wavelength of 190 nm to 950 nm. More preferably, it has a wavelength of about 808 nm.

[0073]或いは又、UV又はその付近で動作する高電力の連続波電磁放射レーザソースが使用されてもよく、この場合、このような連続波電磁放射レーザソースにより発生される波長は、ほとんどの反射性材料により強力に吸収されるものである。   [0073] Alternatively, high power continuous wave electromagnetic radiation laser sources operating at or near the UV may be used, in which case the wavelengths generated by such continuous wave electromagnetic radiation laser sources are most It is strongly absorbed by the reflective material.

[0074]好ましい実施形態では、連続波電磁放射ソース202は、放射を少なくとも15秒間連続的に放出することができる。また、好ましい実施形態では、連続波電磁放射ソース202は、同じ波長の均一で且つ空間的にコヒレントな光を各々発生する複数のレーザダイオードを備えている。更に別の好ましい実施形態では、レーザダイオードの電力は、0.5kWから50kWの範囲であるが、約5kWであるのが好ましい。適当なレーザダイオードは、カリフォルニア州サンタクララのコヒレント・インク、カリフォルニア州のスペクトラ−フィジックス、又はセントチャールズミズリーのカッティング・エッジ・オプトロニックス・インクにより製造されたものでよい。好ましいレーザダイオードは、カッティング・エッジ・オプトロニックスにより製造されたものであるが、別の適当なレーザダイオードは、レーザダイオードモジュール当たり40−480ワットの連続波電力を与えるスペクトラ・フィジックスのMONSOON(登録商標)マルチバーモジュール(MBM)である。   [0074] In a preferred embodiment, the continuous wave electromagnetic radiation source 202 can emit radiation continuously for at least 15 seconds. In a preferred embodiment, the continuous wave electromagnetic radiation source 202 also comprises a plurality of laser diodes each generating uniform and spatially coherent light of the same wavelength. In yet another preferred embodiment, the power of the laser diode is in the range of 0.5 kW to 50 kW, but is preferably about 5 kW. Suitable laser diodes may be manufactured by Coherent, Inc. of Santa Clara, Calif., Spectra-Physics of California, or Cutting Edge Optronics, Inc. of St. Charles Missley. The preferred laser diode is manufactured by Cutting Edge Optronics, but another suitable laser diode is Spectra Physics' MONSONON which provides 40-480 watts continuous wave power per laser diode module. Trademark) Multibar Module (MBM).

[0075]収束光学系220は、連続波電磁放射ソース202からの放射204を実質的に平行なビーム208へとコリメートする1つ以上のコリメータ206を含むのが好ましい。このコリメートされた放射208は、次いで、少なくとも1つのレンズ210により基板214の上面224における放射の線222へと収束される。   [0075] The focusing optics 220 preferably includes one or more collimators 206 that collimate the radiation 204 from the continuous wave electromagnetic radiation source 202 into a substantially parallel beam 208. This collimated radiation 208 is then focused by at least one lens 210 onto a line of radiation 222 on the upper surface 224 of the substrate 214.

[0076]レンズ210は、放射を線へと収束することのできる適当なレンズ又は一連のレンズである。好ましい実施形態では、レンズ210は、円柱状レンズである。或いは又、レンズ210は、1つ以上の凹レンズ、凸レンズ、平面ミラー、凹面ミラー、凸面ミラー、屈折レンズ、回折レンズ、フレネルレンズ、屈折率分布型レンズ、等であってもよい。収束光学系220は、図11を参照して以下に詳細に説明する。   [0076] Lens 210 is a suitable lens or series of lenses capable of converging radiation into a line. In a preferred embodiment, lens 210 is a cylindrical lens. Alternatively, the lens 210 may be one or more concave lenses, convex lenses, plane mirrors, concave mirrors, convex mirrors, refractive lenses, diffractive lenses, Fresnel lenses, gradient index lenses, and the like. The convergence optical system 220 will be described in detail below with reference to FIG.

[0077]ステージ216は、以下に説明するように、並進移動中に基板214をしっかり保持することのできるプラットホーム又はチャックである。好ましい実施形態では、ステージ216は、基板を把持する手段、例えば、摩擦、重力、機械的又は電気的システムを含む。適当な把持手段は、例えば、機械的クランプ、静電又は真空チャック等を含む。   [0077] The stage 216 is a platform or chuck that can hold the substrate 214 firmly during translation, as described below. In a preferred embodiment, stage 216 includes means for gripping the substrate, such as a friction, gravity, mechanical or electrical system. Suitable gripping means include, for example, mechanical clamps, electrostatic or vacuum chucks, and the like.

[0078]また、装置200は、ステージ216と放射の線222を互いに並進移動するように構成された並進移動メカニズム218も備えている。一実施形態では、並進移動メカニズム218は、ステージ216に結合され、ステージ216を連続波電磁放射ソース202及び/又は収束光学系220に対して移動する。別の実施形態では、並進移動メカニズム218は、連続波電磁放射ソース202及び/又は収束光学系220に結合され、連続波電磁放射ソース202及び/又は収束光学系220をステージ216に対して移動する。更に別の実施形態では、並進移動メカニズム218は、連続波電磁放射ソース202及び/又は収束光学系220と、ステージ216との両方を移動する。コンベヤシステム、ラック・ピニオンシステム、等の適当な並進移動メカニズムを使用することができる。   [0078] The apparatus 200 also includes a translation mechanism 218 configured to translate the stage 216 and the line of radiation 222 relative to each other. In one embodiment, translation mechanism 218 is coupled to stage 216 and moves stage 216 relative to continuous wave electromagnetic radiation source 202 and / or focusing optics 220. In another embodiment, translation mechanism 218 is coupled to continuous wave electromagnetic radiation source 202 and / or focusing optics 220 and moves continuous wave electromagnetic radiation source 202 and / or focusing optics 220 relative to stage 216. . In yet another embodiment, the translation mechanism 218 moves both the continuous wave electromagnetic radiation source 202 and / or the focusing optics 220 and the stage 216. Any suitable translation mechanism such as a conveyor system, rack and pinion system, etc. can be used.

[0079]並進移動メカニズム218は、ステージ216及び放射の線222が互いに移動する走査速度を制御するためにコントローラに結合されるのが好ましい。更に、ステージ216と放射の線222の互いの並進移動は、放射の線222に垂直で且つ基板214の上面224に平行な経路に沿って行なわれるのが好ましい。好ましい実施形態では、並進移動メカニズム218は、一定速度で移動する。この一定速度は、35ミクロン幅の線に対して約2cm/sであるのが好ましい。別の実施形態では、ステージ216と放射の線222の互いの並進移動は、放射の線222に垂直な経路に沿ったものでない。   [0079] The translation mechanism 218 is preferably coupled to a controller to control the scanning speed at which the stage 216 and the line of radiation 222 move relative to each other. Further, translation of the stage 216 and the radiation line 222 relative to each other is preferably performed along a path that is perpendicular to the radiation line 222 and parallel to the upper surface 224 of the substrate 214. In a preferred embodiment, the translation mechanism 218 moves at a constant speed. This constant speed is preferably about 2 cm / s for a 35 micron wide line. In another embodiment, the translation of stage 216 and radiation line 222 relative to each other is not along a path perpendicular to radiation line 222.

[0080]図2Bは、図2Aの2B−2B’線に沿って見た基板及びステージの概略上面図である。好ましい実施形態では、基板214は、直径が200又は300mmで、厚みが約750ミクロンの円形基板である。また、好ましい実施形態では、放射の線222は、その長さが少なくとも基板214の全直径又は幅を横切って延びる。また、放射の線222は、その幅228が3から500ミクロンであるのが好ましい。しかしながら、好ましい実施形態では、放射の線222は、その幅228が約35ミクロンである。この幅は、放射の最大強度の半分において測定される(全幅半最大(FWHM)としても知られている)。全ての実施形態において、線の長さは、その幅より長い。好ましい実施形態では、放射の線222は、この線が移動方向に垂直であり、即ちこの線が常に基板の固定線即ち弦252に平行に保たれるように、基板214を直線的に横断する。   [0080] FIG. 2B is a schematic top view of the substrate and stage viewed along line 2B-2B 'of FIG. 2A. In a preferred embodiment, substrate 214 is a circular substrate having a diameter of 200 or 300 mm and a thickness of about 750 microns. Also, in a preferred embodiment, the radiation line 222 extends at least across the entire diameter or width of the substrate 214. Also, the radiation line 222 preferably has a width 228 of 3 to 500 microns. However, in the preferred embodiment, the radiation line 222 has a width 228 of about 35 microns. This width is measured at half the maximum intensity of radiation (also known as full width half maximum (FWHM)). In all embodiments, the length of the line is longer than its width. In the preferred embodiment, the line of radiation 222 traverses the substrate 214 linearly so that it is perpendicular to the direction of travel, i.e., this line is always kept parallel to the fixed line or chord 252 of the substrate. .

[0081]放射の線における好ましい電力密度は、10kW/cmから200kW/cmであり、公称範囲は60kW/cm付近である。基板の全面をこれらの電力密度で放射することは容易に達成できないが、この強度をもつ放射の線で基板を横切って走査することは可能である。例えば、ピーク電力密度が70kW/cmで、幅が400ミクロンの放射の線を使用して、100cm/sで走査した実験では、ランプアップ及びランプダウン率が4百万℃/sを越える状態で基板の表面が約1170℃に加熱された。 [0081] The preferred power density in the radiation line is from 10 kW / cm 2 to 200 kW / cm 2 , with a nominal range around 60 kW / cm 2 . Radiating the entire surface of the substrate at these power densities is not easily achieved, but it is possible to scan across the substrate with a line of radiation having this intensity. For example, in an experiment scanned at 100 cm / s using a line of radiation with a peak power density of 70 kW / cm 2 and a width of 400 microns, the ramp-up and ramp-down rates exceed 4 million ° C./s. The surface of the substrate was heated to about 1170 ° C.

[0082]図3は、本発明の別の実施形態により基板を熱処理するための別の装置300を示す概略側面図である。この実施形態は、収束光学系320の別の構成を示す。この実施形態では、収束光学系320は、レンズ210と、1つ以上の放射ガイド、例えば、1つ以上の光ファイバー308及びプリズム306とを備えている。他の放射ガイド、例えば、導波器、ミラー、拡散器を使用することもできる。   [0082] FIG. 3 is a schematic side view illustrating another apparatus 300 for heat treating a substrate according to another embodiment of the present invention. This embodiment shows another configuration of the converging optical system 320. In this embodiment, the converging optics 320 includes a lens 210 and one or more radiation guides, such as one or more optical fibers 308 and prisms 306. Other radiation guides such as directors, mirrors, diffusers can also be used.

[0083]連続波電磁放射ソース202からの放射は、プリズム306に向けられ、該プリズムは、この放射を1つ以上の光ファイバー308へ向け直す。放射は、これら光ファイバー308を経てレンズ210へ伝送され、そこで、放射の線222へと収束される。   [0083] Radiation from the continuous wave electromagnetic radiation source 202 is directed to a prism 306 that redirects this radiation to one or more optical fibers 308. The radiation is transmitted through these optical fibers 308 to the lens 210 where it is focused onto the radiation line 222.

[0084]上述した収束光学系220(図2A)又は320の多数の異なる組合せを使用して、連続波電磁放射ソースからの放射を伝送し、放射の線へと収束してもよいことが明らかであろう。また、レーザダイオードの直線アレイを放射ソースとして使用することもできる。更に、均一な放射分布を形成する適当な手段、例えば、放射拡散器を使用してもよい。   [0084] It will be appreciated that many different combinations of the focusing optics 220 (FIG. 2A) or 320 described above may be used to transmit radiation from a continuous wave electromagnetic radiation source and converge it to a line of radiation. Will. A linear array of laser diodes can also be used as the radiation source. In addition, any suitable means for forming a uniform radiation distribution, such as a radiation diffuser, may be used.

[0085]図4は、基板214(図2A)を熱処理するための方法を示すフローチャート400である。ステップ402において、図2及び3を参照して上述した装置が用意される。次いで、ステップ404において、コントローラ226(図2A)は、放射の線222(図2A)及び基板が互いに移動する走査速度を決定する。この決定は、基板を処理するための熱レシピ、基板の特性、連続波電磁放射ソース202(図2A)の電力、放射の線の幅、放射の線の電力密度、等に基づいて行なわれる。   [0085] FIG. 4 is a flowchart 400 illustrating a method for heat treating the substrate 214 (FIG. 2A). In step 402, the apparatus described above with reference to FIGS. 2 and 3 is provided. Next, at step 404, the controller 226 (FIG. 2A) determines the scan speed at which the radiation line 222 (FIG. 2A) and the substrate move relative to each other. This determination is made based on the thermal recipe for processing the substrate, the characteristics of the substrate, the power of the continuous wave electromagnetic radiation source 202 (FIG. 2A), the width of the radiation line, the power density of the radiation line, and the like.

[0086]連続波電磁放射ソース202(図2A)は、ステップ406において、連続波の放射204(図2A)を放出する。この放射204は、ステップ408において、コリメートされた放射ビーム208(図2A)へとコリメートされるのが好ましい。このコリメートされた放射ビーム208(図2A)は、ステップ410において、放射の線222(図2A)へと収束される。好ましい走査速度に基づき、ステージ216(図2A)及び放射の線222(図2A)が、ステップ412において、並進移動メカニズム218(図2A)により互いに並進移動される。この並進移動は、放射の線222に垂直で且つ基板の上面に平行な経路に沿って行なわれ、放射の線が基板214全体を横断するようにされる。好ましい実施形態では、並進移動メカニズム218が放射ソース及び収束光学系を基板の上面に対して約2cm/sで走査させる。   [0086] The continuous wave electromagnetic radiation source 202 (FIG. 2A) emits continuous wave radiation 204 (FIG. 2A) at step 406. This radiation 204 is preferably collimated at step 408 into a collimated radiation beam 208 (FIG. 2A). This collimated radiation beam 208 (FIG. 2A) is focused at step 410 to a line of radiation 222 (FIG. 2A). Based on the preferred scanning speed, stage 216 (FIG. 2A) and line of radiation 222 (FIG. 2A) are translated relative to each other by translation mechanism 218 (FIG. 2A) at step 412. This translation is performed along a path that is perpendicular to the line of radiation 222 and parallel to the top surface of the substrate, such that the line of radiation traverses the entire substrate 214. In a preferred embodiment, translation mechanism 218 causes the radiation source and focusing optics to scan at about 2 cm / s relative to the top surface of the substrate.

[0087]図5は、図4を参照して上述した方法に基づいて実行される熱処理中に固定ポイントにおける基板上の及び基板を通る温度対時間及び深さを示すグラフ500である。温度軸502は、固定ポイントにおける0から1400℃の温度を示す。軸504は、固定ポイントにおいて上面224(図2B)から基板214(図2B)内への深さを示す。軸506は、走査開始後のあるポイントにおける時間を秒で示す。固定ポイントは、508に位置すると仮定する。   [0087] FIG. 5 is a graph 500 illustrating temperature versus time and depth on and through a substrate at a fixed point during a heat treatment performed based on the method described above with reference to FIG. A temperature axis 502 indicates a temperature from 0 to 1400 ° C. at a fixed point. Axis 504 indicates the depth from top surface 224 (FIG. 2B) into substrate 214 (FIG. 2B) at a fixed point. The axis 506 shows the time at a point after the start of scanning in seconds. Assume that the fixed point is located at 508.

[0088]放射の線222(図2B)が、基板214(図2B)の上面224(図2B)を横切って走査するときには、それが発生する熱を基板上の線即ち弦に受けさせる。放射の線が固定ポイントに到達する前には、固定ポイントの温度は、固定ポイントにおける上面でも基板断面全体でも、参照番号516で示すように周囲温度である。放射の線が508の固定ポイントに到達するや否や、上面の温度は、参照番号510で示すように、1200℃のようなプロセス温度(又はプロセスに必要な他の希望温度)へ約1e6C/sでランプアップする。同時に、基板は、ヒートシンクとして働いて、参照番号512で示すように、表面から離れるにつれて急激な温度降下を生じさせる。例えば、図5に示すように、上面のポイントから0.04cmのところでは、温度が約200℃である。従って、加熱効果が上面のみに一般的に局所化される。これは、一般に、基板の上面224(図2A)付近の領域しか熱処理を必要としないので、非常に好都合である。   [0088] When the line of radiation 222 (FIG. 2B) scans across the top surface 224 (FIG. 2B) of the substrate 214 (FIG. 2B), it causes the heat or heat generated by it to be received by the line or string on the substrate. Before the line of radiation reaches the fixed point, the temperature of the fixed point is the ambient temperature, as indicated by reference numeral 516, on the top surface at the fixed point and the entire substrate cross section. As soon as the line of radiation reaches a fixed point of 508, the top surface temperature is about 1e6 C / s to a process temperature such as 1200 ° C. (or other desired temperature required for the process), as indicated by reference numeral 510. To ramp up. At the same time, the substrate acts as a heat sink, causing a rapid temperature drop as it moves away from the surface, as indicated by reference numeral 512. For example, as shown in FIG. 5, the temperature is about 200 ° C. at a point 0.04 cm from the upper surface point. Therefore, the heating effect is generally localized only on the top surface. This is very convenient because generally only the region near the top surface 224 (FIG. 2A) of the substrate needs to be heat treated.

[0089]放射の線が固定ポイントを越えてそこから離れるにつれて、参照番号514で示すように、温度が急激に降下する。これも、基板がヒートシンクとして働いて、上面の熱を、残りの冷えた基板全体にわたり拡散するからである。これは、基板全体を同時に加熱するRTPのような従来の熱システムではなし得なかったことである。というのは、基板全体が高温度となるために、冷えた領域へ熱を容易に消散できないからである。実際に、図5に示す時間スケールではRTPと比較ができない。というのは、重畳するRTPグラフは、1100℃でほぼ平坦な平面となって約1秒間延びるからである。1秒は、図5に示す時間周期より400倍も大きい。   [0089] As the line of radiation moves beyond and beyond the fixed point, the temperature drops rapidly, as indicated by reference numeral 514. This is also because the substrate acts as a heat sink and spreads the heat on the top surface throughout the remaining cold substrate. This is not possible with conventional thermal systems such as RTP that heat the entire substrate simultaneously. This is because the entire substrate is at a high temperature so that heat cannot be easily dissipated to the cold area. Actually, the time scale shown in FIG. 5 cannot be compared with RTP. This is because the superimposed RTP graph becomes a substantially flat plane at 1100 ° C. and extends for about 1 second. One second is 400 times larger than the time period shown in FIG.

[0090]それ故、従来のプロセスとは異なり、本発明は、基板の表面を所定の電力密度で所定の時間中(約1ミリ秒間)加熱して、基板の表面が、好ましくは500℃未満の周囲温度(T)から、好ましくは700℃より高いプロセス温度(T)へと加熱されるようにする。同時に、表面から所定深さの温度(T)は、周囲温度に、プロセス温度から周囲温度を引いたものの半分を加えたものより低く保たれ、即ちT≦T+(T−T)/2である。この所定の深さは、当該深さの約10倍であり、即ちSiにおけるデバイス構造体の最大深さの10倍である。典型的なSi基板では、デバイス構造体の最大深さが約3ミクロンである。 [0090] Therefore, unlike conventional processes, the present invention heats the surface of the substrate at a predetermined power density for a predetermined time (about 1 millisecond) so that the surface of the substrate is preferably less than 500 ° C. From the ambient temperature (T A ) to a process temperature (T P ) preferably higher than 700 ° C. At the same time, the temperature at a given depth from the surface (T D ) is kept lower than the ambient temperature plus half of the process temperature minus the ambient temperature, ie, T D ≦ T A + (T P −T A ) / 2. This predetermined depth is about 10 times that depth, ie 10 times the maximum depth of the device structure in Si. For a typical Si substrate, the maximum depth of the device structure is about 3 microns.

[0091]基板の大部分へ熱をこのように伝達すると、局部的に強い熱吸収領域から弱い熱吸収領域へ熱が拡散するに充分な時間があるので、均質な熱露出が促進される。また、パターン密度の効果は、RTPに匹敵するものである。しかしながら、時間スケールは、熱伝達の拡散深さを、RTPの場合のように基板の数百ミクロン厚みではなく、数ミクロンに制限するに充分なほど短く、従って、全所要電力が相当に減少される。基板の大部分は、著しく加熱されず、温度ランプダウンのための理想的なヒートシンクとなる。   [0091] This transfer of heat to the majority of the substrate promotes homogeneous heat exposure because there is sufficient time for heat to diffuse from a locally strong heat absorbing region to a weak heat absorbing region. The effect of pattern density is comparable to RTP. However, the time scale is short enough to limit the heat transfer diffusion depth to a few microns rather than a few hundred microns thickness of the substrate as in RTP, thus reducing the total power requirement considerably. The Most of the substrate is not heated significantly, making it an ideal heat sink for temperature ramp down.

[0092]従来のレーザアニールシステムの1つの問題は、基板の比較的小さなエリアを急速に加熱することにより応力に関連した欠陥が生じることである。それ故、実験を行なって、本発明の熱束処理が応力に関連した欠陥を基板に生じさせるかどうかテストした。ピーク応力は、最大温度ではなく、最大温度付近で生じる。放射の線が適当に細く且つ加熱の深さが適当に浅い場合には、最大熱勾配の領域を最高温度の領域から変位させて、スリップ窓を増加し、欠陥を減少することができる。この実験の間に、ピーク電力密度が60kW/cmで、幅が400ミクロンの放射の線のもとで、サンプルを20cm/において走査した。本発明は、ピーク熱勾配をピーク温度から変位することができ、従って、位置ずれを招くことなく、1keVの硼素インプラントで70nmのノードに適した超浅接合(USJ)形成を行うことができる。典型的なインプラント関連欠陥しか観察されなかった。 [0092] One problem with conventional laser annealing systems is that rapid heating of relatively small areas of the substrate causes stress-related defects. Therefore, experiments were performed to test whether the heat flux treatment of the present invention caused stress related defects in the substrate. The peak stress occurs near the maximum temperature, not the maximum temperature. If the radiation line is reasonably thin and the heating depth is reasonably shallow, the region of maximum thermal gradient can be displaced from the region of maximum temperature to increase the slip window and reduce defects. During this experiment, the sample was scanned at 20 cm / under a line of radiation with a peak power density of 60 kW / cm 2 and a width of 400 microns. The present invention can displace the peak thermal gradient from the peak temperature, and can therefore form an ultra shallow junction (USJ) suitable for a 70 nm node with a 1 keV boron implant without incurring misalignment. Only typical implant-related defects were observed.

[0093]図6は、本発明の別の実施形態により基板上に層を堆積するための装置600を示す概略側面図である。この装置600は、図2A及び図2Bに示された装置200、並びに図3に示された装置300と同様である。図2A及び図2Bに示されたものと同じ要素は、同じ参照番号を有する。更に、装置600は、CVD、ALD等の堆積プロセスを実行するのに使用されてもよい。   [0093] FIG. 6 is a schematic side view illustrating an apparatus 600 for depositing a layer on a substrate according to another embodiment of the invention. The apparatus 600 is similar to the apparatus 200 shown in FIGS. 2A and 2B and the apparatus 300 shown in FIG. Elements that are the same as those shown in FIGS. 2A and 2B have the same reference numbers. Further, the apparatus 600 may be used to perform a deposition process such as CVD, ALD, etc.

[0094]図2A及び図2Bに関連して上述した要素に加えて、装置600は、多数の要素が収容された反応チャンバー602を示している。少なくとも1つの注入器604を使用して、1つ以上のガス616を反応チャンバー602に導入し、又は注入する。ガス注入器604は、好ましくは、1つ以上のガスソース612(1)−(N)を備え、これらは、ダクト610によりガスマニホールド606の1つ以上のガス導入口608に流体結合される。ガス注入器604は、反応チャンバー602内のいかなる適当な位置に配置されてもよい。例えば、ガスは、反応チャンバーの側に注入されて、放射の線と基板の表面との間の相対的移動の方向に直交して基板の表面を横切って流れてもよいし、或いはガスは、図示されたように、基板の上から注入されてもよい。   [0094] In addition to the elements described above in connection with FIGS. 2A and 2B, the apparatus 600 shows a reaction chamber 602 that contains a number of elements. At least one injector 604 is used to introduce or inject one or more gases 616 into the reaction chamber 602. The gas injector 604 preferably comprises one or more gas sources 612 (1)-(N), which are fluidly coupled to one or more gas inlets 608 of the gas manifold 606 by a duct 610. The gas injector 604 may be located at any suitable location within the reaction chamber 602. For example, the gas may be injected to the side of the reaction chamber and flow across the surface of the substrate perpendicular to the direction of relative movement between the line of radiation and the surface of the substrate, or the gas may be As shown, it may be implanted from above the substrate.

[0095]図6に示す実施形態では、連続波電磁放射は、コリメータによりコリメートされ、プリズム306により基板に向けて再指向され、次いで、レンズ210により線へと収束される。しかしながら、収束光学系220は、上述したように、エネルギーの線を基板214の上面224に収束できるいかなる適当な収束光学系を含んでもよいことが明らかであろう。更に、収束光学系は、チャンバーの外部に配置されてもよく、この場合、放射は透明窓を経てチャンバーへと通過されることが明らかであろう。更に、チャンバー及び/又はガスソースは、いかなる適当な形状及び/又は構成をとってもよい。   [0095] In the embodiment shown in FIG. 6, continuous wave electromagnetic radiation is collimated by a collimator, redirected by a prism 306 towards the substrate, and then converged by a lens 210 into a line. However, it will be apparent that the converging optics 220 may include any suitable converging optics that can focus the lines of energy on the top surface 224 of the substrate 214, as described above. Furthermore, it will be clear that the focusing optics may be arranged outside the chamber, in which case the radiation is passed through the transparent window into the chamber. Further, the chamber and / or gas source may take any suitable shape and / or configuration.

[0096]図7は、図6に示す本発明の実施形態により基板に1つ以上の層を堆積するための方法を示すフローチャート700である。702において、基板214(図6)が反応チャンバー602(図6)に配置される。層614(図6)に必要な原子又は分子を含むアンモニア(NH)及びジクロロシラン(DCS)のような1つ以上のガス616(図6)が、704において、基板214(図6)を収容する反応チャンバー602(図6)に導入される。 [0096] FIG. 7 is a flowchart 700 illustrating a method for depositing one or more layers on a substrate according to the embodiment of the invention shown in FIG. At 702, the substrate 214 (FIG. 6) is placed in the reaction chamber 602 (FIG. 6). One or more gases 616 (FIG. 6), such as ammonia (NH 3 ) and dichlorosilane (DCS) containing the atoms or molecules required for layer 614 (FIG. 6), in 704, substrate 224 (FIG. 6). It is introduced into the reaction chamber 602 (FIG. 6) to be accommodated.

[0097]以下に述べるように、放射の線222(図6)を並進移動する所定の速度が、706において、決定される。この所定の速度は、基板を処理するための熱レシピ、基板の特性、連続波電磁放射の電力、この放射の線の幅、放射の線における電力密度、等の多数のファクタに基づくものである。好ましい実施形態では、この所定の速度は、約2cm/sである。   [0097] As described below, a predetermined speed for translating radiation line 222 (FIG. 6) is determined at 706. This predetermined speed is based on a number of factors such as the thermal recipe for processing the substrate, the characteristics of the substrate, the power of the continuous wave electromagnetic radiation, the width of the radiation line, the power density in the radiation line, etc. . In a preferred embodiment, this predetermined speed is about 2 cm / s.

[0098]次いで、708において、連続波電磁放射が、上述したように、連続波電磁放射ソース202(図6)から放出される。連続波電磁放射は、710において、コリメータ206(図6)によりコリメートされるのが好ましい。   [0098] Next, at 708, continuous wave electromagnetic radiation is emitted from the continuous wave electromagnetic radiation source 202 (FIG. 6), as described above. Continuous wave electromagnetic radiation is preferably collimated at 710 by a collimator 206 (FIG. 6).

[0099]連続波電磁放射は、その後、712において、基板の上面224(図6)を横切って延びる放射の線222(図6)へと収束される。好ましい実施形態では、放射の線の幅228(図6)は、約35ミクロン幅である。次いで、放射の線は、714において、前記決定された一定の所定速度で表面に対して並進移動される。この並進移動は、コントローラ226(図6)の制御のもとで、並進移動メカニズム218(図6)により行なわれる。   [0099] The continuous wave electromagnetic radiation is then focused at 712 to a line of radiation 222 (FIG. 6) extending across the top surface 224 of the substrate (FIG. 6). In the preferred embodiment, the line width of radiation 228 (FIG. 6) is about 35 microns wide. The line of radiation is then translated at 714 relative to the surface at the determined constant predetermined speed. This translation is performed by the translation mechanism 218 (FIG. 6) under the control of the controller 226 (FIG. 6).

[0100]導入されたガス(1つ又は複数)616(図6)と、放射の線により発生された熱との結合で、少なくとも1つのガス616が反応し、基板の表面に層614(図6)を堆積させる。この反応は、ガスとガスとの間の化学反応、1つ以上のガスの分解等でよい。望ましからぬ反応副産物は、716において、反応チャンバーからフラッシュされる。   [0100] At least one gas 616 reacts with the combination of the introduced gas (es) 616 (Fig. 6) and the heat generated by the radiation lines, and a layer 614 (Fig. 6) is deposited. This reaction may be a chemical reaction between gases, decomposition of one or more gases, and the like. Undesirable reaction byproducts are flushed from the reaction chamber at 716.

[0101]このプロセスは、所定の厚みをもつ層614(図6)が基板214(図6)の上面224(図6)に形成されるまで繰り返される。所定の走査速度は、膜/層を構成するのに何回もの走査が必要とされるので、上述した熱束アニールに必要な速度より速いのが好ましい。通常、各堆積層は、8から10Åである。必要とされる膜/層は、フラッシュメモリに使用されるトンネル酸化物の20Åから、スペーサ用途の1500Åまで変化する。それ故、好ましい走査速度は、一般に、数cm/sから約1m/sの範囲である。好ましい線幅228(図6)は、上述したものと同じである。   [0101] This process is repeated until a layer 614 (FIG. 6) having a predetermined thickness is formed on the upper surface 224 (FIG. 6) of the substrate 214 (FIG. 6). The predetermined scanning speed is preferably higher than the speed required for the heat flux annealing described above, because many scans are required to construct the film / layer. Typically, each deposited layer is 8 to 10 inches. The required film / layer varies from 20 Å of tunnel oxide used for flash memory to 1500 の for spacer applications. Therefore, preferred scanning speeds are generally in the range of a few cm / s to about 1 m / s. The preferred line width 228 (FIG. 6) is the same as described above.

[0102]化学的反応は、連続波電磁放射又は放射の線を調整することで基板表面の温度を制御し、反応チャンバーに導入されるガス(1つ又は複数)の量及び/又は比を制御し、更に、反応チャンバー内の圧力を制御することにより、制御される。   [0102] A chemical reaction controls the temperature of the substrate surface by adjusting continuous wave electromagnetic radiation or radiation lines, and controls the amount and / or ratio of gas (s) introduced into the reaction chamber. Furthermore, it is controlled by controlling the pressure in the reaction chamber.

[0103]上述した方法は、基板表面を適度な温度に1ミリ秒以内に加熱することができる。更に、正に表面付近のガスが放射の線により加熱されるので、ガスの反応は、表面又はその付近のみで生じる。放射の線が動き続けるので加熱は非常に短時間であり、従って、正に表面付近のガスしか反応しない。表面から離れたところのガスは決して高温にならないので、望ましからぬ気相反応は防止される。これは、基板表面から離れたところに望ましからぬ気相反応を招くことなく、多数のガスを同時に注入するのを許容する。   [0103] The above-described method can heat the substrate surface to an appropriate temperature within 1 millisecond. Furthermore, since the gas near the surface is heated by the radiation, the gas reaction occurs only at or near the surface. Heating is very short as the radiation lines continue to move, so only the gas near the surface reacts. Since the gas away from the surface never gets hot, unwanted gas phase reactions are prevented. This allows multiple gases to be injected simultaneously without incurring an undesired gas phase reaction away from the substrate surface.

[0104]好ましい実施形態では、上述した方法は、数トル(Torr)から、大気圧より高い圧力までの圧力で実行されるが、大気圧が好ましい。図8は、シミュレーションの結果を示すもので、この短い時間スケールにおいてこのような圧力で反応物の充分な分解が生じ得ることを示している。また、好ましい実施形態では、放射の線の温度は、堆積されている膜/層に依存するが、一般的に600から900℃の範囲である。   [0104] In a preferred embodiment, the method described above is performed at a pressure from a few Torr up to a pressure above atmospheric pressure, with atmospheric pressure being preferred. FIG. 8 shows the results of the simulation, which shows that sufficient decomposition of the reactants can occur at this pressure on this short time scale. Also, in a preferred embodiment, the temperature of the radiation line depends on the film / layer being deposited, but is generally in the range of 600 to 900 ° C.

[0105]図8は、図6に示された本発明の実施形態により850℃及び740Torrにおいてシランを分解するためのモンテカルロシミュレーションの結果を示すグラフ800である。低圧力でのこのシミュレーションは、参考としてここに援用するマイヤーソン、スコット及びツイ著のChemtronics1(1986年)150により公表された決定論的モデルを複製するものである。   [0105] FIG. 8 is a graph 800 showing the results of a Monte Carlo simulation for decomposing silane at 850 ° C. and 740 Torr according to the embodiment of the present invention shown in FIG. This simulation at low pressure replicates the deterministic model published by Chemtronics 1 (1986) 150 by Meyerson, Scott and Tui, incorporated herein by reference.

[0106]このグラフ800は、典型的なCVDガスであるジクロロシラン(DCS)のようなシランが、基板表面に堆積するのに必要な分子へと分解することを示している。分解は、ほぼ大気圧である740Torr及び850℃の温度で生じる。この温度及び圧力で分解が生じる全時間は、約6x10−4秒である。この温度及び走査速度は、本発明でしかなし得ない。というのは、従来の方法では、反応が生じるに充分な時間を与えながら、このような短い時間内にこのような高い温度を達成することができないからである。 [0106] This graph 800 shows that a typical CVD gas, such as dichlorosilane (DCS), decomposes into the molecules necessary to deposit on the substrate surface. Decomposition occurs at a temperature of 740 Torr and 850 ° C., which is approximately atmospheric pressure. The total time at which decomposition occurs at this temperature and pressure is about 6 × 10 −4 seconds. This temperature and scan speed can only be achieved with the present invention. This is because conventional methods cannot achieve such a high temperature within such a short time while giving sufficient time for the reaction to occur.

[0107]基板上に層を堆積するための前記装置及び方法は、多数の効果を発揮する。例えば、高温度で費やされる時間が短いためにプロセスの熱履歴が低い。   [0107] The apparatus and method for depositing a layer on a substrate exhibits a number of advantages. For example, the thermal history of the process is low due to the short time spent at high temperatures.

[0108]更に、放射の線が基板の表面に熱を印加するだけであるので、ガスの反応は表面にしか生じない。これは、気相搬送制限の低下を招く。また、これは、表面から離れたところでの気相反応の低下も招き、基板表面に望ましからぬ粒子が形成されるのを回避する。更に、この方法は、大気圧で行うことができ、シランのような反応物の高速分解を生じさせ、これにより、高い堆積率を可能にする。   [0108] Furthermore, since the radiation lines only apply heat to the surface of the substrate, the gas reaction only occurs on the surface. This leads to a reduction in vapor phase transport restrictions. This also causes a drop in the gas phase reaction away from the surface, avoiding the formation of unwanted particles on the substrate surface. Furthermore, this method can be performed at atmospheric pressure, resulting in fast decomposition of reactants such as silane, thereby allowing high deposition rates.

[0109]図9Aは、本発明の更に別の実施形態により基板を熱処理するための更に別の装置900を示す側面図である。この装置900は、図2A及び2Bに示された装置200、図3に示された装置300、及び図6に示された装置600と同様である。同様の名称をもつ要素は、同様であるが、以下に相違点を説明する。   [0109] FIG. 9A is a side view illustrating yet another apparatus 900 for heat treating a substrate according to yet another embodiment of the present invention. The device 900 is similar to the device 200 shown in FIGS. 2A and 2B, the device 300 shown in FIG. 3, and the device 600 shown in FIG. Elements having similar names are similar, but the differences will be described below.

[0110]この装置900は、連続波電磁放射モジュール902と、基板906を受け取るように構成されたステージ904と、このステージ904及び連続波電磁放射モジュール902を互いに移動するための並進移動メカニズム(図示せず)とを備えている。連続波電磁放射モジュール902は、少なくとも1つの連続波電磁放射ソース908(A+B)と、この連続波電磁放射ソース908(A+B)と基板906との間に配置された光学系910(A+B)とを含むのが好ましい。上述したように、基板906は、単結晶シリコン基板、シリコン・オン・インスレータ(SOI)、シリコンゲルマニウム又はその合金、薄膜トランジスタ(TFT)を製造するのに使用されるシリコン層を伴うガラス又は石英基板、等のような適当な基板である。   [0110] The apparatus 900 includes a continuous wave electromagnetic radiation module 902, a stage 904 configured to receive a substrate 906, and a translation mechanism for moving the stage 904 and the continuous wave electromagnetic radiation module 902 relative to each other (FIG. Not shown). The continuous wave electromagnetic radiation module 902 includes at least one continuous wave electromagnetic radiation source 908 (A + B) and an optical system 910 (A + B) disposed between the continuous wave electromagnetic radiation source 908 (A + B) and the substrate 906. It is preferable to include. As described above, the substrate 906 can be a single crystal silicon substrate, a silicon-on-insulator (SOI), silicon germanium or an alloy thereof, a glass or quartz substrate with a silicon layer used to manufacture thin film transistors (TFTs), A suitable substrate such as.

[0111]連続波電磁放射ソース908(A+B)は、図2Aを参照して説明した連続波電磁放射ソース202と同様である。好ましい実施形態では、連続波電磁放射ソース908(A+B)は、9kWまでの放射を発生し、これは、光学系910(A+B)により基板表面上の放射の線へと収束され、この放射の線は、幅が30ミクロンで、長さが少なくとも300mmである。また、好ましい実施形態では、連続波電磁放射ソース908(A+B)は、装置900の片側に15個のレーザダイオードモジュール908(A)を含むと共に、装置900の他側に16個のレーザダイオードモジュール908(B)を含む。レーザダイオードモジュール908(A)は、図9Bに示すように、レーザダイオードモジュール908(B)に対して食い違わされており、即ちレーザダイオードモジュール908(A)から放出された放射は、レーザダイオードモジュール908(B)から放出された放射とインターデジテートする。また、好ましい実施形態では、対向するレーザダイオードモジュールの各セットは、1つ以上の電源916に電気的に結合される。或いは又、各単一のレーザダイオードモジュール、又はレーザダイオードモジュールの組合せが、1つ以上の電源により通電されてもよい。電源916は、コンピュータシステム914に電気的に結合される。   [0111] The continuous wave electromagnetic radiation source 908 (A + B) is similar to the continuous wave electromagnetic radiation source 202 described with reference to FIG. 2A. In a preferred embodiment, the continuous wave electromagnetic radiation source 908 (A + B) generates up to 9 kW of radiation, which is focused by the optical system 910 (A + B) into a line of radiation on the substrate surface. Is 30 microns wide and at least 300 mm long. In a preferred embodiment, the continuous wave electromagnetic radiation source 908 (A + B) also includes 15 laser diode modules 908 (A) on one side of the device 900 and 16 laser diode modules 908 on the other side of the device 900. (B) is included. The laser diode module 908 (A) is confused with the laser diode module 908 (B) as shown in FIG. 9B, that is, the radiation emitted from the laser diode module 908 (A) Interdigitate with the radiation emitted from 908 (B). Also, in the preferred embodiment, each set of opposing laser diode modules is electrically coupled to one or more power supplies 916. Alternatively, each single laser diode module or combination of laser diode modules may be energized by one or more power sources. A power supply 916 is electrically coupled to the computer system 914.

[0112]好ましい実施形態では、この技術で良く理解されるように、水のような冷却流体が、連続波電磁放射ソース908(A+B)内に循環されて、これを冷えた状態に保持する。   [0112] In a preferred embodiment, as is well understood in the art, a cooling fluid, such as water, is circulated into the continuous wave electromagnetic radiation source 908 (A + B) to keep it cool.

[0113]光学系910(A+B)は、上述した収束光学系と同様の収束光学系910(A)と、インターリーブ合成器910(B)とを備えている。インターリーブ合成器910(B)は、図10を参照して以下に説明し、一方、収束光学系910(A)は、図11を参照して以下に説明する。   The optical system 910 (A + B) includes a converging optical system 910 (A) similar to the converging optical system described above, and an interleave combiner 910 (B). The interleave synthesizer 910 (B) is described below with reference to FIG. 10, while the converging optical system 910 (A) is described below with reference to FIG.

[0114]また、装置900は、図11を参照して以下に説明するように、コンピュータシステム914に結合された検出モジュール912(A+B+C)も含むのが好ましい。   [0114] The apparatus 900 also preferably includes a detection module 912 (A + B + C) coupled to the computer system 914, as described below with reference to FIG.

[0115]コンピュータシステム914は、図13を参照して以下に説明する方法を実行するための命令及び/又は手順を含む。   [0115] The computer system 914 includes instructions and / or procedures for performing the methods described below with reference to FIG.

[0116]図9Cは、本発明の更に別の実施形態により基板962を熱処理するための更に別の装置950を示す後面図である。この実施形態では、連続波電磁放射の線は、基板962の幅全体を横切って延びず、むしろ、基板の直径又は幅の一部分を横切って延びるだけである。換言すれば、連続波電磁放射の線は、その長さ960が基板の直径又は幅968より短い。   [0116] Figure 9C is a rear view of yet another apparatus 950 for heat treating a substrate 962 according to yet another embodiment of the present invention. In this embodiment, the line of continuous wave electromagnetic radiation does not extend across the entire width of the substrate 962, but rather only extends across a portion of the diameter or width of the substrate. In other words, the line of continuous wave electromagnetic radiation has a length 960 that is less than the diameter or width 968 of the substrate.

[0117]使用中に、連続波電磁放射の線は、基板表面を横切って2回以上の走査を行うのが好ましい。各次々の走査は、線の長さに沿った熱露出均一性が改善されるように、その前に走査されたエリアに重畳するのが好ましい。線シフトメカニズム966を使用して、連続波電磁放射の線と基板を線の長さに沿って互いにシフトし、即ち線の長さに実質的に共線的に且つ走査方向に実質的に垂直にシフトする。この重畳は、RTPに使用される回転式平均化と同様に基板上の全てのポイントの熱露出を平均化する。   [0117] In use, the line of continuous wave electromagnetic radiation is preferably scanned more than once across the substrate surface. Each successive scan is preferably superimposed on the previously scanned area so that the thermal exposure uniformity along the length of the line is improved. A line shifting mechanism 966 is used to shift the line of continuous wave electromagnetic radiation and the substrate along the length of the line, ie substantially collinear to the length of the line and substantially perpendicular to the scanning direction. Shift to. This superposition averages the thermal exposure of all points on the substrate, similar to the rotational averaging used for RTP.

[0118]線シフトメカニズム966は、連続波電磁放射モジュール(放射ソース954及びレンズ956)を並進移動して、連続波電磁放射の線を基板に対して並進移動するのが好ましい。或いは又、ステージ964が線に対して並進移動されてもよく、或いは線及びステージの両方が互いに並進移動されてもよい。   [0118] The line shift mechanism 966 preferably translates the continuous wave electromagnetic radiation module (radiation source 954 and lens 956) to translate the line of continuous wave electromagnetic radiation relative to the substrate. Alternatively, stage 964 may be translated relative to the line, or both the line and stage may be translated relative to each other.

[0119]更に、このような実施形態では、連続波電磁放射の線の長さ960が基板962の直径又は幅の一部分を横切って延びるだけでよいので、少数のレーザダイオードモジュール966しか必要とされない。例えば、3つの対向するレーザダイオードモジュール966の間に2つのレーザダイオードモジュールがインターリーブされてもよい。   [0119] Further, in such an embodiment, only a small number of laser diode modules 966 are required because the line length 960 of continuous wave electromagnetic radiation only needs to extend across a portion of the diameter or width of the substrate 962. . For example, two laser diode modules may be interleaved between three opposing laser diode modules 966.

[0120]図10は、図9A及び9Bに示されたインターリーブ合成器910(B)の概略側面図である。インターリーブ合成器910(B)は、光学系910(A+B)の一部分を形成し、以下に説明するように、放出された連続波電磁放射の充満比を改善するように使用される。好ましい実施形態では、インターリーブ合成器910(B)は、インターリーブプリズムアッセンブリである。   [0120] FIG. 10 is a schematic side view of the interleave combiner 910 (B) shown in FIGS. 9A and 9B. The interleave synthesizer 910 (B) forms part of the optical system 910 (A + B) and is used to improve the fill ratio of emitted continuous wave electromagnetic radiation, as described below. In a preferred embodiment, the interleave synthesizer 910 (B) is an interleaved prism assembly.

[0121]更に、装置900(図9A及び9B)の好ましい実施形態は、各レーザダイオードモジュール908(A)又は908(B)の高速軸出力をコリメートするためにマイクロレンズ(図示せず)を備えている。この好ましい実施形態では、各レーザダイオードモジュールのピッチ1002は、2.2mmであり、一方、高速軸コリメートマイクロレンズのアパーチャー1004は、0.9mmである。充満比とは、連続波電磁放射に露出される面積を、連続波電磁放射モジュールの全面積で除算したものである。それ故、例えば、レンズ系が、長さ1cmx幅900ミクロンのビームフットプリントを与え、更に、各レーザダイオードモジュールのピッチが2.2mmである場合には、充満比が900ミクロン/2.2mm即ち41%であり、即ち連続波電磁放射モジュールの放出面積の41%しか実際に連続波電磁放射を放出せず、一方、レーザモジュールの面のスペース又は面積の59%が暗である。この暗エリアは、長さ1cmx幅1.3mm(2.2−0.9)である。これは、連続波電磁放射が存在しない実質的に空のエリアを招く。   [0121] Further, the preferred embodiment of the apparatus 900 (FIGS. 9A and 9B) includes a microlens (not shown) to collimate the fast axis output of each laser diode module 908 (A) or 908 (B). ing. In this preferred embodiment, the pitch 1002 of each laser diode module is 2.2 mm, while the aperture 1004 of the fast axis collimating microlens is 0.9 mm. The fill ratio is the area exposed to continuous wave electromagnetic radiation divided by the total area of the continuous wave electromagnetic radiation module. Thus, for example, if the lens system provides a beam footprint that is 1 cm long by 900 microns wide, and if the pitch of each laser diode module is 2.2 mm, then the fill ratio is 900 microns / 2.2 mm or 41%, ie, only 41% of the emission area of a continuous wave electromagnetic radiation module actually emits continuous wave electromagnetic radiation, while 59% of the space or area of the surface of the laser module is dark. This dark area is 1 cm long by 1.3 mm wide (2.2-0.9). This results in a substantially empty area where there is no continuous wave electromagnetic radiation.

[0122]光学性能を改善するために、充満比がインターリーブ合成器910(B)により増加されるのが好ましく、従って、より小さな後続の一連のレンズ910(A+B)(図9A及び9B)を必要とする。好ましい実施形態では、インターリーブ合成器910(B)は、充満比を2倍にする。例えば、第4及び第5レーザダイオードモジュールから出力された連続波電磁放射は、図10に示すように、第2及び第3レーザダイオードモジュールから放出された連続波電磁放射の間にインターリーブされる。従って、電力出力は、5個のレーザダイオードバーを3個のレーザダイオードバーのスペースに圧縮したものである。これは、その後のビーム伸張及び収束を容易にし、適度に高い電力密度を得られるようにする。   [0122] To improve optical performance, the fill ratio is preferably increased by the interleave combiner 910 (B), thus requiring a smaller series of subsequent lenses 910 (A + B) (FIGS. 9A and 9B) And In the preferred embodiment, interleave synthesizer 910 (B) doubles the fill ratio. For example, continuous wave electromagnetic radiation output from the fourth and fifth laser diode modules is interleaved between continuous wave electromagnetic radiation emitted from the second and third laser diode modules, as shown in FIG. Therefore, the power output is a compression of 5 laser diode bars into the space of 3 laser diode bars. This facilitates subsequent beam stretching and convergence, allowing a reasonably high power density to be obtained.

[0123]好ましい実施形態では、インターリーブ合成器910(B)は、連続波電磁放射の波長において反射を向上させるためにBK7又は溶融シリカのような適当な光学ガラスに多層誘電体ミラーを使用している。   [0123] In a preferred embodiment, the interleaved synthesizer 910 (B) uses a multilayer dielectric mirror in a suitable optical glass such as BK7 or fused silica to improve reflection at the wavelength of continuous wave electromagnetic radiation. Yes.

[0124]図11は、収束光学系910(A)及び検出モジュール912(A+B+C)の詳細な断面側面図である。収束光学系910(A)の目的は、連続波電磁放射ソース908(A+B)(図9A及び図9B)から放出された連続波電磁放射を、基板906の表面上で連続波放射の線へと収束することである。好ましい実施形態では、収束光学系910(A)は、A−Gと示された一連の7個のレンズを含む。レンズA−Gは、全て、球形状又は平面形状をもつ円柱状レンズであるのが好ましい。球形状をもつこのような円柱状レンズは、非球形状をもつ円柱状レンズに比して製造が比較的容易で且つコストが安いことから選択される。しかしながら、別の実施形態では、少数の非球状レンズ、又は非球形状をもつ円柱状レンズを、図示された球形状又は平面形状をもつ7個の円柱状レンズに置き換えることもできる。更に、連続波電磁放射の線へ収束するのとは別に、円柱状レンズ全体で光学的収差を著しく減少する。   [0124] FIG. 11 is a detailed cross-sectional side view of the converging optical system 910 (A) and the detection module 912 (A + B + C). The purpose of the converging optics 910 (A) is to convert continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source 908 (A + B) (FIGS. 9A and 9B) into a line of continuous wave radiation on the surface of the substrate 906. To converge. In a preferred embodiment, the converging optics 910 (A) includes a series of seven lenses, designated AG. It is preferable that all the lenses AG are cylindrical lenses having a spherical shape or a planar shape. Such a cylindrical lens having a spherical shape is selected because it is relatively easy to manufacture and cheaper than a cylindrical lens having a non-spherical shape. However, in another embodiment, a small number of non-spherical lenses, or cylindrical lenses having a non-spherical shape, can be replaced with seven cylindrical lenses having the illustrated spherical or planar shape. Furthermore, apart from converging to the line of continuous wave electromagnetic radiation, the overall cylindrical lens significantly reduces optical aberrations.

[0125]また、好ましい実施形態では、レンズAは、光学的にほぼ平坦な入口側と円柱状の出口側とを有する伸張レンズである。伸張レンズは、インターリーブ合成器910(B)(図9A及び図9B)により凝縮された連続波電磁放射を伸張し、その後、残りの集束レンズB−Gにより収束するのに使用される。例えば、好ましい実施形態では、連続波電磁放射のビームは、20mm幅へと伸張され、高速軸の発散は、0.1°未満へと減少される。発散の減少で、狭い線幅を得ることができる。更に、広いビームは、開口数0.4に対して受け入れられる作用距離を得られるようにする。残りのレンズB−Gにより収束されると、それにより生じるビームは、基板906の表面において約30ミクロンになる。   [0125] Also, in a preferred embodiment, lens A is an extension lens having an optically substantially flat entrance side and a cylindrical exit side. The stretching lens is used to stretch the continuous wave electromagnetic radiation condensed by the interleave synthesizer 910 (B) (FIGS. 9A and 9B) and then converge by the remaining focusing lenses BG. For example, in a preferred embodiment, the beam of continuous wave electromagnetic radiation is stretched to 20 mm wide and the fast axis divergence is reduced to less than 0.1 °. Narrow line widths can be obtained with reduced divergence. Furthermore, the wide beam allows an acceptable working distance for a numerical aperture of 0.4. When converged by the remaining lenses BG, the resulting beam is approximately 30 microns at the surface of the substrate 906.

[0126]最後のレンズGは、光学的にほぼ平坦な対向する入口側及び出口側を有し、レンズ環境からウェハ環境を分離するための単なるクオーツ窓として働くだけである。また、これは、焦点を放射ソースから若干離れるようにシフトする。   [0126] The last lens G has opposing entrance and exit sides that are optically substantially flat and serves only as a quartz window to separate the wafer environment from the lens environment. This also shifts the focus slightly away from the radiation source.

[0127]好ましい実施形態では、窓から基板までの距離は、約8mmである。また、好ましい実施形態では、レンズA−Gは、次の処方データを有する。   [0127] In a preferred embodiment, the distance from the window to the substrate is about 8 mm. Moreover, in preferable embodiment, the lens AG has the following prescription data.

Figure 0005687249

但し、半径及び厚みは、ミリメーターである。「表面」は、レンズの表面を指し、ここで、「entry」は、レンズの入口面を指し、「exit」は、レンズの出口面を指す。材料は、レンズが作られた材料を指す。「X」、「AX」及び「SX」データは、アパーチャーの形状、即ち長方形又は楕円を指し、ここで、「X」は、特殊なアパーチャーデータを意味し、「S」は、手前のカラムのアパーチャー半径数が、指定されるのではなく、計算されることを意味し、「A」は、アパーチャー絞り、基本的には、線が通過できねばならない窓を意味する。例えば、レンズA(図11)の入口面「Aentry」は、半径が0ミリメーター、即ち平坦であり、厚みが3mmであり、アパーチャー半径が4mmであり、長方形の形状で、且つBK7ガラスで作られている。前記チャートは、Sinclair Optic’s OSLO(登録商標)レイトレーシングソフトウェアを使用して作成されたものである。
Figure 0005687249

However, the radius and thickness are millimeters. “Surface” refers to the surface of the lens, where “entry” refers to the entrance surface of the lens and “exit” refers to the exit surface of the lens. Material refers to the material from which the lens was made. “X”, “AX” and “SX” data refers to the shape of the aperture, ie, a rectangle or ellipse, where “X” means special aperture data and “S” is the previous column. It means that the aperture radius number is calculated rather than specified, and “A” means the aperture stop, basically the window through which the line must pass. For example, the entrance surface “A entry ” of the lens A (FIG. 11) has a radius of 0 millimeter, that is, flat, a thickness of 3 mm, an aperture radius of 4 mm, a rectangular shape, and BK7 glass. It is made. The chart was created using Sinclair Optic's OSLO® ray tracing software.

[0128]レンズA−Gは、フレーム1102により収束光学系910(A)内に位置保持されるのが好ましい。好ましい実施形態では、フレーム1102は、加工されたステンレススチールで作られる。また、フレーム1102は、レンズが使用中に整列ずれして、この整列ずれが単に焦点の線を基板表面に向って又はそこから離れてシフトする(或いは横方向に移動する)だけである場合には、健全なシステムを保証するためにある程度の公差も含むことが好ましい。この焦点のシフトは、図14A−Dに関連して以下に述べるように、自動収束システムにより調整される。更に、好ましい使用の間に、パージガスがフレームへ圧送されて、ガス注入器1104を通してレンズ間のスペース1108へと圧送され、レンズを冷えた状態に保持する。このパージガスは、室温(レンズに結露が生じるのを回避するために)における窒素であるのが好ましい。   [0128] Lens A-G is preferably held in position in converging optical system 910 (A) by frame 1102. In a preferred embodiment, the frame 1102 is made of machined stainless steel. Also, the frame 1102 is misaligned during use, and this misalignment simply shifts the focal line toward or away from the substrate surface (or moves laterally). Preferably includes some tolerances to ensure a sound system. This focus shift is adjusted by an auto-convergence system, as described below in connection with FIGS. 14A-D. Further, during preferred use, purge gas is pumped to the frame and pumped through the gas injector 1104 to the inter-lens space 1108 to keep the lens cool. The purge gas is preferably nitrogen at room temperature (to avoid condensation on the lens).

[0129]検出モジュール912(A+B+C)は、少なくとも1つの反射電力検出器912(A)、少なくとも1つの放出電力検出器912(B)、及び/又は少なくとも1つのビームスプリッタ912(C)を含むのが好ましい。放出電力検出器912(B)は、連続波電磁放射ソース908(A+B)(図9A及び9B)から放出された放出連続波電磁放射の一部分を検出するように構成され、一方、反射電力検出器912(A)は、基板906の表面から反射された反射連続波電磁放射の一部分を検出するように構成される。放出電力検出器912(B)は、連続波電磁放射ソースの出力を監視し、一方、反射電力検出器912(A)は、反射率、放出率、基板により吸収されたエネルギー、及び/又は基板の温度を検出するのに使用される。放出電力検出器912(B)及び反射電力検出器912(A)は、ハママツにより製造されたものが適当である。   [0129] The detection module 912 (A + B + C) includes at least one reflected power detector 912 (A), at least one emitted power detector 912 (B), and / or at least one beam splitter 912 (C). Is preferred. The emitted power detector 912 (B) is configured to detect a portion of the emitted continuous wave electromagnetic radiation emitted from the continuous wave electromagnetic radiation source 908 (A + B) (FIGS. 9A and 9B), while the reflected power detector 912 (A) is configured to detect a portion of the reflected continuous wave electromagnetic radiation reflected from the surface of the substrate 906. The emitted power detector 912 (B) monitors the output of the continuous wave electromagnetic radiation source, while the reflected power detector 912 (A) reflects, reflectivity, emission rate, energy absorbed by the substrate, and / or the substrate. Used to detect the temperature of The emission power detector 912 (B) and the reflected power detector 912 (A) are suitably manufactured by Hamamatsu.

[0130]ビームスプリッタ912(C)は、その第1の実質的に平坦な表面に入射する放出連続波電磁放射の一部分を放出電力検出器912(B)に向かって反射することにより放出連続波電磁放射の一部分をサンプルするように構成される。好ましい実施形態では、その第1の平坦な表面とは反対の、ビームスプリッタ912(C)の第2の平坦な表面を使用して、基板の表面から反射された連続波電磁放射を、反射電力検出器912(A)に向けて反射する。ビームスプリッタは、連続波電磁放射ソース908(A+B)とステージ904(図9A及び9B)との間に配置されるのが好ましい。また、ビームスプリッタ912(C)は、MgFのような反射防止コーティングが被覆されるのが好ましい。使用中に、ビームスプリッタ912(C)は、連続波電磁放射ソース908(A+B)により放出された連続波電磁放射の1%未満を反射又はサンプリングする。   [0130] The beam splitter 912 (C) reflects a portion of the emitted continuous wave electromagnetic radiation incident on its first substantially planar surface toward the emitted power detector 912 (B) by emitting continuous wave. It is configured to sample a portion of the electromagnetic radiation. In a preferred embodiment, the second flat surface of beam splitter 912 (C), as opposed to its first flat surface, is used to convert continuous wave electromagnetic radiation reflected from the surface of the substrate into reflected power. Reflected toward the detector 912 (A). The beam splitter is preferably disposed between a continuous wave electromagnetic radiation source 908 (A + B) and a stage 904 (FIGS. 9A and 9B). The beam splitter 912 (C) is preferably covered with an antireflection coating such as MgF. In use, beam splitter 912 (C) reflects or samples less than 1% of continuous wave electromagnetic radiation emitted by continuous wave electromagnetic radiation source 908 (A + B).

[0131]使用中に、検出された放出電力と検出された反射電力との比は、基板における吸収の測定値を与える。吸収は、放射エネルギーが吸収されて、熱のような他の形式のエネルギーへ変換され、次いで、熱放射に対するプランクの法則に基づいて、より長い波長で再放射される。   [0131] In use, the ratio of the detected emitted power to the detected reflected power provides a measure of absorption at the substrate. Absorption is where radiant energy is absorbed and converted to other forms of energy, such as heat, and then re-radiated at longer wavelengths based on Planck's law for thermal radiation.

[0132]好ましい実施形態では、放出電力検出器912(B)及び反射電力検出器912(A)が、810nmの連続波電磁放射を検出する。また、好ましい実施形態では、少なくとも1つの検出器912(A)は、連続波電磁放射の線において基板の温度を検出するための温度検出器として構成される。温度を検出するために、温度検出器は、810nm以外の波長、例えば、1500nmにおける連続波電磁放射を検出する。これは、反射された連続波電磁放射と検出器912(A)との間にフィルタ1106を配置することにより達成される。このフィルタ1106は、810nm以外の波長を有する連続波電磁放射のみが検出器912(A)に到達して、それが光学的高温計として働くのを許容すると共に、検出される信号が放出信号であって、光源からの反射ではないよう保証するように構成される。換言すれば、810nm以外の波長をもつのは、反射された放射だけである。好ましい実施形態では、フィルタは、900nmから2000nmにおいて光学的高温計の動作を許容するように構成され、1500nmが好ましい波長である。しかしながら、この温度測定は、放出率の変化に影響され易い。   [0132] In a preferred embodiment, the emitted power detector 912 (B) and the reflected power detector 912 (A) detect 810 nm continuous wave electromagnetic radiation. Also, in a preferred embodiment, at least one detector 912 (A) is configured as a temperature detector for detecting the temperature of the substrate in the line of continuous wave electromagnetic radiation. In order to detect temperature, the temperature detector detects continuous wave electromagnetic radiation at wavelengths other than 810 nm, for example 1500 nm. This is accomplished by placing a filter 1106 between the reflected continuous wave electromagnetic radiation and the detector 912 (A). This filter 1106 allows only continuous wave electromagnetic radiation having a wavelength other than 810 nm to reach the detector 912 (A), which acts as an optical pyrometer, and the detected signal is an emission signal. And is configured to ensure that there is no reflection from the light source. In other words, only reflected radiation has a wavelength other than 810 nm. In a preferred embodiment, the filter is configured to allow optical pyrometer operation from 900 nm to 2000 nm, with 1500 nm being the preferred wavelength. However, this temperature measurement is sensitive to changes in the release rate.

[0133]また、反射電力検出器912(A)及び放出電力検出器912(B)は、装置内のレンズが非ゼロ反射率であるために光学系内に散乱されることのある漂遊放射の収集を最小にしながら、検出される信号を最大にするためのピンホールアパーチャーを含むのが好ましい。   [0133] The reflected power detector 912 (A) and the emitted power detector 912 (B) also provide stray radiation that may be scattered into the optical system due to the non-zero reflectivity of the lens in the device. A pinhole aperture is preferably included to maximize the detected signal while minimizing acquisition.

[0134]15個及び16個の対向するレーザダイオードモジュールを含む好ましい実施形態では、15対の反射電力検出器912(A)及び放出電力検出器912(B)が設けられるのが好ましい。1つおきの反射電力検出器912(A)は、上述したように、温度検出器として構成されるのが好ましい。   [0134] In a preferred embodiment including 15 and 16 opposing laser diode modules, 15 pairs of reflected power detector 912 (A) and emitted power detector 912 (B) are preferably provided. Every other reflected power detector 912 (A) is preferably configured as a temperature detector, as described above.

[0135]また、別の実施形態では、反射器1110が収束光学系910(A)と基板906との間に配置される。この反射器1110は、基板の表面から反射された放射を、連続波電磁放射の線へ反射して戻すように構成される。好ましい実施形態では、反射器1110は、曲率の中心がレンズの焦点に位置する円筒状ミラーである。   [0135] In another embodiment, a reflector 1110 is disposed between the converging optics 910 (A) and the substrate 906. The reflector 1110 is configured to reflect radiation reflected from the surface of the substrate back to a line of continuous wave electromagnetic radiation. In a preferred embodiment, the reflector 1110 is a cylindrical mirror whose center of curvature is located at the focal point of the lens.

[0136]図12は、図9A及び図9Bに示された装置900の原型を示す等尺図である。明らかなように、半導体ウェハのような基板がチャンバー1202内でステージ904に配置される。連続波電磁放射モジュール902がチャンバー1202に結合される。更に、並進移動メカニズム218(図2)のような並進移動メカニズムが、ステージ904を、矢印1206で示すように、連続波電磁放射モジュール902に対して移動する。コンピュータシステム914(図9A及び図9B)のような幾つかの電子装置がハウジング1210内に収容される。装置900は、基板906をこの装置900へ又はこの装置900から移送するためにファクトリーインターフェイス1208に結合されるのが好ましい。   [0136] FIG. 12 is an isometric view showing the prototype of the apparatus 900 shown in FIGS. 9A and 9B. As is apparent, a substrate such as a semiconductor wafer is placed on the stage 904 in the chamber 1202. A continuous wave electromagnetic radiation module 902 is coupled to the chamber 1202. Further, a translation mechanism such as translation mechanism 218 (FIG. 2) moves stage 904 relative to continuous wave electromagnetic radiation module 902 as indicated by arrow 1206. Several electronic devices, such as computer system 914 (FIGS. 9A and 9B), are housed in housing 1210. The apparatus 900 is preferably coupled to a factory interface 1208 for transferring the substrate 906 to or from the apparatus 900.

[0137]図13は、熱プロセスを制御する方法1320のフローチャートである。この方法1320がステップ1322で開始されると、基板が、ステップ1323において、ステージ上で方向付けされ、その後の走査方向が、熱プロセスを最適に行えるものとなるようにする。これを行なうのは、基板の方向が異なると、機械的特性が異なり、収率の強さが、ある方向が別の方向より高くなり得るからである。一般に、結晶化の方向を示すために基板にノッチが設けられる。基板904(図9A及び図9B)の表面には、任意であるが、ステップ1324において、熱的向上層が被覆されてもよい。この熱的向上層は、酸化物の緩衝層の上に、高い吸収特性をもつ材料、例えば、ドープされたポリシリコン又は窒化シリコンを設けることにより作られるか、及び/又は反射防止特性をもつ材料から作られる。この熱的向上層は、基板表面の状態に対して不感性を生じさせるのに役立つ。例えば、基板の表面が、反射性が強いか又は非均一である場合には、この熱的向上層は、基板の実質的に均質な熱露出を維持する上で役立つ。   [0137] FIG. 13 is a flowchart of a method 1320 for controlling a thermal process. When the method 1320 is started at step 1322, the substrate is oriented on the stage at step 1323 so that the subsequent scanning direction can optimize the thermal process. This is because different substrate orientations have different mechanical properties and yield strength can be higher in one direction than in another. In general, a notch is provided in the substrate to indicate the direction of crystallization. The surface of the substrate 904 (FIGS. 9A and 9B) is optionally coated with a thermal enhancement layer at step 1324. This thermal enhancement layer is made by providing a material with high absorption properties, for example doped polysilicon or silicon nitride, on the oxide buffer layer and / or a material with antireflection properties Made from. This thermal enhancement layer serves to create insensitivity to the state of the substrate surface. For example, if the surface of the substrate is highly reflective or non-uniform, this thermal enhancement layer helps to maintain a substantially uniform thermal exposure of the substrate.

[0138]次いで、基板は、ステップ1326において、連続波放射モジュール902(図9A及び図9B)から放出された連続波電磁放射の線で照射され、これにより、基板の表面を所定の電力密度で所定長さの時間中加熱する。所定の電力密度は、30kW/cmより高いのが好ましく(好ましくは100kW/cm)、所定の時間は、100マイクロ秒から100ミリ秒であるのが好ましい(好ましくは約1ミリ秒)。これは、基板の表面を、約500℃未満の周囲温度から、約700℃より高いプロセス温度へ加熱する。Siにおけるデバイス構造体の最大深さの10倍のような、表面から所定の深さにおける温度は、周囲温度に、プロセス温度から周囲温度を引いたものの半分を加えたものより低く保たれる。 [0138] The substrate is then irradiated at step 1326 with a line of continuous wave electromagnetic radiation emitted from the continuous wave radiation module 902 (FIGS. 9A and 9B), thereby irradiating the surface of the substrate with a predetermined power density. Heat for a predetermined length of time. The predetermined power density is preferably higher than 30 kW / cm 2 (preferably 100 kW / cm 2 ), and the predetermined time is preferably 100 microseconds to 100 milliseconds (preferably about 1 millisecond). This heats the surface of the substrate from an ambient temperature below about 500 ° C. to a process temperature above about 700 ° C. The temperature at a given depth from the surface, such as 10 times the maximum depth of the device structure in Si, is kept lower than the ambient temperature plus half of the process temperature minus the ambient temperature.

[0139]上述したように、連続波電磁放射の線は、基板の表面全体を横切って延びてもよいし、その一部分を横切って延びてもよい。   [0139] As described above, the line of continuous wave electromagnetic radiation may extend across the entire surface of the substrate or may extend across a portion thereof.

[0140]反射器1110(図11)を有する実施形態では、反射器に向けられた反射又は散乱された光が、ステップ1328において、放射の線に向って反射されて戻される。   [0140] In an embodiment having a reflector 1110 (Figure 11), reflected or scattered light directed at the reflector is reflected back toward the line of radiation at step 1328.

[0141]次いで、放出電力が、ステップ1330において、放出電力検出器(1つ又は複数)912(B)により測定されて、コンピュータシステム914(図9A及び図9B)へ送信される。次いで、反射電力が、ステップ1332において、反射電力検出器912(A)により測定されて、コンピュータシステム914(図9A及び9B)へ送信される。コンピュータシステム914(図9A及び図9B)は、ステップ1334において、反射電力を放出電力と比較し、それに応じて、ステップ1336において、連続波電磁放射ソースへ供給される電力を制御する。例えば、連続波電磁放射ソースは、同じ放出電力で異なる基板を異なる仕方で加熱してもよい。コンピュータシステムは、電源916(図9A及び図9B)の電力を制御し、これは、次いで、個々のレーザダイオードモジュールを制御するか、レーザダイオードモジュールのセットを制御するか、又は全てのレーザダイオードモジュールを同時に制御してもよい。このように、個々のレーザダイオードモジュール、又はレーザダイオードモジュール(又はゾーン)の組み合わせをリアルタイムで制御してもよい。   [0141] The emitted power is then measured by the emitted power detector (s) 912 (B) at step 1330 and transmitted to the computer system 914 (FIGS. 9A and 9B). The reflected power is then measured by reflected power detector 912 (A) at step 1332 and transmitted to computer system 914 (FIGS. 9A and 9B). The computer system 914 (FIGS. 9A and 9B) compares the reflected power with the emitted power at step 1334 and controls the power supplied to the continuous wave electromagnetic radiation source accordingly at step 1336. For example, a continuous wave electromagnetic radiation source may heat different substrates differently with the same emitted power. The computer system controls the power of the power supply 916 (FIGS. 9A and 9B), which in turn controls individual laser diode modules, sets of laser diode modules, or all laser diode modules. May be controlled simultaneously. In this way, individual laser diode modules or combinations of laser diode modules (or zones) may be controlled in real time.

[0142]別の実施形態では、測定された放出電力及び反射電力に基づいて、調整メカニズム(図14A−Dを参照して以下に述べる)が、ステップ1335において、ステージの高さをリアルタイムで調整することができる。ステージの高さを調整すると、基板の表面を焦点に入れたり出したりすることができ、これにより、基板の表面における連続波電磁放射の線の電力密度を、全電力とは独立して制御することができる。   [0142] In another embodiment, an adjustment mechanism (described below with reference to FIGS. 14A-D) adjusts the height of the stage in step 1335 in real time based on the measured emitted and reflected power. can do. Adjusting the height of the stage allows the surface of the substrate to be focused and removed, thereby controlling the power density of the line of continuous wave electromagnetic radiation at the surface of the substrate independently of the total power. be able to.

[0143]次いで、ステップ1338において、測定された反射電力及び放出電力を使用して、基板の反射率、基板の放射率、基板により吸収されたエネルギー及び/又は基板の温度を計算してもよい。反射率は、反射電力を放出電力で除算したものに比例する。ウェハからの熱放出信号は、連続波電磁放射ソースより長い波長において光学系及びインターリーブ合成器により光学的に測定される。   [0143] Next, in step 1338, the measured reflected and emitted power may be used to calculate substrate reflectivity, substrate emissivity, energy absorbed by the substrate, and / or substrate temperature. . The reflectance is proportional to the reflected power divided by the emitted power. The heat emission signal from the wafer is optically measured by an optical system and an interleaved synthesizer at wavelengths longer than the continuous wave electromagnetic radiation source.

[0144]同様に、温度は、放射電力から反射電力を引いたものに等しい吸収電力に比例する。計算された真の温度は、検出器の校正を受けた反射電力と放出電力との差から導出される。厳密な方法は、この技術で良く理解されているように、RTPに使用される既存の放出率補償構成と同様である。これらの計算は、米国特許第6,406,179号、第6,226,453号、第6,183,130号、第6,179,466号、第6,179,465号、第6,151,446号、第6,086,245号、第6,056,433号、第6,007,241号、第5,938,335号、第5,848,842号、第5,755,511号、第5,660,472号に説明されており、これら特許は、全て、参考としてここに援用するものである。   [0144] Similarly, temperature is proportional to the absorbed power equal to the radiated power minus the reflected power. The calculated true temperature is derived from the difference between the reflected and emitted power that has undergone detector calibration. The exact method is similar to existing emission rate compensation configurations used for RTP, as is well understood in the art. These calculations are described in U.S. Patent Nos. 6,406,179, 6,226,453, 6,183,130, 6,179,466, 6,179,465, 6, 151,446, 6,086,245, 6,056,433, 6,007,241, 5,938,335, 5,848,842, 5,755 511, 5,660,472, all of which are hereby incorporated by reference.

[0145]熱的向上層は、もしこれが設けられていれば、通常、ステップ1340において除去される。   [0145] The thermal enhancement layer, if provided, is typically removed at step 1340.

[0146]更に、別の実施形態では、オーバー走査により熱露出均一性を改善することができる。オーバー走査は、基板の幅より長い放射の線を使用する。各走査の後に、放射の線は、ステップ1341において、その長さに沿って若干シフトされ、低速軸均一性が時間と共に低下する場合に全熱均一性が改善される。線をシフトすることで、基板の熱露出が効果的に平均化される。   [0146] Furthermore, in another embodiment, thermal exposure uniformity can be improved by overscanning. Overscan uses lines of radiation that are longer than the width of the substrate. After each scan, the line of radiation is slightly shifted along its length in step 1341, improving the overall thermal uniformity if the slow axis uniformity decreases with time. Shifting the line effectively averages the thermal exposure of the substrate.

[0147]図14Aは、自動収束メカニズム1400の部分断面側面図であり、図14Bは、図14Aに示されたツール基板及びステージ1414の、14B−14B’線に沿った上面図である。自動収束メカニズム1400は、連続波電磁放射モジュール902から基板の上面に連続波電磁放射の線を収束するのに使用される。   [0147] FIG. 14A is a partial cross-sectional side view of the automatic focusing mechanism 1400, and FIG. 14B is a top view of the tool substrate and stage 1414 shown in FIG. 14A along the line 14B-14B '. The automatic focusing mechanism 1400 is used to focus the line of continuous wave electromagnetic radiation from the continuous wave electromagnetic radiation module 902 onto the top surface of the substrate.

[0148]収束メカニズム1400は、ステージ1414に埋設された多数のフォトダイオードセンサ1408を含むのが好ましい。フォトダイオードセンサ1408の各々は、コントローラ1404に電気的に結合される。好ましい実施形態では、5個のフォトダイオードセンサ1408が設けられるが、一般的には、以下に述べるように、ピッチ(X軸の周り)、ロール(Y軸の周り)及び高さ(Z軸に沿った)の変化を考慮するために少なくとも3個のフォトダイオードセンサ1408を設けなければならない。フォトダイオードセンサ1408は、システムの設定中に、ツール基板の上面が連続波電磁放射ソースの焦点面にあることを確認するために使用される。   [0148] Convergence mechanism 1400 preferably includes a number of photodiode sensors 1408 embedded in stage 1414. Each of the photodiode sensors 1408 is electrically coupled to the controller 1404. In the preferred embodiment, five photodiode sensors 1408 are provided, but in general, as described below, the pitch (around the X axis), roll (around the Y axis) and height (on the Z axis). At least three photodiode sensors 1408 must be provided to account for changes in The photodiode sensor 1408 is used during system setup to confirm that the top surface of the tool substrate is at the focal plane of the continuous wave electromagnetic radiation source.

[0149]好ましい実施形態では、中央のフォトダイオードセンサは、ステージの高さの設定に使用され、この中央のフォトダイオードセンサの左右のフォトダイオードセンサは、ステージのチルト即ちロール(Y軸の周りの回転)を実質的に排除するために使用される。先端及び後端のフォトダイオードセンサは、ステージのチップ即ちピッチ(X軸の周りの回転)を排除するために使用される。調整は、フォトダイオードセンサの信号を最大にすることに基づいて行なわれる。   [0149] In a preferred embodiment, a center photodiode sensor is used to set the height of the stage, and the left and right photodiode sensors of this center photodiode sensor are the tilt or roll of the stage (around the Y axis). Used to substantially eliminate rotation). The leading and trailing photodiode sensors are used to eliminate the stage tip or pitch (rotation about the X axis). The adjustment is based on maximizing the signal of the photodiode sensor.

[0150]このような確認には、基板ロードロボットによりステージ1414にツール基板1412をロードすることが必要である。このツール基板1412は、各フォトダイオードセンサ1410の真上にピンホールアパーチャー1410を有する。これらピンホールアパーチャーは、その直径が線の幅より小さく、せいぜい焦点の幅である。   [0150] For such confirmation, it is necessary to load the tool substrate 1412 onto the stage 1414 by the substrate loading robot. The tool substrate 1412 has a pinhole aperture 1410 directly above each photodiode sensor 1410. These pinhole apertures are smaller in diameter than the line width and at best are the width of the focal point.

[0151]また、コントローラ1404は、調整メカニズム1402にも結合される。この調整メカニズム1402は、連続波電磁放射の線をツール基板の表面に収束するためにコントローラにより要求されるように、ステージ1414を上昇又は下降し(Z軸に沿って)、ピッチを調整し(X軸の周りで)、又はロールを調整する(Y軸の周りで)ように構成される。   [0151] The controller 1404 is also coupled to an adjustment mechanism 1402. This adjustment mechanism 1402 raises or lowers the stage 1414 (along the Z axis) and adjusts the pitch as required by the controller to focus the line of continuous wave electromagnetic radiation on the surface of the tool substrate ( Configured around the X axis) or to adjust the roll (around the Y axis).

[0152]好ましい実施形態では、調整メカニズム1402は、少なくとも3つのラック・ピニオン駆動装置1406を備え、その各々は、ラック・ピニオン駆動装置のスクリューの一端においてステージに回転可能に結合される。使用中に、3つのラック・ピニオン駆動装置1406が全部一緒に上昇又は下降された場合には、ステージ904も上昇又は下降される。しかしながら、個々のラック・ピニオン駆動装置1406が下降又は上昇された場合には、ステージのピッチ及びロールを調整することができる。しかしながら、いかなる適当な調整機構1402を使用してもよいことが明らかであろう。   [0152] In a preferred embodiment, the adjustment mechanism 1402 comprises at least three rack and pinion drives 1406, each of which is rotatably coupled to the stage at one end of a rack and pinion drive screw. In use, if all three rack and pinion drives 1406 are raised or lowered together, the stage 904 is also raised or lowered. However, if the individual rack and pinion drive 1406 is lowered or raised, the stage pitch and roll can be adjusted. However, it will be apparent that any suitable adjustment mechanism 1402 may be used.

[0153]また、コントローラ1404は、連続波電磁放射ソース908(A+B)とステージ904とを互いに移動するための並進移動メカニズム218にも結合される。   [0153] The controller 1404 is also coupled to a translation mechanism 218 for moving the continuous wave electromagnetic radiation source 908 (A + B) and the stage 904 relative to each other.

[0154]図14Cは、基板の上面に連続波電磁放射の線を自動的に収束するための方法を示すフローチャート1420である。この方法がステップ1422において開始されると、ステップ1424においてツール基板1412(図14A)がステージに配置される。次いで、連続波電磁放射ソース908(A+B)は、ステップ1426において、第1のフォトダイオードセンサ1408(図14A)、例えば、ツール基板の中央部の下に配置された中央のフォトダイオードに放射を与える。この第1のフォトダイオードセンサは、絶対高さの調整に使用される測定値を与える。この第1のフォトダイオードセンサは、ステップ1428において、連続波電磁放射の強度を測定し、この強度をコントローラ1404(図14A)へ送信する。次いで、コントローラは、ステップ1430において、ステージの高さを調整するように調整メカニズム1402(図14A)に命令する。この高さは、光の線が第1フォトダイオードセンサの前方のアパーチャーにおける焦点に来るまで、調整メカニズムでステージ904(図14A)をZ軸に沿って上昇または下降することにより調整される。   [0154] FIG. 14C is a flowchart 1420 illustrating a method for automatically converging lines of continuous wave electromagnetic radiation onto the top surface of a substrate. When the method begins at step 1422, a tool substrate 1412 (FIG. 14A) is placed on the stage at step 1424. The continuous wave electromagnetic radiation source 908 (A + B) then provides radiation to a first photodiode sensor 1408 (FIG. 14A), eg, a central photodiode located below the central portion of the tool substrate, at step 1426. . This first photodiode sensor provides a measurement that is used to adjust the absolute height. The first photodiode sensor measures the intensity of continuous wave electromagnetic radiation in step 1428 and transmits this intensity to the controller 1404 (FIG. 14A). The controller then instructs the adjustment mechanism 1402 (FIG. 14A) to adjust the height of the stage at step 1430. This height is adjusted by raising or lowering the stage 904 (FIG. 14A) along the Z axis with an adjustment mechanism until the line of light is at the focal point at the aperture in front of the first photodiode sensor.

[0155]次いで、コントローラは、ステップ1431において、連続波電磁放射モジュール及びステージを互いに並進移動して、次のフォトダイオードが放射の線に整列されるように、並進移動メカニズムに命令する。次のフォトダイオードセンサ1408(図14A)は、次いで、ステップ1432において照射される。このフォトダイオードセンサにおいて測定される連続波電磁放射の強度が、ステップ1434において測定されて、コントローラ1404(図14A)へ送信される。次いで、コントローラは、ステップ1436において、必要に応じて、X及びY軸の周りでステージを傾斜させることによりステージのピッチ及び/又はロールを調整するように調整メカニズム1402に命令し、光の線がこのフォトダイオードセンサにおける焦点に来るよう確保する。次いで、コントローラは、ステップ1438において、設定が完了したかどうか、即ち全てのフォトダイオードセンサから測定値が得られたかどうか決定する。この方法が完了しない場合には(1438−ノー)、次のフォトダイオードが放射の線に整列されるまで放射モジュールとステージが互いに並進移動されて、次のフォトダイオードがステップ1432において照射され、次いで、この方法は、光の線が基板の表面に沿った全てのポイントにおいて焦点に来るまで繰り返される。この方法が完了した場合には(1438−イエス)、ステップ1440においてプロセスが完了となる。   [0155] The controller then commands the translation mechanism in step 1431 to translate the continuous wave electromagnetic radiation module and stage relative to each other so that the next photodiode is aligned with the line of radiation. The next photodiode sensor 1408 (FIG. 14A) is then illuminated in step 1432. The intensity of continuous wave electromagnetic radiation measured at the photodiode sensor is measured at step 1434 and transmitted to the controller 1404 (FIG. 14A). The controller then instructs the adjustment mechanism 1402 to adjust the stage pitch and / or roll by tilting the stage about the X and Y axes, if necessary, in step 1436 so that the line of light is Ensure that this photodiode sensor is in focus. The controller then determines in step 1438 whether the configuration is complete, i.e., whether measurements have been obtained from all photodiode sensors. If this method is not complete (1438-No), the radiation module and stage are translated relative to each other until the next photodiode is aligned with the line of radiation, the next photodiode is illuminated in step 1432, and then This method is repeated until the line of light is in focus at all points along the surface of the substrate. If the method is complete (1438—Yes), the process is complete at step 1440.

[0156]このプロセスは、反復性であってもよい。或いは又、調整の前に全ての検出器に対してZ方向の完全な走査を行うこともできる。このように、ツールウェハの平面が、焦点面に対してシステムに分かるようになる。このとき、3つのサーボが適切な調整を行なって、2つの平面を一致させる。   [0156] This process may be iterative. Alternatively, a full scan in the Z direction can be performed on all detectors before adjustment. In this way, the plane of the tool wafer becomes known to the system relative to the focal plane. At this time, the three servos make appropriate adjustments to match the two planes.

[0157]好ましい実施形態では、高さが調整された後、ステージにチルト即ちロール状態がある場合は左右のフォトダイオードセンサを使用してこれらを異なる高さの焦点に入れたり出したりして、チルト即ちロールを排除する。チルト即ちロールが排除されると、基板を先縁フォトダイオードセンサへ移動し、別の経焦点(through focus)データセットを収集する。ピッチ即ちチップは、中央のフォトダイオードセンサと先縁のフォトダイオードセンサが同じ高さにおける同じ経焦点データを有するときにゼロ化される。後縁フォトダイオードセンサは、ステージが真に水平であることを確認するために使用される。   [0157] In a preferred embodiment, after the height has been adjusted, if the stage is tilted or rolled, use the left and right photodiode sensors to focus them at different heights, Eliminate tilt or roll. When the tilt or roll is eliminated, the substrate is moved to the leading edge photodiode sensor and another through focus data set is collected. The pitch or chip is zeroed when the center photodiode sensor and the leading edge photodiode sensor have the same transfocal data at the same height. A trailing edge photodiode sensor is used to confirm that the stage is truly horizontal.

[0158]図14Dは、測定されたエネルギー密度(正規化された信号)1454と、アパーチャー1410(図14A)におけるステージの高さ、ゼロがせいぜい焦点、とを示すグラフ1450である。経焦点は、1452として示されている。明らかなように、光の線がアパーチャーに収束されたときには、1456において、エネルギー密度が最高になる。また、スポットサイズ、即ちエネルギーが拡散されるエリアも示されている。スポットは、レーザダイオードの像が焦点面内のどこにあるか示すものである。分析を簡単にするために、回転対称レンズを仮定し、即ち分析にスポットを使用するが線は使用しない理由はこれにある。しかしながら、実際には、スポットは、拡散する幅をもつ長い線であるのが好ましい。   [0158] FIG. 14D is a graph 1450 showing the measured energy density (normalized signal) 1454 and the height of the stage at the aperture 1410 (FIG. 14A), with zero being the best focus. The transfocal point is shown as 1452. As is apparent, the energy density is highest at 1456 when the line of light is converged to the aperture. Also shown is the spot size, ie, the area where energy is diffused. The spot indicates where the image of the laser diode is in the focal plane. To simplify the analysis, this is the reason why a rotationally symmetric lens is assumed, i.e. a spot is used for the analysis but no line is used. In practice, however, the spots are preferably long lines with a spreading width.

[0159]従って、収束メカニズム1400(図14A)は、全ての基板に対して良好な焦点を保証する。また、熱レシピが、移動可能な光学系に依存する必要なく線の幅を変更するのを許容し、即ち連続波電磁放射ソースにより出力される全電力を調整せずにステージの高さを調整することにより基板の表面における電力密度を独立して調整することができる。   [0159] Thus, the convergence mechanism 1400 (FIG. 14A) ensures good focus for all substrates. It also allows the thermal recipe to change the line width without having to rely on movable optics, i.e. adjusting the stage height without adjusting the total power output by the continuous wave electromagnetic radiation source By doing so, the power density on the surface of the substrate can be adjusted independently.

[0160]更に、上述したシステム、装置又は方法は、いずれも、インプランテーション装置又はプラズマドーピング(PLAD)に使用されてもよい。また、上述した方法は、UV又はその付近で動作する高電力の連続波電磁放射レーザソースの使用を必要とする後端熱プロセスに使用されてもよい。このような後端熱プロセスが銅のリフローである場合には、このようなレーザソースにより発生される波長は、銅を含むほとんどの材料により強く吸収される。   [0160] Furthermore, any of the systems, devices or methods described above may be used for implantation devices or plasma doping (PLAD). The method described above may also be used for back end thermal processes that require the use of a high power continuous wave electromagnetic radiation laser source operating at or near UV. If such a trailing thermal process is copper reflow, the wavelength generated by such a laser source is strongly absorbed by most materials including copper.

[0161]更に、上述した装置及び方法は、基板表面からホトレジストをエッチングするような等方性エッチング及び/又はアッシングに使用されてもよい。このような等方性エッチング及び/又はアッシングは、プラズマの使用を必要とせず、それ故、高温電子により生じるようなプラズマダメージの関連問題はいずれも生じない。   [0161] Furthermore, the apparatus and method described above may be used for isotropic etching and / or ashing, such as etching photoresist from the substrate surface. Such isotropic etching and / or ashing does not require the use of a plasma and therefore does not cause any plasma damage related problems such as those caused by hot electrons.

[0162]更に、上述した装置及び方法は、全てのフラットパネルアニールに使用されてもよい。現在のレーザ再結晶化プロセスは、フラットパネルの表面を横切ってレーザスポットをラスタ走査する。再結晶化は、一般に、急速に進行し、従って、速度及びオーバー走査が重要なプロセス制御変数となる。しかしながら、本発明を使用すると、再結晶化は、広い連続的な前方部から進行し、再結晶化のための自由度が少ないために大きな粒子が形成される。しかしながら、本発明では、再結晶化が放射の線の前方及び後方にしか生じず、走査速度が重要な変数となる。   [0162] Additionally, the apparatus and method described above may be used for all flat panel anneals. Current laser recrystallization processes raster scan the laser spot across the surface of the flat panel. Recrystallization generally proceeds rapidly and thus speed and overscan become important process control variables. However, using the present invention, recrystallization proceeds from a wide continuous front and large particles are formed due to the low degree of freedom for recrystallization. However, in the present invention, recrystallization occurs only in front of and behind the line of radiation, and scanning speed is an important variable.

[0163]更に、上述した装置及び方法は、アモルファス−結晶界面をa−cとすれば、a−c/Si界面を越えて活性化して、p−n接合の漏洩を改善するのに使用されてもよい。現在のアニール方法に伴う問題は、元のa−c界面にある全ての欠陥がアニールされるのではないことである。これらの欠陥は、アモルファス化インプランテーションに対するエンド・オブ・レンジ(EOR)欠陥である。これらの欠陥が、電圧を持続しなければならない接合(空乏領域)に留まる場合には、シリコンに対する規則的アレイの仮定が完全に至らず、漏洩が生じることになる。しかしながら、本発明では、EOR欠陥を越えた深部へ接合を移動するに充分なほど熱露出を長くすることができる。パルスレーザは、短いパルス長さがマイクロ秒以下であるために拡散が生じ得ないので、これを行なうのに充分適していない。   [0163] Furthermore, the apparatus and method described above can be used to improve pn junction leakage by activating across the ac / Si interface, where the amorphous-crystal interface is ac. May be. The problem with current annealing methods is that not all defects at the original ac interface are annealed. These defects are end-of-range (EOR) defects for amorphized implantation. If these defects remain at the junction (depletion region) where the voltage must be sustained, the regular array assumption for silicon will not be complete and leakage will occur. However, in the present invention, the thermal exposure can be made long enough to move the junction deeper than the EOR defect. Pulsed lasers are not well suited to do this because the short pulse length is less than microseconds and no diffusion can occur.

[0164]本発明の特定の実施形態の前記説明は、例示及び解説のためのものである。これらは、余すところのないものではなく、また、本発明を、ここに開示した正確な形態に限定するためのものでもない。明らかに、上述した技術に鑑み、多数の変更や修正が考えられる。例えば、連続波電磁放射を反射電力検出器912(A)及び放出電力検出器912(B)の両方に向けて反射するために1つのビームスプリッタを説明したが、2つ以上のビームスプリッタが使用されてもよい。本発明の原理及びその実際の用途を最もよく説明し、これにより、当業者が、本発明、並びに意図された特定の使用に適するような種々の変更を伴う種々の実施形態を利用できるようにするために、前記実施形態を選択して説明した。更に、前記方法におけるステップの順序は、必ずしも、前記シーケンスで生じるように意図されていない。本発明は、特許請求の範囲及びその等効物により定義されるものとする。更に、前記参考文献は、参考としてここに援用するものである。   [0164] The foregoing descriptions of specific embodiments of the present invention are intended to be illustrative and explanatory. These are not exhaustive and are not intended to limit the invention to the precise form disclosed herein. Obviously, many changes and modifications are possible in light of the above-described technology. For example, although one beam splitter has been described to reflect continuous wave electromagnetic radiation toward both the reflected power detector 912 (A) and the emitted power detector 912 (B), more than one beam splitter is used. May be. The principles of the present invention and their practical application are best described so that those skilled in the art can utilize the present invention and various embodiments with various modifications to suit the particular use intended. In order to do so, the embodiment has been selected and described. Furthermore, the order of the steps in the method is not necessarily intended to occur in the sequence. The invention is defined by the claims and their equivalents. Furthermore, the above references are incorporated herein by reference.

200・・・基板を熱処理する装置、201・・・連続波電磁放射モジュール、202・・・連続波電磁放射ソース、204・・・放射、206・・・コリメータ、208・・・コリメートされた放射、210・・・レンズ、216・・・ステージ、214・・・基板、218・・・並進移動メカニズム、220・・・収束光学系、222・・・放射の線、224・・・基板の上面、226・・・コントローラ、228・・・線の幅、252・・・弦、300・・・基板を熱処理する別の装置、306・・・プリズム、308・・・光ファイバー、320・・・収束光学系、600・・・基板に層を堆積する装置、602・・・反応チャンバー、604・・・注入器、606・・・ガスマニホールド、608・・・ガス導入口、616・・・ガス、610・・・ダクト、612・・・ガスソース、614・・・層、900・・・基板を熱処理する更に別の装置、902・・・連続波電磁放射モジュール、904・・・ステージ、906・・・基板、908・・・連続波電磁放射ソース、908(A)・・・レーザダイオードモジュール、908(B)・・・レーザダイオードモジュール、910・・・光学系、914・・・コンピュータシステム、916・・・電源、954・・・放射ソース、956・・・レンズ、962・・・基板、966・・・線シフトメカニズム DESCRIPTION OF SYMBOLS 200 ... The apparatus which heat-processes a board | substrate, 201 ... Continuous wave electromagnetic radiation module, 202 ... Continuous wave electromagnetic radiation source, 204 ... Radiation, 206 ... Collimator, 208 ... Collimated radiation , 210 ... lens, 216 ... stage, 214 ... substrate, 218 ... translational movement mechanism, 220 ... converging optical system, 222 ... radiation line, 224 ... top surface of substrate 226 ... Controller, 228 ... Line width, 252 ... String, 300 ... Another device for heat-treating the substrate, 306 ... Prism, 308 ... Optical fiber, 320 ... Convergence Optical system, 600... Device for depositing a layer on a substrate, 602... Reaction chamber, 604... Injector, 606... Gas manifold, 608. 610 ... duct, 612 ... gas source, 614 ... layer, 900 ... further apparatus for heat treating the substrate, 902 ... continuous wave electromagnetic radiation module, 904 ... stage, 906 ... Substrate, 908 ... Continuous wave electromagnetic radiation source, 908 (A) ... Laser diode module, 908 (B) ... Laser diode module, 910 ... Optical system, 914 ... Computer System, 916 ... Power supply, 954 ... Radiation source, 956 ... Lens, 962 ... Substrate, 966 ... Line shift mechanism

Claims (9)

基板を受け取るように構成されたステージと、
前記ステージに隣接して配置された連続波電磁放射ソースであって、前記基板に向う経路に沿って連続波電磁放射を放出するように構成された連続波電磁放射ソースと、
前記連続波電磁放射ソースと前記ステージとの間に配置された一連のレンズであって、前記連続波電磁放射を前記基板の上側の表面上における連続波電磁放射の線へと収束させるように構成された一連のレンズと、
前記ステージと前記連続波電磁放射の線とを互いに前記連続波電磁放射の線の幅方向に並進移動するように構成された並進移動メカニズムと、
前記連続波電磁放射ソース及び前記ステージを互いに向けて移動するように構成され、かつ、前記ステージのピッチ及びロールを調整するように構成された調整メカニズムと、
前記経路内に配置されて、連続波電磁放射を検出するように構成された検出モジュールと、
前記検出モジュールに結合されたコンピュータシステムであって、前記検出モジュールにより得られた測定値に基づいて前記調整メカニズムを制御して、前記連続波電磁放射の線を前記表面上の焦点に保持するようなコンピュータシステムと、を備えた熱処理装置。
A stage configured to receive a substrate;
A continuous wave electromagnetic radiation source disposed adjacent to the stage, the continuous wave electromagnetic radiation source configured to emit continuous wave electromagnetic radiation along a path toward the substrate;
A series of lenses disposed between the continuous wave electromagnetic radiation source and the stage configured to focus the continuous wave electromagnetic radiation onto a line of continuous wave electromagnetic radiation on the upper surface of the substrate. A series of lenses,
A translation mechanism configured to translate the stage and the line of continuous wave electromagnetic radiation relative to each other in the width direction of the line of continuous wave electromagnetic radiation;
An adjustment mechanism configured to move the continuous wave electromagnetic radiation source and the stage toward each other and to adjust the pitch and roll of the stage ;
A detection module disposed in the path and configured to detect continuous wave electromagnetic radiation;
A computer system coupled to the detection module, wherein the adjustment mechanism is controlled based on measurements obtained by the detection module to hold the line of continuous wave electromagnetic radiation at a focal point on the surface. A heat treatment apparatus equipped with a computer system.
前記連続波電磁放射の線の幅は500ミクロン以下で、電力密度は30kW/cm以上である、請求項1に記載の熱処理装置。 The heat treatment apparatus according to claim 1, wherein the continuous wave electromagnetic radiation has a line width of 500 microns or less and a power density of 30 kW / cm 2 or more. 前記検出モジュールは前記一連のレンズ間に配置される、請求項1又は2に記載の熱処理装置。 Wherein the detection module is positioned between the series of lenses, a heat treatment apparatus according to claim 1 or 2. 前記連続波電磁放射の線を前記表面上の焦点に保持することによって前記基板の前記上側の表面上の前記連続波電磁放射の線の電力密度を制御する、請求項1に記載の熱処理装置。 The thermal processing apparatus of claim 1, wherein the power density of the continuous wave electromagnetic radiation lines on the upper surface of the substrate is controlled by holding the continuous wave electromagnetic radiation lines at a focal point on the surface. 前記調整メカニズムは前記ステージの高さ調整する、請求項1又は2に記載の熱処理装置。 The adjusting mechanism for adjusting the height of the stage, a heat treatment apparatus according to claim 1 or 2. 前記ステージに埋め込まれていて、前記コンピュータシステムと結合した、前記ステージのピッチ及びロールを調整するための少なくとも3つのフォトセンサをさらに備えた、請求項に記載の熱処理装置。 The heat treatment apparatus according to claim 5 , further comprising at least three photosensors embedded in the stage and coupled to the computer system for adjusting the pitch and roll of the stage . 基板を受け取るように構成されたステージと、
前記基板に向う経路に沿って連続波レーザ放射を放出するように構成された連続波レーザソースと、
前記レーザソースと前記ステージとの間に配置された一連のレンズであって、前記レーザ放射を前記基板の表面上における連続波レーザ放射のビーム線へと収束させるように構成された一連のレンズと、
前記基板の熱処理中に前記ステージと前記ビーム線とを互いに前記ビーム線の幅方向に並進移動するように構成された並進移動メカニズムと、
前記ステージを前記レーザソースに向けて移動するように構成され、かつ、前記ステージのピッチ及びロールを調整するように構成された調整メカニズムと、
前記レーザソースから放出されて前記経路に沿って伝播する放射の一部分を受ける、第1の光学検出器と、
前記レーザソースから放出されて前記基板から反射する放射を受ける、第2の光学検出器と、
前記第1及び第2の光学検出器からの信号を受信して、前記基板上のビーム線の収束を制御するために、前記調整メカニズムを制御するコンピュータシステムと、
を備えた熱処理装置。
A stage configured to receive a substrate;
A continuous wave laser source configured to emit continuous wave laser radiation along a path toward the substrate;
A series of lenses disposed between the laser source and the stage, the series of lenses configured to focus the laser radiation onto a beam of continuous wave laser radiation on the surface of the substrate; ,
A translation mechanism configured to translate the stage and the beam line relative to each other in the width direction of the beam line during heat treatment of the substrate ;
An adjustment mechanism configured to move the stage toward the laser source and configured to adjust the pitch and roll of the stage ;
A first optical detector that receives a portion of radiation emitted from the laser source and propagating along the path;
A second optical detector for receiving radiation emitted from the laser source and reflected from the substrate;
A computer system that receives the signals from the first and second optical detectors and controls the adjustment mechanism to control the convergence of beam lines on the substrate;
The heat processing apparatus provided with.
前記コンピュータシステムは、前記第1の光学検出器で測定された放出パワーと、前記第2の光学検出器で測定された反射パワーとに応じて前記調整メカニズムを制御する、請求項に記載の熱処理装置。 The computer system includes a first discharge power measured by the optical detector, for controlling said adjusting mechanism in response to said second reflective power measured by the optical detector, according to claim 7 Heat treatment equipment. 前記ステージに埋め込まれていて、前記コンピュータシステムと結合した、前記ステージのピッチ及びロールを調整するための少なくとも3つのフォトセンサを備えた、請求項7に記載の熱処理装置。The heat treatment apparatus according to claim 7, comprising at least three photosensors embedded in the stage and coupled to the computer system for adjusting the pitch and roll of the stage.
JP2012155648A 2002-04-18 2012-07-11 Heat flux processing by scanning Expired - Lifetime JP5687249B2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US10/126,419 2002-04-18
US10/126,419 US7005601B2 (en) 2002-04-18 2002-04-18 Thermal flux processing by scanning
US10/202,119 US7078651B2 (en) 2002-04-18 2002-07-23 Thermal flux deposition by scanning
US10/202,119 2002-07-23
US10/325,497 US6987240B2 (en) 2002-04-18 2002-12-18 Thermal flux processing by scanning
US10/325,497 2002-12-18

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003585924A Division JP5079207B2 (en) 2002-04-18 2003-04-18 Heat flux processing by scanning

Publications (2)

Publication Number Publication Date
JP2012238864A JP2012238864A (en) 2012-12-06
JP5687249B2 true JP5687249B2 (en) 2015-03-18

Family

ID=47461451

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012155648A Expired - Lifetime JP5687249B2 (en) 2002-04-18 2012-07-11 Heat flux processing by scanning

Country Status (2)

Country Link
JP (1) JP5687249B2 (en)
KR (1) KR101010492B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
US9129918B2 (en) 2013-10-30 2015-09-08 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
US10103040B1 (en) * 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60166185A (en) * 1984-02-10 1985-08-29 Mitsubishi Electric Corp Focusing device for laser
JP2683241B2 (en) * 1988-02-19 1997-11-26 富士通株式会社 Annealing device using energy beam
JP3401769B2 (en) * 1993-12-28 2003-04-28 株式会社ニコン Exposure method, stage device, and exposure device
JP3469337B2 (en) * 1994-12-16 2003-11-25 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JPH11121378A (en) * 1997-10-14 1999-04-30 Toshiba Corp Manufacture of polycrystal semiconductor film, manufacture of semiconductor device, manufacture of liquid crystal display, and laser annealing device
JP3011183B2 (en) * 1998-05-08 2000-02-21 松下電器産業株式会社 Laser processing method and processing device
DE19823226A1 (en) * 1998-05-25 1999-12-02 Arcmet Technologie Gmbh Linz Tilting arc furnace
JP3347072B2 (en) * 1998-09-16 2002-11-20 株式会社東芝 Polycrystalline growth method
JP3410989B2 (en) * 1999-08-02 2003-05-26 住友重機械工業株式会社 Precision laser irradiation apparatus and control method
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes

Also Published As

Publication number Publication date
KR101010492B1 (en) 2011-01-21
KR20050008688A (en) 2005-01-21
JP2012238864A (en) 2012-12-06

Similar Documents

Publication Publication Date Title
JP5079207B2 (en) Heat flux processing by scanning
KR101037525B1 (en) Thermal flux processing by scanning electromagnetic radiation
US8674257B2 (en) Automatic focus and emissivity measurements for a substrate system
US7453051B2 (en) System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US8796769B2 (en) Thermal flux annealing influence of buried species
TW201214566A (en) Laser beam positioning system
JP5687249B2 (en) Heat flux processing by scanning

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140114

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140409

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140414

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140508

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150121

R150 Certificate of patent or registration of utility model

Ref document number: 5687249

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term