KR20040064326A - Substrate processing apparatus for controlling contamination in substrate transfer module - Google Patents

Substrate processing apparatus for controlling contamination in substrate transfer module Download PDF

Info

Publication number
KR20040064326A
KR20040064326A KR1020030001542A KR20030001542A KR20040064326A KR 20040064326 A KR20040064326 A KR 20040064326A KR 1020030001542 A KR1020030001542 A KR 1020030001542A KR 20030001542 A KR20030001542 A KR 20030001542A KR 20040064326 A KR20040064326 A KR 20040064326A
Authority
KR
South Korea
Prior art keywords
substrate transfer
transfer chamber
substrate
gas
purge gas
Prior art date
Application number
KR1020030001542A
Other languages
Korean (ko)
Inventor
김혁기
김기두
안요한
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030001542A priority Critical patent/KR20040064326A/en
Publication of KR20040064326A publication Critical patent/KR20040064326A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PURPOSE: A substrate processing apparatus capable of controlling contamination of a substrate transfer module is provided to reduce an interval of recovery time in an initial operation and improve efficiency of etching equipment by installing gate valves in the upper, lower, and side surfaces of a cover attached to the outside of a substrate transfer chamber. CONSTITUTION: A plurality of substrates are received in a receptacle. A transfer chamber provides a space for transferring the substrates. A substrate transfer unit transfers the substrates in the receptacle to a substrate processing part for performing a predetermined process on the substrate, disposed in the substrate transfer chamber(514). A load port(518a,518b) supports the receptacle, disposed in the outside of the substrate transfer chamber. A cover has a gas circulation path that circulates the purge gas supplied to the substrate transfer chamber and re-supplies the circulated purge gas to the substrate transfer chamber, attached to the substrate transfer chamber in a way that the cover surrounds the outer surface of the substrate transfer chamber.

Description

기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치{Substrate processing apparatus for controlling contamination in substrate transfer module}Substrate processing apparatus for controlling contamination in substrate transfer module

본 발명은 기판 처리 장치 및 방법에 관한 것이다. 보다 상세하게는, 용기(container) 내에 수용되어 있는 기판을 공정을 수행하는 기판 처리부(substrate processing part)로 이송하기 위한 기판 이송 모듈(substrate transfer module) 내부의 오염을 제어할 수 있는 기판 처리 장치에 관한 것이다.The present invention relates to a substrate processing apparatus and method. More specifically, the substrate processing apparatus capable of controlling contamination inside the substrate transfer module for transferring the substrate contained in the container to the substrate processing part performing the process. It is about.

반도체 제조 공정 중 건식 식각 공정은 리소그라피 공정을 통해 형성된 포토레지스트 패턴을 식각 마스크로 식각 가스를 이용하여 기판 상에 패턴을 형성하는 공정으로, 통상적으로 고진공 상태에서 진행하게 된다. 그러나, 청정실(cleanroom)의 상압 상태에서 고진공 상태로 공정 챔버의 분위기를 형성하는데는 상당한 시간이 소요되기 때문에, 일반적으로 건식 식각 설비의 입/출력 포트(port) 사이에 버퍼 역할을 하는 저진공 로드락 챔버(loadlock chamber)를 배치하고 이 곳에 기판을 대기시킴으로써 단위 시간당 생산량을 향상시키는 방법을 사용하고 있다. 미합중국 특허 6,315,512호(issued to Tabrizi, et al.)에는 이중 로드락 챔버, 이송 챔버 및 공정 챔버로 이루어진 기판 처리 장치가 개시되어 있다.In the semiconductor manufacturing process, a dry etching process is a process of forming a pattern on a substrate using an etching gas as an etching mask using a photoresist pattern formed through a lithography process, and is generally performed in a high vacuum state. However, since it takes considerable time to create the atmosphere of the process chamber from the normal pressure of the cleanroom to the high vacuum, low vacuum rods, which typically act as buffers between the input / output ports of the dry etching facility, By placing a loadlock chamber and waiting the substrate there, a method of improving the yield per unit time is used. US Patent No. 6,315,512 (issued to Tabrizi, et al.) Discloses a substrate processing apparatus consisting of a double load lock chamber, a transfer chamber and a process chamber.

300㎜ 직경의 기판은 기판의 무게로 인하여 복수 개의 슬롯(slot)이 구비된 캐리어(carrier)와 상기 캐리어를 적재한 상태로 이송하는 캐리어 박스 등과 같은 이송 도구를 통합한 정면 개구 통합형 포드(Front Opening Unified Pod; 이하 "FOUP"라 한다)와 같은 기판 용기 내에 수용된다.The 300 mm diameter substrate has a front opening integrated pod that incorporates a carrier equipped with a plurality of slots due to the weight of the substrate, and a transfer tool such as a carrier box for carrying the carrier in a stacked state. In a substrate container such as a Unified Pod (hereinafter referred to as "FOUP").

그러나, 상기 FOUP은 부피가 크기 때문에 저진공 로드락 챔버에 로딩할 경우, 상압에서 저진공으로 형성하고 다시 저진공에서 상압으로 여압(pressurization)되는 시간이 길어져서 단위 시간당 생산량을 저하시키게 된다. 따라서, 300㎜ 기판용 공정 설비는 FOUP과 로드락 챔버 사이에 EFEM(Equipment Front End Module)과 같은 별도의 기판 이송 모듈을 두고, 상기 FOUP을 기판 이송 모듈의 로드 포트(load port) 위에 적재하고 기판을 한 매씩 상기 기판 이송 모듈을 통해 로드락 챔버로 이송하는 방식을 채택하고 있다.However, since the FOUP is bulky, when it is loaded into the low vacuum load lock chamber, the time for forming the low vacuum at normal pressure and pressurizing from the low vacuum to the normal pressure becomes long, thereby lowering the yield per unit time. Thus, the 300 mm substrate processing equipment has a separate substrate transfer module, such as an Equipment Front End Module (EFEM), between the FOUP and the load lock chamber, loading the FOUP onto a load port of the substrate transfer module and It is adopted to transfer to the load lock chamber through the substrate transfer module one by one.

도 1은 종래의 기판 이송 모듈을 설명하기 위한 개략적인 측면도이다.1 is a schematic side view for explaining a conventional substrate transfer module.

도 1을 참조하면, 기판 이송 모듈(110)은 기판(124)들이 수용되어 있는 FOUP(112)을 지지하기 위한 로드 포트(118), 외부 공기를 유입하기 위한 필터 유닛(119) 및 그 내부에 기판 이송 로봇(116)이 배치되는 기판 이송 챔버(114)를 포함한다.Referring to FIG. 1, the substrate transfer module 110 includes a load port 118 for supporting the FOUP 112 in which the substrates 124 are accommodated, a filter unit 119 for introducing external air, and an inside thereof. And a substrate transfer chamber 114 in which the substrate transfer robot 116 is disposed.

한 로트(lot), 즉 25매의 기판(124)이 수용된 FOUP(112)을 기판 이송 모듈(110)의 제1로드 포트(118a) 위에 놓은 후, 기판 이송 챔버(114)와 마주 보고 있는 FOUP(112)의 정면 도어(front door,미도시)를 오픈시킨다.A lot, that is, a FOUP 112 containing 25 substrates 124 is placed on the first load port 118a of the substrate transfer module 110 and then faced with the substrate transfer chamber 114. The front door (not shown) of 112 is opened.

기판 이송 모듈(110)의 필터 유닛(119)은 팬(fan)과 필터가 일체화된 팬·필터 유닛(fan filter unit; FFU)으로서, 청정실 필터(130)를 통해 여과된 청정 공기(132)를 기판 이송 챔버(114) 내부로 다운 플로우(down flow)시키는 역할을 한다. 따라서, 기판 이송 챔버(114)는 필터 유닛(119)으로부터 다운 플로우된 청정 공기(132) 조건과 동일한 상온, 상압으로 유지된다. 따라서, FOUP(112)은 오픈된 정면 도어를 통해 기판 이송 챔버(114)와 연결된 상태이므로, 기판 이송 챔버(114)의 청정 공기(132)가 FOUP(112) 내부에 유입되어 FOUP(112)의 내부도 기판 이송 챔버(114)와 동일하게 상온, 상압으로 유지된다.The filter unit 119 of the substrate transfer module 110 is a fan filter unit (FFU) in which a fan and a filter are integrated, and clean air 132 filtered through the clean room filter 130. It serves to down flow into the substrate transfer chamber 114. Therefore, the substrate transfer chamber 114 is maintained at the same normal temperature and normal pressure as the clean air 132 conditions downflowed from the filter unit 119. Therefore, since the FOUP 112 is connected to the substrate transfer chamber 114 through the open front door, clean air 132 of the substrate transfer chamber 114 flows into the FOUP 112 and the The inside is also maintained at room temperature and pressure, similarly to the substrate transfer chamber 114.

이와 같이 FOUP(112)의 정면 도어를 오픈한 상태에서, 한 로트에서 기판(124)을 기판 이송 챔버(114)의 기판 이송 로봇(116)을 이용하여 로드락 챔버(미도시)에 로딩한다. 기판(124)은 다시 기판 처리부(미도시)로 이동되어 건식 식각 공정이 진행된다. 상기 건식 식각 공정이 완료된 기판(124)은 로드락 챔버로 이송된다. 계속해서, 기판(124)은 기판 이송 챔버(114)의 기판 이송 로봇(116)을 통해제2로드 포트(118b) 위에 놓여 있는 FOUP(112)으로 이송된다.In such a state that the front door of the FOUP 112 is opened, the substrate 124 is loaded into a load lock chamber (not shown) using the substrate transfer robot 116 of the substrate transfer chamber 114 in one lot. The substrate 124 is moved back to the substrate processing unit (not shown) to perform a dry etching process. The substrate 124 on which the dry etching process is completed is transferred to the load lock chamber. Subsequently, the substrate 124 is transferred to the FOUP 112 lying on the second load port 118b through the substrate transfer robot 116 of the substrate transfer chamber 114.

이러한 단계들을 거쳐 식각 공정이 완료된 모든 기판(124)들이 FOUP(112)내에 들어오면, FOUP(112)의 정면 도어를 닫고 FOUP(112)을 건식 식각 설비로부터 제거한다.When all of the substrates 124 having been etched through these steps enter the FOUP 112, the front door of the FOUP 112 is closed and the FOUP 112 is removed from the dry etching facility.

종래의 300㎜ 건식 식각 설비에서는 기판 이송 모듈(110)과 연결되어 상온·상압에서 대기하는 FOUP(112) 내의 기판(124)들이 한 매씩 로드락 챔버로 이송된다. 따라서, 건식 식각 공정이 완료된 기판(124)은 FOUP(112)의 정면 도어가 오픈된 상태에서 FOUP(112) 내에서 대기하게 되며, 공정을 먼저 진행한 기판(124)일수록 FOUP(112) 내에서의 정체 시간이 길어지게 된다.In the conventional 300 mm dry etching facility, the substrates 124 in the FOUP 112 that are connected to the substrate transfer module 110 and wait at room temperature and atmospheric pressure are transferred to the load lock chamber one by one. Accordingly, the substrate 124 on which the dry etching process is completed is waited in the FOUP 112 with the front door of the FOUP 112 open, and the substrate 124 which proceeded with the process first in the FOUP 112. The stagnation time will be longer.

FOUP(112) 내에서의 정체 시간 동안 기판(124)들은 기판 이송 모듈(110)을 통해 청정화 공기의 온도 및 습도와 동일한 분위기에 노출되어 있으므로, FOUP(112) 내의 기판(124)들은 청정화 공기 중의 습기(H2O) 및 오존(O3)과 같은 각종 공기중 분자상 오염물질(airborne molecular contamination: 이하 AMC)들에 노출된다. 이때, 기판(124)의 표면에 잔류하고 있는 식각 가스가 공기 중의 습기와 반응하여 응축(condensation)되는 현상이 발생하고, 응축된 식각 가스가 미립자로 형상화되어 인접 패턴들 간의 브리지(bridge)를 유발하게 된다.During the stagnation time in the FOUP 112, the substrates 124 are exposed to the same atmosphere as the temperature and humidity of the purifying air through the substrate transfer module 110, so that the substrates 124 in the FOUP 112 are exposed to the purifying air. It is exposed to various airborne molecular contamination (AMC), such as moisture (H 2 O) and ozone (O 3 ). In this case, a phenomenon in which the etching gas remaining on the surface of the substrate 124 reacts with moisture in the air to condensate occurs, and the condensed etching gas is shaped into fine particles to cause a bridge between adjacent patterns. Done.

상기와 같은 문제를 해결하기 위해 파티클(particle) 이외에 온도 및 습도, 산소 농도, 오존 농도 등의 공기중 분자상 오염물질(AMC)을 공정 진행시 제어하고, 또한 공정 진행후 후속 공정을 위해 FOUP(112) 내부 가스를 제어하기 위해FOUP(112) 내부 혹은 기판 이송 챔버(114) 내부에 퍼지된 불활성 가스 또는 건식 공기, 바람직하게는, 질소(N2) 가스의 공급이 필요하다.In order to solve the above problems, in addition to the particles (particle), airborne molecular contaminants (AMC) such as temperature and humidity, oxygen concentration, ozone concentration, etc. are controlled during the process, and the FOUP ( 112 In order to control the internal gas, a supply of inert gas or dry air, preferably nitrogen (N 2 ) gas, purged inside the FOUP 112 or inside the substrate transfer chamber 114 is required.

도 2는 종래의 기판 이송 모듈의 다른 예를 설명하기 위한 개략적인 측면도이다.2 is a schematic side view for explaining another example of a conventional substrate transfer module.

도 2에 도시된 바와 같이 종래에 따른 기판 이송 모듈(210)에 가스 공급부(242)를 구비하여 퍼지된 질소 가스(256)를 기판 이송 챔버(214) 내부로 플로우 시켜 기판 이송 챔버(214) 내부를 질소 분위기로 조성한다. 퍼지된 질소 가스(256)의 효과를 높이기 위해 청정실의 공기(232)를 기판 이송 챔버(214)로 공급시키지 않고 퍼지된 질소 가스(256)로만 플로우시켜 기판 이송 챔버(214) 내부에 산소 농도를 수십 ppm 이하로 일정하게 유지시키는 방법도 사용된다. 그러나, 상기와 같은 방법은 질소 가스와 같은 대량의 불활성 가스가 소모되어 제조 원가가 상승되는 문제점이 있다.As shown in FIG. 2, a gas supply unit 242 is provided in the substrate transfer module 210 according to the related art, and the purged nitrogen gas 256 flows into the substrate transfer chamber 214, thereby allowing the inside of the substrate transfer chamber 214 to flow. To a nitrogen atmosphere. In order to increase the effect of the purged nitrogen gas 256, the oxygen concentration inside the substrate transfer chamber 214 is flowed only by the purge nitrogen gas 256 without supplying the air 232 of the clean room to the substrate transfer chamber 214. A method of keeping it constant at several tens of ppm or less is also used. However, the above method has a problem in that a large amount of inert gas such as nitrogen gas is consumed to increase the manufacturing cost.

도 3은 종래의 기판 이송 모듈의 또 다른 예를 설명하기 위한 개략적인 측면도이다.3 is a schematic side view for explaining another example of a conventional substrate transfer module.

상기와 같은 문제점을 해결하기 위해서 도 3에 도시된 바와 같이 상기 종래의 다른 예에 따른 기판 이송 모듈(310)에 가스 순환관(348)을 기판 이송 챔버(314)의 외부에 설치한다. 따라서 가스 순환관(348)을 통해 질소 가스(356a)를 순환시킴으로써 질소 가스(356)의 소모를 줄일 수 있다. 가스 순환관(348)은 기판 이송 챔버(314)의 일 측면 하부에서 최상부면으로 신장되어 형성된다.In order to solve the above problems, as shown in FIG. 3, the gas circulation pipe 348 is installed outside the substrate transfer chamber 314 in the substrate transfer module 310 according to another conventional example. Therefore, by circulating the nitrogen gas 356a through the gas circulation pipe 348, the consumption of the nitrogen gas 356 can be reduced. The gas circulation tube 348 extends from the lower side of one side of the substrate transfer chamber 314 to the uppermost surface.

기판 이송 모듈(310)의 외부에는, 기판 이송 챔버(314)에 연결되고, 기판 이송 챔버(314)에 가스를 공급하여 기판 이송 챔버(314) 내부를 퍼지하기 위한 가스 공급부(342)와, 기판 이송 챔버(314) 내부의 온도 및 습도를 검출하기 위한 센서 (350)및 센서(350)에 의해 검출된 기판 이송 챔버(314)의 온도 및 습도에 따라 퍼지 가스(356)의 공급량을 조절하도록 가스 공급부(342)의 동작을 제어하기 위한 제어부(354)를 더 포함한다.A gas supply unit 342 connected to the substrate transfer chamber 314 outside the substrate transfer module 310, for supplying gas to the substrate transfer chamber 314 to purge the inside of the substrate transfer chamber 314, and a substrate. The gas to adjust the supply amount of the purge gas 356 according to the sensor 350 for detecting the temperature and humidity inside the transfer chamber 314 and the temperature and humidity of the substrate transfer chamber 314 detected by the sensor 350. A control unit 354 for controlling the operation of the supply unit 342 is further included.

그러나, 가스 순환관(348)은 별도의 구조물을 기판 이송 챔버(314) 외부에 설치를 해야 되는 불편이 있고, 가스 순환시 가스와 접촉하는 물질(Material) 및 기판(334) 등의 고체로부터 기체 분자가 튀어나오는 탈기체(Outgassing) 현상으로 인해 오염 누적이 발생한다.However, the gas circulation pipe 348 is inconvenient to install a separate structure outside the substrate transfer chamber 314, the gas from the solid material such as the material (Material) and the substrate 334 in contact with the gas during gas circulation The outgassing phenomenon of molecules splashing out causes pollution accumulation.

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 퍼지 가스가 순환할 수 있는 통로를 간편하고 형성할 수 있고, 오염 누적 현상을 방지할 수 있는 기판 처리 장치를 제공하는데 있다.An object of the present invention for solving the above problems is to provide a substrate processing apparatus that can easily and easily form a passage through which the purge gas can be circulated, and prevent the accumulation of contamination.

도 1은 종래의 기판 이송 모듈을 설명하기 위한 개략적인 측면도이다.1 is a schematic side view for explaining a conventional substrate transfer module.

도 2는 종래의 기판 이송 모듈의 다른 예를 설명하기 위한 개략적인 측면도이다.2 is a schematic side view for explaining another example of a conventional substrate transfer module.

도 3은 종래의 기판 이송 모듈의 또 다른 예를 설명하기 위한 개략적인 측면도이다.3 is a schematic side view for explaining another example of a conventional substrate transfer module.

도 4는 본 발명의 일 실시예에 따른 건식 식각 설비를 설명하기 위한 개략적인 평면도이다.4 is a schematic plan view for explaining a dry etching facility according to an embodiment of the present invention.

도 5는 도 4에 도시된 기판 이송 모듈을 설명하기 위한 개략적인 정면도이다.FIG. 5 is a schematic front view for describing the substrate transfer module illustrated in FIG. 4.

도 6은 도 4에 도시된 기판 이송 모듈을 설명하기 위한 개략적인 측면도이다.FIG. 6 is a schematic side view for describing the substrate transfer module illustrated in FIG. 4.

* 도면의 주요 부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

510 : 기판 이송 모듈 512 : FOUP510: substrate transfer module 512: FOUP

514 : 기판 이송 챔버 515 : 기판 이송 수단514: substrate transfer chamber 515: substrate transfer means

516 : 암 구동부 517 : 로봇 암516: arm drive 517: robot arm

518a, 518b : 로드 포트 519 : 제1필터 유닛518a, 518b: load port 519: first filter unit

522a, 522b : 로드락 챔버 528a, 528b : 공정 챔버522a, 522b: load lock chamber 528a, 528b: process chamber

534 : 기판 542 : 가스 공급부534: substrate 542: gas supply

544 : 가스 라인 546 : 질량 유량계(MFC)544: gas line 546: mass flow meter (MFC)

550 : 센서 552 : 데이터 수신부550 sensor 552 data receiving unit

554 : 제어부 556 : 퍼지 가스554: control unit 556: purge gas

562a, 562b : 도어562a, 562b: door

564a,564b,566a,566b,568a,568b,568c : 게이트 밸브564a, 564b, 566a, 566b, 568a, 568b, 568c: Gate Valve

570 : 제2필터 유닛 572 : 쿨링 코일570: second filter unit 572: cooling coil

574, 576a,576b,578a,578b : 밸브 580 : 가스 순환 통로574, 576a, 576b, 578a, 578b: valve 580: gas circulation passage

590 : 커버590: cover

상기 본 발명의 목적을 달성하기 위하여 본 발명은 복수 개의 기판을 수용하기 위한 용기와, 상기 기판을 이송하기 위한 공간을 제공하는 기판 이송 챔버, 상기 기판 이송 챔버의 내부에 배치되며, 상기 기판 상에 설정된 공정을 수행하기 위한 기판 처리부로 상기 용기 내의 기판들을 이송하기 위한 기판 이송 수단 및 상기 기판 이송 챔버의 외부에 배치되고 상기 용기를 지지하기 위한 로드 포트를 포함하는 기판 이송 모듈 및 상기 기판 이송 챔버의 외측면을 감싸도록 부착되며, 상기 기판 이송 챔버로 공급된 퍼지 가스를 순환시켜 상기 기판 이송 챔버로 재공급하기 위한 가스 순환 통로를 형성하기 위한 커버를 포함하는 것을 특징으로 하는 기판 처리 장치를 제공한다.In order to achieve the object of the present invention, the present invention is a container for accommodating a plurality of substrates, a substrate transfer chamber for providing a space for transferring the substrate, disposed in the substrate transfer chamber, on the substrate A substrate transfer module including a substrate transfer means for transferring substrates in the container to a substrate processing unit for performing a set process, and a load port disposed outside the substrate transfer chamber and supporting the container. It is attached to surround the outer surface, and provides a substrate processing apparatus comprising a cover for forming a gas circulation passage for circulating the purge gas supplied to the substrate transfer chamber and resupply to the substrate transfer chamber. .

상기 기판 처리 장치는 상기 커버에 연결되고, 상기 기판 이송 챔버에 가스를 공급하여 상기 기판 이송 챔버 내부를 퍼지하기 위한 가스 공급부와, 상기 기판 이송 챔버 내부의 온도 및 습도를 검출하기 위한 센서 및 상기 센서에 의해 검출된 기판 이송 챔버의 온도 및 습도가 설정치를 초과하면 상기 퍼지 가스의 공급량을 증가시키고 설정치보다 낮으면 상기 퍼지 가스의 공급량을 감소시키도록 상기 가스 공급부의 동작을 제어하기 위한 제어부를 더 포함한다.The substrate processing apparatus is connected to the cover and supplies a gas to the substrate transfer chamber to purge the inside of the substrate transfer chamber, a sensor for detecting temperature and humidity inside the substrate transfer chamber, and the sensor And a control unit for controlling the operation of the gas supply unit to increase the supply amount of the purge gas when the temperature and humidity of the substrate transfer chamber detected by the upper limit value exceed the set value and to reduce the supply amount of the purge gas when the temperature and humidity of the substrate transfer chamber exceed the set value. do.

상기 기판 이송 모듈은 상기 기판 이송 챔버 내로 청정실 필터를 통해 여과된 외부 공기 및 상기 퍼지 가스를 유입시키며 상기 가스 순환 통로를 통해 순환되는 상기 퍼지 가스를 여과하여 상기 기판 이송 챔버로 재공급하기 위한 제1필터 유닛을 더 포함하며, 상기 가스 순환 통로에 각각 배치되어, 상기 가스 순환 통로를 통해 순환되는 상기 퍼지 가스를 여과하기 위한 제2필터 유닛 및 상기 가스 순환 통로를 통해 순환되는 상기 퍼지 가스의 온도와 습도를 조절하기 위한 쿨링 코일을 더 포함한다.The substrate transfer module is configured to introduce external air filtered through the clean room filter and the purge gas into the substrate transfer chamber, and to filter the purge gas circulated through the gas circulation passage to resupply the substrate transfer chamber. A second filter unit for filtering the purge gas circulated through the gas circulation passage and a temperature of the purge gas circulated through the gas circulation passage; It further includes a cooling coil for adjusting the humidity.

또한 상기 커버의 상부면, 측면 하단부 및 상기 기판 이송 챔버의 하단면에 게이트 밸브를 각각 더 구비한다.In addition, a gate valve is further provided on an upper surface of the cover, a lower surface of the side surface, and a lower surface of the substrate transfer chamber.

본 발명에서 상기 용기는 FOUP이고, 상기 기판 이송 수단은 로봇 암(robotarm) 및 암 구동부(arm driving part)를 갖는 로봇이다. 상기 퍼지 가스는 불활성 가스 또는 건식 공기 중의 어느 하나이며, 바람직하게는, 질소(N2) 가스이다.In the present invention, the container is a FOUP, and the substrate transfer means is a robot having a robot arm and an arm driving part. The purge gas is either inert gas or dry air, preferably nitrogen (N 2 ) gas.

본 발명에 의하면, 상기 커버를 이용하여 상기 가스 순환 통로를 형성하므로 용이하게 상기 순환 통로를 형성할 수 있다. 상기 용기 내에 수용되어 있는 기판을 공정을 수행하는 기판 처리부로 이송하기 위한 기판 이송 모듈의 기판 이송 챔버 내부에 질소 가스와 같은 상기 퍼지 가스를 공급 및 순환(circulation)시켜 상기 기판 이송 챔버 내부의 습기 및 오존을 포함한 각종 공기중 분자상 오염물질(AMC)들을 제어한다. 따라서, 공정이 완료된 기판들이 용기 내에서 대기하는 동안 습기 및 오염 물질들과 반응하여 응축 입자를 형성하는 것을 방지할 수 있다.According to the present invention, since the gas circulation passage is formed using the cover, the circulation passage can be easily formed. Moisture in the substrate transfer chamber by supplying and circulating the purge gas, such as nitrogen gas, into the substrate transfer chamber of the substrate transfer module for transferring the substrate contained in the container to a substrate processing unit performing a process. It controls various airborne molecular pollutants (AMC), including ozone. Thus, the processed substrates can be prevented from reacting with moisture and contaminants to form condensation particles while waiting in the vessel.

또한 순환 통로에 쿨링 코일과 필터 유닛이 구비되어 상기 순환 가스의 온도와 습도를 제어하며, 상기 순환 가스를 여과한다. 따라서 가스 순환시 발생하는 오염 누적을 방지하고, 상기 반도체 기판이 습기 및 오염 물질로 인해 응축 입자를 형성하는 것을 방지한다.In addition, a cooling coil and a filter unit are provided in the circulation passage to control the temperature and humidity of the circulating gas and to filter the circulating gas. Therefore, it is possible to prevent the accumulation of contamination that occurs during gas circulation, and to prevent the semiconductor substrate from forming condensation particles due to moisture and contaminants.

또한, 기판 이송 모듈의 로드 포트 위에 지지되어 있는 용기의 내부가 기판 이송 챔버에 공급된 상기 퍼지 가스로 충전되므로, 후속 공정을 진행하기 위해 용기를 다른 설비로 이동하는 동안 용기의 내부로 외부 대기 중의 습기 및 오염 물질들이 유입되는 것을 차단함으로써, 공정과 공정 사이의 정체 시간 동안 오염 흡착 및 응축 현상을 방지할 수 있다.In addition, since the interior of the vessel supported on the load port of the substrate transfer module is filled with the purge gas supplied to the substrate transfer chamber, the interior of the vessel is moved to the interior of the vessel while moving the vessel to another facility for the subsequent process. By blocking the ingress of moisture and contaminants, contaminant adsorption and condensation can be prevented during stagnation time between processes.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하고자 한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 4은 본 발명의 일 실시예에 따른 건식 식각 설비를 설명하기 위한 개략적인 평면도이다.Figure 4 is a schematic plan view for explaining a dry etching facility according to an embodiment of the present invention.

도 4를 참조하면, 본 발명의 건식 식각 설비는 기판 이송 모듈(510), 저진공 로드락 챔버(522a, 522b) 및 기판 처리부(520)로 구성된다.Referring to FIG. 4, the dry etching apparatus of the present invention includes a substrate transfer module 510, low vacuum load lock chambers 522a and 522b, and a substrate processing unit 520.

기판 처리부(520)는 반도체 기판, 예컨대 300㎜ 직경의 기판(524) 상에 설정된 공정, 예컨대 건식 식각 공정을 수행하기 위한 복수 개의 고진공 공정 챔버(528a, 528b, 528c) 및 이송 챔버(525)를 포함한다. 이송 챔버(525) 내의 이송 로봇(526)에 의해 기판(524)이 로드락 챔버(522a, 522b)와 공정 챔버(528a, 528b, 528c) 사이에서 이송된다.The substrate processing unit 520 may include a plurality of high vacuum process chambers 528a, 528b, and 528c and a transfer chamber 525 for performing a process set on a semiconductor substrate, for example, a 300 mm diameter substrate 524, such as a dry etching process. Include. The substrate 524 is transferred between the load lock chambers 522a and 522b and the process chambers 528a, 528b and 528c by the transfer robot 526 in the transfer chamber 525.

도 5는 도 4에 도시된 기판 이송 모듈을 설명하기 위한 개략적인 정면도이다.FIG. 5 is a schematic front view for describing the substrate transfer module illustrated in FIG. 4.

도 6은 도 4에 도시된 기판 이송 모듈을 설명하기 위한 개략적인 측면도이다.FIG. 6 is a schematic side view for describing the substrate transfer module illustrated in FIG. 4.

도 4, 도 5 및 도 6을 참조하면, 기판 이송 모듈(510)은 그 내부에 기판 송 수단(515)이 배치되는 기판 이송 챔버(514), 기판 이송 챔버(514)의 외부 좌우 측면과 상부면에 걸쳐서 감싸도록 부착되고 가스 순환 통로(580)를 형성하기 위한 커버(590), 기판 이송 챔버(514)의 외부 정면에 배치되고 기판(524)들이 수용되어 있는 용기(512)를 지지하기 위한 적어도 하나의 로드 포트(518a, 518b) 및 청정실 필터를 통해 여과된 외부 공기 및 가스 공급부(542)를 통해 공급되거나 가스 순환 통로(580)을 통해 순환된 퍼지 가스(556)를 기판 이송 챔버(514) 내로 유입시키기 위한 제1필터 유닛(520)을 포함한다.4, 5, and 6, the substrate transfer module 510 includes a substrate transfer chamber 514 and a substrate transfer chamber 514 in which a substrate transfer means 515 is disposed therein, and an outer left and right sides and an upper portion of the substrate transfer chamber 514. A cover 590 for enclosing across the surface and forming a gas circulation passage 580, for supporting the container 512 disposed on the outer front of the substrate transfer chamber 514 and in which the substrates 524 are housed. The substrate transfer chamber 514 carries purge gas 556 supplied through external air and gas supply 542 filtered through at least one load port 518a, 518b and a clean room filter or circulated through the gas circulation passage 580. The first filter unit 520 for introducing into).

바람직하게는, 용기(512)는 FOUP(512)이고 기판 이송 수단(515)은 기판(524)을 지지하는 로봇 암(516) 및 로봇 암(516)을 구동시켜 기판(524)을 이동시키기 위한 암 구동부(516)로 이루어진 로봇이다. 제1필터 유닛(520)은 팬과 필터가 일체화된 팬·필터 유닛(FFU)으로서, 상기 외부 공기나 퍼지 가스(556)를 기판 이송 챔버(514)의 내부로 다운 플로우시키는 역할을 한다.Preferably, the vessel 512 is a FOUP 512 and the substrate transfer means 515 drives the robot arm 516 and the robot arm 516 to support the substrate 524 to move the substrate 524. The robot is composed of an arm drive unit 516. The first filter unit 520 is a fan filter unit (FFU) in which a fan and a filter are integrated, and serves to downflow the outside air or the purge gas 556 into the substrate transfer chamber 514.

본 발명의 기판 처리 장치(500)는 기판 이송 모듈(510)의 외부에 오염 제어부(540)는 커버(590)에 연결되고, 기판 이송 챔버(514)에 가스를 공급하여 기판 이송 챔버(514) 내부를 퍼지하기 위한 가스 공급부(542)와, 기판 이송 챔버(514) 내부의 온도 및 습도를 검출하기 위한 센서(550)와, 센서(550)에 의해 검출된 기판 이송 챔버(514)의 온도 및 습도가 설정치를 초과하면 퍼지 가스(556)의 공급량을 증가시키고 설정치보다 낮으면 퍼지 가스(556)의 공급량을 감소시키도록 가스 공급부(542)의 동작을 제어하기 위한 제어부(554) 및 센서(550)에 의해 검출된 기판 이송 챔버(514)의 온도 및 습도 데이터를 기록하고 상기 데이터를 제어부(144)에 전달하는 데이터 수신부(552)를 포함한다.In the substrate processing apparatus 500 of the present invention, the contamination control unit 540 is connected to the cover 590 on the outside of the substrate transfer module 510, and supplies a gas to the substrate transfer chamber 514 to supply the substrate to the substrate transfer chamber 514. A gas supply unit 542 for purging the inside, a sensor 550 for detecting temperature and humidity inside the substrate transfer chamber 514, a temperature of the substrate transfer chamber 514 detected by the sensor 550, and The controller 554 and the sensor 550 for controlling the operation of the gas supply unit 542 to increase the supply amount of the purge gas 556 when the humidity exceeds the set value and to reduce the supply amount of the purge gas 556 when the humidity is lower than the set value. And a data receiver 552 for recording the temperature and humidity data of the substrate transfer chamber 514 detected by the reference) and transferring the data to the controller 144.

가스 공급부(542)에는 퍼지 가스(556)를 공급하기 위한 가스 라인(544) 및 퍼지 가스(556)의 유량을 제어하기 위한 질량 유량계(mass flow controller; MFC)(546)가 연결된다.The gas supply unit 542 is connected to a gas line 544 for supplying the purge gas 556 and a mass flow controller (MFC) 546 for controlling the flow rate of the purge gas 556.

퍼지 가스(556)는 불활성 가스 또는 습기가 제거된 건식 공기(dry air) 중의어느 하나를 사용하며, 바람직하게는, 질소(N2) 가스를 사용한다.The purge gas 556 uses either inert gas or dry air from which moisture has been removed, and preferably, nitrogen (N 2 ) gas.

가스 순환 통로(580)를 통해 순환되어 기판 이송 챔버(514)로 재공급되는 퍼지 가스(556)가 기판 이송 챔버(514)의 내부에서 층류(laminar flow)를 형성하도록 가스 순환 통로(580)는 기판 이송 챔버(514)의 양측면 하부에서 상부면까지에 걸쳐 형성된다. 가스 순환 통로(580)를 통해 순환되는 퍼지 가스(556)는 제1필터 유닛(519)을 통해 여과되어 기판 이송 챔버(514)로 재공급된다.The gas circulation passage 580 is formed such that purge gas 556 circulated through the gas circulation passage 580 and resupplied to the substrate transfer chamber 514 forms a laminar flow inside the substrate transfer chamber 514. It is formed from the lower side to the upper side of both sides of the substrate transfer chamber 514. The purge gas 556 circulated through the gas circulation passage 580 is filtered through the first filter unit 519 and resupplied to the substrate transfer chamber 514.

가스 순환 통로(580)에는 제2필터 유닛(570)과 쿨링 코일(572)이 설치된다. 제2필터 유닛(570)은 필터만으로 구성되어 가스 순환 통로(580)를 따라 순환되는 퍼지 가스(556)를 여과한다. 따라서 퍼지 가스(556)가 순환되면서 탈기체(outgassing) 현상에 의한 오염 누적을 막을 수 있다. 상기 탈기체 현상은 진공 속에서 고체로부터 기체 분자가 튀어나오는 현상이다. 쿨링 코일(572)은 냉각을 통하여 퍼지 가스(556)의 온도와 습도를 조절한다. 따라서 퍼지 가스(556)의 상태를 원하는 온도와 습도로 유지할 수 있다. 가스 순환 통로(580)의 내측면에는 슬롯이 형성되고, 제2필터 유닛(570)과 쿨링 코일(572)은 설치와 교체가 용이하도록 상기 슬롯에 삽입되는 형태인 것이 바람직하다. 경우에 따라서 제2필터 유닛(570)과 쿨링 코일(572)은 복수개가 설치될 수도 있다.In the gas circulation passage 580, a second filter unit 570 and a cooling coil 572 are installed. The second filter unit 570 is composed of only a filter to filter the purge gas 556 circulated along the gas circulation passage 580. Therefore, as the purge gas 556 is circulated, it is possible to prevent the accumulation of contamination by the outgassing phenomenon. The outgassing phenomenon is a phenomenon in which gas molecules protrude from a solid in a vacuum. The cooling coil 572 controls the temperature and humidity of the purge gas 556 through cooling. Therefore, the state of the purge gas 556 can be maintained at a desired temperature and humidity. A slot is formed on an inner side surface of the gas circulation passage 580, and the second filter unit 570 and the cooling coil 572 may be inserted into the slot to facilitate installation and replacement. In some cases, a plurality of second filter units 570 and cooling coils 572 may be installed.

또한 커버(590)의 상부면, 커버(590)의 양측면 하단부 및 기판 이송 챔버(514)의 하단면에 밸브(574, 576a, 576b, 578)가 각각 구비된다. 구체적으로는 커버(590)의 상부면에 구비되는 밸브(574)는 개방되는 동안 상기 외부 공기를 공급하기 위한 통로 역할을 하고, 커버(590)의 양측면 하단부에 각각 구비되는 게이트 밸브(576a, 576b)는 개방되는 동안 기판 이송 챔버(514) 및 가스 순환 통로(580) 내의 가스를 배출하기 귀한 배출구 역할을 하며, 기판 이송 챔버(514)의 하부면에 구비되는 게이트 밸브(578)는 개방되는 동안 기판 이송 챔버(514) 내의 가스를 배출하기 위한 배출구 역할을 한다.In addition, valves 574, 576a, 576b, and 578 are provided on an upper surface of the cover 590, lower ends of both sides of the cover 590, and a lower surface of the substrate transfer chamber 514, respectively. Specifically, the valve 574 provided on the upper surface of the cover 590 serves as a passage for supplying the outside air while being opened, and the gate valves 576a and 576b respectively provided on lower ends of both sides of the cover 590. Acts as a valuable outlet for discharging the gas in the substrate transfer chamber 514 and the gas circulation passage 580 while being opened, while the gate valve 578 provided at the lower surface of the substrate transfer chamber 514 is open. It serves as an outlet for discharging the gas in the substrate transfer chamber 514.

밸브(574, 576a, 576b, 578)는 게이트라는 디스크가 시트면과 마찰하면서 열리거나 닫힘으로써 유체 흐름을 제어하는데, 제어의 주목적은 유로의 차단 개방이다. 보통의 경우에 밸브(574, 576a, 576b, 578)는 차단된 상태이다. 따라서 제1필터 유닛(519)의 작용에 의해 가스 순환 통로(580)를 따라 퍼지 가스(556)가 순환되도록 한다. 그러나 경우에 따라서 밸브(574, 576a, 576b, 578)를 모두 개방하거나 일부만 개방할 수도 있다. 밸브(574, 576a, 576b, 578)를 모두 개방하여 상기 외부 공기를 공급하여 파티클만 제어하도록 하거나, 상기 외부 공기와 퍼지 가스(556)를 동시에 공급하여 온도 및 습도, 산소 농도, 오존 농도 등의 공기중 분자상 오염 물질(AMC)을 제어하도록 한다. 이때 상기 가스는 순환되지 않고, 커버(590) 상부면에 구비된 밸브(574)를 통해 유입되며 커버(590)의 양측면 하단부에 각각 구비된 게이트 밸브(576a, 576b) 및 기판 이송 챔버(514)의 하부면에 구비된 게이트 밸브(578)를 통해 배출된다.Valves 574, 576a, 576b, and 578 control fluid flow by opening or closing discs called gates in friction with the seat surface, the primary purpose of control being the blocking opening of the flow path. Normally valves 574, 576a, 576b, 578 are shut off. Therefore, the purge gas 556 is circulated along the gas circulation passage 580 by the action of the first filter unit 519. However, in some cases, the valves 574, 576a, 576b, and 578 may be opened or partially opened. Open all the valves 574, 576a, 576b, and 578 to supply the outside air to control particles only, or supply the outside air and purge gas 556 simultaneously to provide temperature and humidity, oxygen concentration, ozone concentration, and the like. Control the molecular pollutants in the air (AMC). In this case, the gas is not circulated, flows through the valve 574 provided on the upper surface of the cover 590, and gate valves 576a and 576b and the substrate transfer chamber 514 respectively provided at lower ends of both sides of the cover 590. It is discharged through the gate valve 578 provided on the lower surface of the.

또한 일부 즉 커버(590) 양측면 하단부 및 기판 이송 챔버(514)의 하단면에 각각 구비된 게이트 밸브(576a, 576b, 578)를 개방하여 상기 건식 식각 설비의 초기 가동시 가스 공급부(542)를 통해 기판 이송 챔버(514)로 퍼지 가스(556)를 공급하고 개방된 게이트 밸브(576a, 576b, 578)를 통해 기판 이송 챔버(514)에 충전되어 있던 내부 공기를 배출함으로써 기판 이송 챔버(514) 내부의 분위기를 퍼지 가스(556)가 충전된 상태로 빠르게 전환할 수 있어 리커버리(recovery) 시간을 줄일 수 있다. 따라서 상기 건식 식각 설비의 가동 효율을 높일 수 있다.In addition, the gate valves 576a, 576b, and 578, which are respectively provided at the lower ends of both sides of the cover 590 and the lower surface of the substrate transfer chamber 514, are opened to allow the gas supply unit 542 to be initially operated. Inside the substrate transfer chamber 514 by supplying a purge gas 556 to the substrate transfer chamber 514 and evacuating the internal air that has been charged in the substrate transfer chamber 514 through the open gate valves 576a, 576b, 578. The atmosphere can be quickly switched to a state in which the purge gas 556 is charged, thereby reducing the recovery time. Therefore, it is possible to increase the operating efficiency of the dry etching equipment.

기판 이송 챔버(514) 내부의 대기(ambient)를 퍼지 가스(556)로 모두 퍼지할 경우, 퍼지 가스(556)의 다량 소모에 의한 원가 상승 문제와 퍼지 가스(556)의 배기 문제가 대두된다. 따라서, 기판 이송 챔버(514)의 전체 부피의 일정량만을 퍼지 가스(556)로 퍼지하고, 내부의 퍼지 가스(556)를 가스 순환 통로(580)를 통해 순환시켜 기판 이송 챔버(514)로 재공급하며, 여압(pressurizing)에 의해 퍼지 가스(556)의 자연 누설을 유발하는 것이 바람직하다. 여기서, 참조부호 556a는 가스 공급부(542)를 통해 기판 이송 챔버(514)로 공급되는 퍼지 가스(556)를 나타낸다. 참조부호 556b는 가스 순환 통로(580)를 통해 순환되어 기판 이송 챔버(514)로 재공급되는 퍼지 가스(556)를 나타낸다. 참조부호 556c는 여압에 의해 누설되는 퍼지 가스(556)를 나타낸다.When all the atmosphere in the substrate transfer chamber 514 is purged with the purge gas 556, a problem of cost increase due to the large consumption of the purge gas 556 and a problem of evacuation of the purge gas 556 arise. Accordingly, only a predetermined amount of the entire volume of the substrate transfer chamber 514 is purged with the purge gas 556, and the internal purge gas 556 is circulated through the gas circulation passage 580 to be resupplied to the substrate transfer chamber 514. In addition, it is preferable to cause natural leakage of the purge gas 556 by pressurizing. Here, reference numeral 556a denotes the purge gas 556 supplied to the substrate transfer chamber 514 through the gas supply unit 542. Reference numeral 556b denotes a purge gas 556 circulated through the gas circulation passage 580 and resupplied to the substrate transfer chamber 514. Reference numeral 556c denotes a purge gas 556 leaked by the pressurization pressure.

이하, 상술한 구조를 기판 처리 장치에서의 공정 흐름을 살펴보도록 한다.Hereinafter, the process described above in the substrate processing apparatus will be described.

로드 포트(518a, 518b) 및 기판 이송 챔버(514)를 포함하는 기판 이송 모듈(510)은 제1필터 유닛(520)을 통해 상기 외부 공기가 기판 이송 챔버(514)의 내부로 유입되기 때문에, 설정된 공정, 예컨대 건식 식각 공정을 수행하기 전에 기판 이송 챔버(514)의 내부는 상기 외부 공기의 온도 및 습도와 동일한 조건, 예컨대 약 23℃의 온도 및 약 45%의 습도의 상온, 상압으로 유지된다.The substrate transfer module 510 including the load ports 518a and 518b and the substrate transfer chamber 514 is introduced into the substrate transfer chamber 514 through the first filter unit 520. Before performing a set process, such as a dry etching process, the interior of the substrate transfer chamber 514 is maintained at the same conditions as the temperature and humidity of the outside air, for example, a temperature of about 23 ° C. and a room temperature, atmospheric pressure of about 45% humidity. .

기판 이송 모듈(510)에 연결된 가스 공급부(542)를 통해 기판 이송 챔버(514)에 불활성 가스 또는 건식 공기로 이루어진 퍼지 가스(556), 바람직하게는 질소(N2) 가스를 공급함으로써, 기판 이송 챔버(514) 내부의 습기 또는 오염 물질들을 퍼지한다. 이와 동시에, 기판 이송 챔버(514) 내부의 퍼지 가스(556)를 가스 순환 통로(580)를 통해 순환시켜 기판 이송 챔버(514)로 공급한다. 퍼지 가스(556)는 가스 순환 통로(580) 내에 설치된 쿨링 코일(572)과 제2필터 유닛(570)을 지나면서 온도와 습도가 제어되고, 오염 물질이 제거된다. 제어부(554)에 의한 퍼지 공정은 모든 기판(524)들에 대해 설정된 공정, 예컨대 건식 식각 공정이 완료되어 최종 기판(524)이 FOUP(512) 내로 이송될 때까지 지속으로 진행된다. 이 때 커버(590)와 기판 이송 챔버(514)에 구비된 밸브(574, 576a, 576b, 578a, 578b)는 차단된 상태이다.Substrate transfer by supplying a purge gas 556, preferably nitrogen (N 2 ) gas, consisting of inert gas or dry air, to the substrate transfer chamber 514 via a gas supply 542 connected to the substrate transfer module 510. The moisture or contaminants in the chamber 514 are purged. At the same time, the purge gas 556 inside the substrate transfer chamber 514 is circulated through the gas circulation passage 580 and supplied to the substrate transfer chamber 514. As the purge gas 556 passes through the cooling coil 572 and the second filter unit 570 installed in the gas circulation passage 580, temperature and humidity are controlled and contaminants are removed. The purge process by the controller 554 is continued until the process set for all the substrates 524, such as a dry etching process, is completed and the final substrate 524 is transferred into the FOUP 512. At this time, the valves 574, 576a, 576b, 578a, and 578b provided in the cover 590 and the substrate transfer chamber 514 are blocked.

상기 퍼지 공정을 진행하는 동안, 한 로트, 즉 25매의 기판(524)들이 수용된 FOUP(512)을 기판 이송 모듈(510)의 로드 포트(518a, 518b), 예컨대 제1로드 포트(518a)에 로딩한다. 그런 다음, 기판 이송 모듈(510)의 기판 이송 챔버(514)와 마주 보고 있는 FOUP(512)의 정면 도어(560a)를 오픈시킨다.During the purge process, one lot, FOUP 512 containing 25 substrates 524, is placed in the load ports 518a and 518b of the substrate transfer module 510, for example, the first load port 518a. Load. Then, the front door 560a of the FOUP 512 facing the substrate transfer chamber 514 of the substrate transfer module 510 is opened.

이와 같이 FOUP(512)의 정면 도어(560a)를 오픈하고 퍼지 공정을 지속적으로 진행하는 상태에서, 기판 이송 챔버(514)의 기판 이송 수단(515)에 의해 FOUP(512) 내에 수용되어 있는 기판(524)들 중에서 첫 번째 기판(524)을 기판 이송 챔버(514) 내로 이동시킨다. 그런 다음, 기판 이송 챔버(514)와 로드락 챔버(522a, 522b), 예컨대 제1 로드락 챔버(522a) 사이의 게이트 밸브(562a)를 오픈하고, 기판 이송 챔버(514)의 기판 이송 수단(515)을 통해 첫 번째 기판(524)을 약 10-3torr의 저진공 상태로 유지되는 제1로드락 챔버(522a)에 로딩한다.As described above, the substrate accommodated in the FOUP 512 by the substrate transfer means 515 of the substrate transfer chamber 514 while the front door 560a of the FOUP 512 is opened and the purge process is continuously performed. The first of the 524 substrates 524 is moved into the substrate transfer chamber 514. Then, the gate valve 562a between the substrate transfer chamber 514 and the load lock chambers 522a and 522b, for example, the first load lock chamber 522a, is opened, and the substrate transfer means of the substrate transfer chamber 514 ( Through 515, the first substrate 524 is loaded into the first load lock chamber 522a which is maintained at a low vacuum of about 10 −3 torr.

기판 이송 챔버(514)와 제1 로드락 챔버(522a) 사이의 게이트 밸브(562a)를 차단하고, 기판 처리부(520)의 이송 챔버(525)와 제1로드락 챔버(522a) 사이의 게이트 밸브(564a)를 오픈시킨 후 이송 챔버(525)의 이송 로봇(126)을 통해 첫 번째 기판(524)을 이송 챔버(525)로 이동시킨다.The gate valve 562a between the substrate transfer chamber 514 and the first load lock chamber 522a is blocked, and the gate valve between the transfer chamber 525 and the first load lock chamber 522a of the substrate processing unit 520 is blocked. After opening 564a, the first substrate 524 is moved to the transfer chamber 525 through the transfer robot 126 of the transfer chamber 525.

이송 챔버(525)와 제1로드락 챔버(522a) 사이의 게이트 밸브(564a)를 차단한 후, 이송 챔버(525)와 공정 챔버(528a, 528b, 528c) 사이의 게이트 밸브(566a, 566b, 566c)를 오픈하고 이송 로봇(526)을 통해 첫 번째 기판(524)을 공정 챔버(528a, 528b, 528c)로 이동시킨다. 이때, 공정 챔버(528a, 528b, 528c)는 약 10-6torr의 고진공 상태로 유지된다.After closing the gate valve 564a between the transfer chamber 525 and the first load lock chamber 522a, the gate valves 566a, 566b, between the transfer chamber 525 and the process chambers 528a, 528b, 528c, 566c is opened and the first substrate 524 is moved to the process chambers 528a, 528b, 528c via the transfer robot 526. At this time, the process chambers 528a, 528b, and 528c are maintained at a high vacuum of about 10 −6 torr.

이송 챔버(525)와 공정 챔버(528a, 528b, 528c) 사이의 게이트 밸브(566a, 566b, 566c)를 차단한 후, 공정 챔버(528a, 528b, 528c)에서 첫 번째 기판(524)에 설정된 공정, 예컨대 건식 식각 공정을 수행한다.After closing the gate valves 566a, 566b, 566c between the transfer chamber 525 and the process chambers 528a, 528b, 528c, the process set on the first substrate 524 in the process chambers 528a, 528b, 528c. For example, a dry etching process is performed.

상기 공정이 완료되면, 이송 챔버(525)와 공정 챔버(528a, 528b, 528c) 사이의 게이트 밸브(566a, 566b, 566c)를 오픈하고 첫 번째 기판(524)을 이송 로봇(526)을 통해 이송 챔버(525)로 이동시킨다.When the process is complete, open the gate valves 566a, 566b, 566c between the transfer chamber 525 and the process chambers 528a, 528b, 528c and transfer the first substrate 524 through the transfer robot 526. Move to chamber 525.

이송 챔버(525)와 공정 챔버(528a, 528b, 528c) 사이의 게이트 밸브(566a,566b, 566c)를 차단한 후, 이송 챔버(525)와 로드락 챔버(522a, 522b), 예컨대 제2로드락 챔버(522b) 사이의 게이트 밸브(564b)를 오픈하고 이송 로봇(526)을 통해 첫 번째 기판(524)을 제2로드락 챔버(522b)로 이동시킨다.After closing the gate valves 566a, 566b, 566c between the transfer chamber 525 and the process chambers 528a, 528b, 528c, the transfer chamber 525 and the load lock chambers 522a, 522b, for example, the second rod The gate valve 564b between the lock chambers 522b is opened and the first substrate 524 is moved to the second load lock chamber 522b through the transfer robot 526.

이송 챔버(525)와 제2로드락 챔버(522a) 사이의 게이트 밸브(564b)를 차단한 후, 기판 이송 챔버(514)와 제2로드락 챔버(522b) 사이의 게이트 밸브(562b)를 오픈하고 첫 번째 기판(524)을 기판 이송 수단(515)을 통해 기판 이송 챔버(514)로 이동시킨다. 계속해서, 기판 이송 수단(515)을 구동시켜 첫 번째 기판(524)을 기판 이송 챔버(514)로부터 로드 포트(118a, 118b), 예컨대 제2로드 포트(118b)의 FOUP(512) 내부로 이동시킨다. 첫 번째 기판(524)은 나머지 기판(524)들에 대한 공정이 완료될 때까지 FOUP(512) 내에서 50분 정도 대기하게 되지만, FOUP(512)과 연결되어 있는 기판 이송 챔버(514)의 내부가 지속적으로 질소 가스에 의해 퍼지되어 습기 및 오염 물질들이 제거되므로 정체 시간 동안 첫 번째 기판(524)의 표면에 습기 및 오염 물질들이 흡착되는 것을 방지할 수 있다.After blocking the gate valve 564b between the transfer chamber 525 and the second load lock chamber 522a, the gate valve 562b between the substrate transfer chamber 514 and the second load lock chamber 522b is opened. And move the first substrate 524 through the substrate transfer means 515 to the substrate transfer chamber 514. Subsequently, the substrate transfer means 515 is driven to move the first substrate 524 from the substrate transfer chamber 514 into the FOUP 512 of the load ports 118a and 118b, for example, the second load port 118b. Let's do it. The first substrate 524 waits 50 minutes in the FOUP 512 until the process for the remaining substrates 524 is complete, but inside the substrate transfer chamber 514 that is connected to the FOUP 512. Is continuously purged with nitrogen gas to remove moisture and contaminants, thereby preventing the adsorption of moisture and contaminants on the surface of the first substrate 524 during the stagnation time.

이러한 단계들을 거쳐 식각 공정이 완료된 모든 기판(524)들이 FOUP(512) 내에 들어오면, FOUP(512)의 정면 도어를 차단하고 기판 이송 챔버(514)로의 퍼지 가스(556) 공급을 중단한다. 그런 다음, FOUP(512)을 건식 식각 설비로부터 제거한다. FOUP(512)은 모든 기판(524)들에 대한 공정이 진행하는 동안 정면 도어(560a, 560b)가 오픈되어 있는 상태로 로드 포트(518a, 518b) 위에서 대기하고 있기 때문에, 기판 이송 챔버(514)로 공급되는 질소(N2) 퍼지 가스가 FOUP(512)의 내부에 자연스럽게 충전된다. 따라서, FOUP(512)의 정면 도어를 차단한 다음 후속 공정 설비로 FOUP(512)을 이동시킬 때 FOUP(512)의 내부에 충전되어 있는 퍼지 가스(556)가 외부 대기 중의 습기 및 오염 물질(AMC)들의 유입을 차단함으로써 공정과 공정 사이의 정체 시간 동안 기판(524)의 표면에 습기 및 오염 물질들이 흡착되어 응축 입자를 형성하는 것을 방지할 수 있다.Once all of the substrates 524 that have completed the etching process through these steps enter the FOUP 512, the front door of the FOUP 512 is blocked and the supply of the purge gas 556 to the substrate transfer chamber 514 is stopped. FOUP 512 is then removed from the dry etching facility. The substrate transfer chamber 514 because the FOUP 512 is waiting on the load ports 518a and 518b with the front doors 560a and 560b open while the process for all substrates 524 is in progress. Nitrogen (N 2 ) purge gas supplied to the gas is naturally filled in the FOUP 512. Thus, when the front door of the FOUP 512 is shut off and then the FOUP 512 is moved to a subsequent process facility, the purge gas 556 filled inside the FOUP 512 is filled with moisture and pollutants (AMC) in the outside atmosphere. By blocking the inflow of), moisture and contaminants may be prevented from adsorbing onto the surface of the substrate 524 during the stagnation time between the processes to form condensation particles.

또한 가스 공급부(542)를 통해 기판 이송 챔버(514)에 퍼지 가스(556)를 공급하여 기판 이송 챔버(514) 내부의 습기 또는 오염 물질들을 퍼지한다. 퍼지 가스(556)의 공급이 진행되는 동안, 기판 이송 챔버(514) 내부의 퍼지 가스(556)는 가스 순환 통로(580)를 통해 순환되어 기판 이송 챔버(514)로 재공급된다.In addition, the purge gas 556 is supplied to the substrate transfer chamber 514 through the gas supply unit 542 to purge moisture or contaminants in the substrate transfer chamber 514. While the purge gas 556 is being supplied, the purge gas 556 inside the substrate transfer chamber 514 is circulated through the gas circulation passage 580 and resupplied to the substrate transfer chamber 514.

또한, 퍼지 가스(556)의 공급을 진행하는 동안, 센서(550)를 통해 기판 이송 챔버(514) 내부의 온도 및 습도를 실시간으로 측정한다. 측정된 데이터는 데이터 수신부(552)를 통해 제어부(554)로 전달된다. 예를 들어, 사용자가 정한 습도 설정치가 1% 미만이고 습기가 1000ppm∼500ppm 일 때, 기판 이송 챔버(514) 내부의 측정된 습기 농도가 상기 설정치를 초과하면 제어부(554)는 퍼지 가스(556)의 유량을 조절하는 MFC(546)를 제어하여 퍼지 가스(556)의 공급량을 증가시킨다. 또한, 측정된 습기 농도가 상기 설정치보다 낮으면, 제어부(554)는 MFC(546)를 제어하여 퍼지 가스(556)의 공급량을 감소시킨다.In addition, while supplying the purge gas 556, the temperature and humidity inside the substrate transfer chamber 514 are measured in real time through the sensor 550. The measured data is transferred to the controller 554 through the data receiver 552. For example, when the humidity setting value set by the user is less than 1% and the humidity is 1000 ppm to 500 ppm, when the measured moisture concentration in the substrate transfer chamber 514 exceeds the setting value, the controller 554 may purge gas 556. The supply amount of the purge gas 556 is increased by controlling the MFC 546 that adjusts the flow rate of the gas. In addition, when the measured moisture concentration is lower than the set value, the controller 554 controls the MFC 546 to reduce the supply amount of the purge gas 556.

이와 같이 본 발명에 의한 기판 이송 모듈(510)은 가스 순환 통로(580)에 구비된 제2필터 유닛(570)과 쿨링 코일(572)을 이용하여 퍼지 가스(556)의 온도와 습도를 조절하고 오염 물질을 여과함으로써, 적은 양의 퍼지 가스(556)로도 기판 이송 챔버(514)의 내부 공기의 습기 농도를 사용자가 원하는 농도로 제어할 수 있다.As described above, the substrate transfer module 510 according to the present invention controls the temperature and humidity of the purge gas 556 using the second filter unit 570 and the cooling coil 572 provided in the gas circulation passage 580. By filtering the contaminants, even with a small amount of purge gas 556, the moisture concentration of the air inside the substrate transfer chamber 514 can be controlled to a desired concentration.

상기와 같은 본 발명에 따르면, 기판 처리 장치는 기판 이송 챔버의 외부에 커버를 부착하여 가스 순환 통로를 형성한다. 상기 순환 통로에는 필터 유닛과 쿨링 코일이 구비된다. 용기 내에 수용되어 있는 기판을 공정을 수행하는 기판 처리부로 이송하기 위한 기판 이송 모듈의 기판 이송 챔버 내부에 질소 가스와 같은 퍼지 가스를 공급하고 상기 가스 순환 통로를 이용하여 상기 가스를 순환시켜 상기 기판 이송 챔버 내부의 습기 및 오존을 포함한 각종 공기중 분자상 오염 물질(AMC)들을 제어한다. 따라서, 공정이 완료된 기판들이 용기 내에서 대기하는 동안 습기 및 오염 물질들과 반응하여 응축 입자를 형성하는 것을 방지할 수 있다.According to the present invention as described above, the substrate processing apparatus is attached to the outside of the substrate transfer chamber to form a gas circulation passage. The circulation passage is provided with a filter unit and a cooling coil. A purge gas, such as nitrogen gas, is supplied into a substrate transfer chamber of a substrate transfer module for transferring a substrate contained in a container to a substrate processing unit that performs a process, and the substrate is transferred by circulating the gas using the gas circulation passage. Controls various airborne molecular pollutants (AMC), including moisture and ozone in the chamber. Thus, the processed substrates can be prevented from reacting with moisture and contaminants to form condensation particles while waiting in the vessel.

또한 상기 커버의 상부면, 상기 커버의 상부면, 측면 하단부 및 상기 기판 이송 챔버의 하단면에 게이트 밸브를 구비하여, 경우에 따라서 상기 게이트 밸브를 모두 개방하여 파티클만 제어할 수 있도록 하거나 상기 게이트 밸브를 부분적으로 개방하여 초기 가동시 리커버리(recovery) 시간을 줄이므로써 상기 식각 설비의 이용성과 효율성을 높일 수 있다.In addition, a gate valve may be provided on an upper surface of the cover, an upper surface of the cover, a lower side of the side surface, and a lower surface of the substrate transfer chamber, so that the gate valve may be opened to control only particles in some cases or the gate valve. By partially opening the to reduce the recovery time (initial recovery) during the initial operation can increase the usability and efficiency of the etching facility.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

Claims (7)

복수개의 기판을 수용하기 위한 용기;A container for receiving a plurality of substrates; 상기 기판을 이송하기 위한 공간을 제공하는 기판 이송 챔버, 상기 기판 이송 챔버의 내부에 배치되며, 상기 기판 상에 설정된 공정을 수행하기 위한 기판 처리부로 상기 용기 내의 기판들을 이송하기 위한 기판 이송 수단 및 상기 기판 이송 챔버의 외부에 배치되고 상기 용기를 지지하기 위한 로드 포트를 포함하는 기판 이송 모듈; 및A substrate transfer chamber that provides a space for transferring the substrate, a substrate transfer means for transferring substrates in the container to a substrate processing unit disposed in the substrate transfer chamber and performing a process set on the substrate; A substrate transfer module disposed outside the substrate transfer chamber and including a load port for supporting the container; And 상기 기판 이송 챔버의 외측면을 감싸도록 부착되며, 상기 기판 이송 챔버로 공급된 퍼지 가스를 순환시켜 상기 기판 이송 챔버로 재공급하기 위한 가스 순환 통로를 형성하기 위한 커버를 포함하는 것을 특징으로 하는 기판 처리 장치.And a cover attached to surround an outer surface of the substrate transfer chamber and forming a gas circulation passage for circulating the purge gas supplied to the substrate transfer chamber and resupplying the substrate transfer chamber. Processing unit. 제1항에 있어서,The method of claim 1, 상기 커버에 연결되고, 상기 기판 이송 챔버에 가스를 공급하여 상기 기판 이송 챔버 내부를 퍼지하기 위한 가스 공급부;A gas supply unit connected to the cover and supplying gas to the substrate transfer chamber to purge the inside of the substrate transfer chamber; 상기 기판 이송 챔버 내부의 온도 및 습도를 검출하기 위한 센서; 및A sensor for detecting temperature and humidity inside the substrate transfer chamber; And 상기 센서에 의해 검출된 기판 이송 챔버의 온도 및 습도가 설정치를 초과하면 상기 퍼지 가스의 공급량을 증가시키고 설정치보다 낮으면 상기 퍼지 가스의 공급량을 감소시키도록 상기 가스 공급부의 동작을 제어하기 위한 제어부를 더 포함하는 것을 특징으로 하는 기판 처리 장치.A control unit for controlling the operation of the gas supply unit to increase the supply amount of the purge gas when the temperature and humidity of the substrate transfer chamber detected by the sensor exceed the set value and to reduce the supply amount of the purge gas when the temperature is lower than the set value. Substrate processing apparatus further comprising. 제2항에 있어서,The method of claim 2, 상기 커버에 구비되고, 상기 기판 이송 모듈로 외부 공기를 유입시키기 위한 밸브; 및A valve provided in the cover and configured to introduce external air into the substrate transfer module; And 상기 가스 공급부로부터 공급되는 퍼지 가스, 상기 밸브를 통해 유입되는 외부 공기 및 상기 가스 순환 통로를 통해 순환되는 퍼지 가스를 여과하여 상기 기판 이송 챔버로 재공급하기 위한 필터 유닛을 더 포함하는 것을 특징으로 하는 기판 처리 장치.And a filter unit for filtering the purge gas supplied from the gas supply part, the external air introduced through the valve, and the purge gas circulated through the gas circulation passage to be supplied to the substrate transfer chamber. Substrate processing apparatus. 제1항에 있어서, 상기 가스 순환 통로에 각각 배치되고,According to claim 1, respectively disposed in the gas circulation passage, 상기 가스 순환 통로를 통해 순환되는 상기 퍼지 가스를 여과하기 위한 필터 유닛; 및A filter unit for filtering the purge gas circulated through the gas circulation passage; And 상기 가스 순환 통로를 통해 순환되는 퍼지 가스의 온도와 습도를 조절하기 위한 쿨링 코일을 더 포함하는 것을 특징으로 하는 기판 처리 장치.And a cooling coil for controlling the temperature and humidity of the purge gas circulated through the gas circulation passage. 제1항에 있어서, 상기 용기는 FOUP(Front Opening Unified Pod)인 것을 특징으로 하는 기판 처리 장치.The apparatus of claim 1, wherein the container is a front opening unified pod (FOUP). 제1항에 있어서, 상기 기판 이송 수단은 상기 기판을 지지하는 로봇 암 및 상기 로봇 암을 구동시켜 상기 기판을 이동시키기 위한 암 구동부를 갖는 로봇으로이루어진 것을 특징으로 하는 기판 처리 장치.2. The substrate processing apparatus of claim 1, wherein the substrate transfer means comprises a robot arm supporting the substrate and an arm driver for driving the robot arm to move the substrate. 제1항에 있어서, 상기 퍼지 가스는 질소(N2) 가스인 것을 특징으로 하는 기판 처리 장치.The substrate processing apparatus of claim 1, wherein the purge gas is nitrogen (N 2 ) gas.
KR1020030001542A 2003-01-10 2003-01-10 Substrate processing apparatus for controlling contamination in substrate transfer module KR20040064326A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030001542A KR20040064326A (en) 2003-01-10 2003-01-10 Substrate processing apparatus for controlling contamination in substrate transfer module

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030001542A KR20040064326A (en) 2003-01-10 2003-01-10 Substrate processing apparatus for controlling contamination in substrate transfer module

Publications (1)

Publication Number Publication Date
KR20040064326A true KR20040064326A (en) 2004-07-19

Family

ID=37354891

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030001542A KR20040064326A (en) 2003-01-10 2003-01-10 Substrate processing apparatus for controlling contamination in substrate transfer module

Country Status (1)

Country Link
KR (1) KR20040064326A (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160005822A (en) * 2014-07-07 2016-01-18 세메스 주식회사 Apparatus for treating a substrate
KR20160133171A (en) 2015-05-12 2016-11-22 주식회사 스피드터치 An Equipment Front End Module having low dew point and low temperature
KR101709586B1 (en) * 2016-02-18 2017-02-24 (주)마스 Transfer Chamber of Semiconductor Manufacturing
WO2019168662A1 (en) * 2018-02-27 2019-09-06 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
WO2019227021A1 (en) * 2018-05-25 2019-11-28 Applied Materials, Inc. Substrate manufacturing apparatus and methods with factory interface chamber heating
JP2020014008A (en) * 2013-08-12 2020-01-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Substrate processing systems, apparatus and methods with factory interface environmental controls
WO2020086490A1 (en) * 2018-10-26 2020-04-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
KR102110244B1 (en) 2019-08-07 2020-05-28 주식회사 싸이맥스 Equipment Front End Module Retrofit Method For Nitrogen Supply
KR20200063977A (en) * 2018-11-28 2020-06-05 (주) 예스티 EFEM, Equipment Front End Module
KR102159270B1 (en) 2019-04-10 2020-09-23 이창은 An EFEM Having Improved Pollution Prevention
KR20200119537A (en) 2019-04-10 2020-10-20 이창은 An EFEM Having Improved Pollution Prevention
US11782404B2 (en) 2014-11-25 2023-10-10 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11282724B2 (en) 2013-08-12 2022-03-22 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US11450539B2 (en) 2013-08-12 2022-09-20 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
JP2020014008A (en) * 2013-08-12 2020-01-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Substrate processing systems, apparatus and methods with factory interface environmental controls
KR20160005822A (en) * 2014-07-07 2016-01-18 세메스 주식회사 Apparatus for treating a substrate
US11782404B2 (en) 2014-11-25 2023-10-10 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
KR20160133171A (en) 2015-05-12 2016-11-22 주식회사 스피드터치 An Equipment Front End Module having low dew point and low temperature
KR101709586B1 (en) * 2016-02-18 2017-02-24 (주)마스 Transfer Chamber of Semiconductor Manufacturing
TWI778553B (en) * 2018-02-27 2022-09-21 美商應用材料股份有限公司 Substrate processing apparatus and methods with factory interface chamber filter purge
US11328938B2 (en) 2018-02-27 2022-05-10 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US10763134B2 (en) 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
TWI726283B (en) * 2018-02-27 2021-05-01 美商應用材料股份有限公司 Substrate processing apparatus and methods with factory interface chamber filter purge
KR20200111294A (en) * 2018-02-27 2020-09-28 어플라이드 머티어리얼스, 인코포레이티드 Substrate processing apparatus and methods using factory interface chamber filter purge
WO2019168662A1 (en) * 2018-02-27 2019-09-06 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
CN111788667A (en) * 2018-02-27 2020-10-16 应用材料公司 Substrate processing apparatus and method with factory interface chamber filter clean
WO2019227021A1 (en) * 2018-05-25 2019-11-28 Applied Materials, Inc. Substrate manufacturing apparatus and methods with factory interface chamber heating
WO2020086490A1 (en) * 2018-10-26 2020-04-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
US11610794B2 (en) 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
KR20200063977A (en) * 2018-11-28 2020-06-05 (주) 예스티 EFEM, Equipment Front End Module
KR20200119537A (en) 2019-04-10 2020-10-20 이창은 An EFEM Having Improved Pollution Prevention
KR102159270B1 (en) 2019-04-10 2020-09-23 이창은 An EFEM Having Improved Pollution Prevention
KR102110244B1 (en) 2019-08-07 2020-05-28 주식회사 싸이맥스 Equipment Front End Module Retrofit Method For Nitrogen Supply

Similar Documents

Publication Publication Date Title
JP4553574B2 (en) Substrate processing method capable of controlling contamination of substrate transfer module
KR100505061B1 (en) Substrate transfer module
KR102459133B1 (en) return room
KR100453090B1 (en) Methods of controlling the processing unit and the gases in the processing unit
US6536136B2 (en) Substrate transfer apparatus and substrate method
US8950999B2 (en) Substrate processing apparatus and particle adhesion preventing method
KR100483428B1 (en) Apparatus for processing a substrate
JP2007019500A (en) Apparatus and method for manufacturing semiconductor device
CN111788667A (en) Substrate processing apparatus and method with factory interface chamber filter clean
US20020001679A1 (en) System and method for coating and developing
KR20040064326A (en) Substrate processing apparatus for controlling contamination in substrate transfer module
JP7480249B2 (en) Substrate Processing Equipment
KR20030003703A (en) Method and apparatus for reducing contamination in a wafer loadlock of a semiconductor wafer processing system
KR100739969B1 (en) Method and system for coating and developing
JP5224567B2 (en) Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
CN111755367A (en) Substrate processing apparatus
CN110783243A (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
KR20240034250A (en) Substrate processing system
JP3559219B2 (en) Coating and developing system and coating and developing method
CN111712904B (en) Processing apparatus, exhaust system, and method for manufacturing semiconductor device
JPH07161797A (en) Processing device
US20240006200A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
US20230102035A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Non-transitory Computer-readable Recording Medium
US20220023923A1 (en) Substrate processing device
JP2005142478A (en) Equipment for processing substrate

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination