KR20030063643A - Method of forming semiconductor capacitor with tantalum-nitride dielectric layer - Google Patents

Method of forming semiconductor capacitor with tantalum-nitride dielectric layer Download PDF

Info

Publication number
KR20030063643A
KR20030063643A KR1020020003873A KR20020003873A KR20030063643A KR 20030063643 A KR20030063643 A KR 20030063643A KR 1020020003873 A KR1020020003873 A KR 1020020003873A KR 20020003873 A KR20020003873 A KR 20020003873A KR 20030063643 A KR20030063643 A KR 20030063643A
Authority
KR
South Korea
Prior art keywords
tantalum
nitride film
lower electrode
capacitor manufacturing
capacitor
Prior art date
Application number
KR1020020003873A
Other languages
Korean (ko)
Inventor
최경인
최길현
이창원
김병희
강상범
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020020003873A priority Critical patent/KR20030063643A/en
Publication of KR20030063643A publication Critical patent/KR20030063643A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Abstract

PURPOSE: A method of manufacturing a capacitor of a semiconductor device having a Ta3N5 layer as dielectric layer is provided to use a Ta3N5 layer that do not require two step process and a high temperature thermal process. CONSTITUTION: A bottom electrode(110) is formed. A dielectric layer(120) consisting of Ta3N5 is formed on the bottom electrode by CVD. An upper electrode(130) is formed on the dielectric layer. A Ta3N5 layer is formed by pulsing a vaporized tantalum precursor and vaporized nitrogen source in a reaction chamber.

Description

탄탈륨 질화막을 유전체막으로 하는 반도체소자의 캐패시터 제조방법{METHOD OF FORMING SEMICONDUCTOR CAPACITOR WITH TANTALUM-NITRIDE DIELECTRIC LAYER}METHODS OF FORMING SEMICONDUCTOR CAPACITOR WITH TANTALUM-NITRIDE DIELECTRIC LAYER}

본 발명은 반도체소자의 제조방법에 관한 것으로, 특히 반도체소자의 캐패시터 제조방법에 관한 것이다.The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of manufacturing a capacitor of a semiconductor device.

반도체 기억 소자들의 집적도가 증가함에 따라 기억정보의 기본단위인 1비트를 기억시키는 메모리 셀의 면적은 작아지고 있다. 셀의 축소에 비례하여 캐패시터의 면적을 감소 시킬 수는 없는 바, 이는 센싱(sensing) 신호 마진(signal margin), α-입자에 의한 소프트 에러(Soft Error)에 대한 내구성 등을 위해서는 단위 셀당 일정 이상의 충전용량이 필요하기 때문이다. 제한된 셀 면적 내에 메모리 캐패시터의 용량(C)을 적정값 이상 유지시키기 위한 방법은 C=εAs/d (ε:유전률, As:표면적, d:유전체 두께) 와 같이, 첫째는 유전체 두께(d)를 감소시키는 방법, 둘째는 캐패시터의 유효 표면적(As)을 증가시키는 방법, 셋째는 유전율(ε)이 높은 재료를 사용하는 방법이 고려되어 왔다.As the degree of integration of semiconductor memory elements increases, the area of memory cells that store 1 bit, which is a basic unit of memory information, is decreasing. It is not possible to reduce the area of the capacitor in proportion to the shrinking of the cell, which is more than a certain amount per unit cell for sensing signal margin and durability against soft error caused by α-particles. This is because the charging capacity is required. A method for maintaining the capacity (C) of the memory capacitor in a limited cell area more than the appropriate value is the first, the dielectric thickness (d), such as C = ε As / d (ε: dielectric constant, As: surface area, d: dielectric thickness) A method of reducing, a second method of increasing the effective surface area As of a capacitor, and a third method of using a material having a high dielectric constant ε have been considered.

이 가운데, 첫째 방법인 유전체의 박막화로 유전체 두께(d)를 감소시키는 방법은 유전체 두께가 감소함에 따라 누설전류가 증가하기 때문에 한계가 있다.Among these methods, the method of reducing the dielectric thickness d by thinning the dielectric, the first method, has a limitation because the leakage current increases as the dielectric thickness decreases.

두 번째 방법인 캐패시터의 유효 표면적(As)을 증가시키는 방법은 캐패시터의 구조를 스택 구조, 컨캐이브 구조, 실린더 구조, 다중 핀 구조 등과 같이 3차원 구조로 하는 방법이 사용되고 있다.As a second method of increasing the effective surface area As of a capacitor, a method in which the capacitor has a three-dimensional structure such as a stack structure, a concave structure, a cylinder structure, and a multi-pin structure is used.

세번째 방법인 유전률이 높은 재료를 사용하는 방법을 살펴보면, 종래에는 SiO2와 비교하여 유전률이 거의 2배인 Si3N4를 사용한 NO(Nitride-Oxide) 또는 ONO(Oxide-Nitride-Oxide)박막이 거의 주류였다. 하지만 디자인 룰이 적은 소자 제조 기술에서는 유전체 박막의 두께를 줄이거나 표면적을 넓힌다고 해도 높은 정전용량을 구현할 만한 여지가 없게 되어 새로운 물질을 도입할 수 밖에 없는 상황에 이르렀다. 결국 고집적 DRAM에서 NO 또는 ONO 유전체 박막을 대신할 물질로는 (Ba,Sr)TiO3(BST), (Pb,Zr)TiO3(PZT), Ta2O5, TiO2, Al2O3, Y2O3, ZrO2, HfO2등의 금속 산화막으로 이루어진 유전체막을 도입하였다In the third method, which is a material having a high dielectric constant, conventionally, a thin film of NO (Nitride-Oxide) or ONO (Oxide-Nitride-Oxide) using Si 3 N 4 having a dielectric constant almost twice that of SiO 2 is known. It was mainstream. However, device fabrication techniques with fewer design rules have no choice but to introduce new materials, even if the thickness of the dielectric film is reduced or the surface area is increased. As a result, materials that replace NO or ONO dielectric thin films in highly integrated DRAM include (Ba, Sr) TiO 3 (BST), (Pb, Zr) TiO 3 (PZT), Ta 2 O 5 , TiO 2 , Al 2 O 3 , A dielectric film made of a metal oxide film such as Y 2 O 3 , ZrO 2 , HfO 2 was introduced.

그런데, 이러한 상기 금속 산화막은 고유전률을 가진 반면, 종래 캐패시터의 하부전극으로 사용하던 폴리실리콘과 쉽게 반응한다. 즉, 금속 산화막 형성 과정및 금속 산화막 형성 후의 열처리 과정에서 하부전극인 폴리실리콘이 산화하는 문제점이 있다. 따라서, 상기와 같은 고유전률을 가진 금속 산화막을 사용하는 커패시터에서는 전극물질로서 폴리실리콘을 사용하기 어렵기 때문에 희금속, 예를 들면 백금(Pt), 이리듐(Ir), 루테늄(Ru) 등을 사용하거나 금속질화막, 예를 들면 티타늄 질화막(TiN), 탄탈륨 질화막(TaN), 텅스텐 질화막(WN) 등을 사용하였다.However, the metal oxide film has a high dielectric constant and easily reacts with polysilicon used as a lower electrode of a conventional capacitor. That is, polysilicon, which is a lower electrode, is oxidized during the metal oxide film formation process and the heat treatment process after the metal oxide film formation. Therefore, in a capacitor using a metal oxide film having a high dielectric constant as described above, it is difficult to use polysilicon as an electrode material. Therefore, a rare metal such as platinum (Pt), iridium (Ir), ruthenium (Ru), or the like is used. Metal nitride films such as titanium nitride films (TiN), tantalum nitride films (TaN), tungsten nitride films (WN), and the like were used.

그러나, 이러한 희금속을 사용한 하부전극의 경우에는 표면에 결정성이 있는 희금속 산화물(예를 들면 RuO2)이 형성되는 단점이 있다. 상기 희금속 산화물은 상술한 유전체막으로 사용되는 금속 산화막의 형성을 억제할 뿐 아니라 전극과 유전체막의 접촉면적을 감소시켜 캐패시터의 특성을 열화시킬 수 있다.However, the lower electrode using such a rare metal has a disadvantage in that a crystalline rare metal oxide (for example, RuO 2 ) is formed on a surface thereof. The rare metal oxide not only suppresses the formation of the metal oxide film used as the dielectric film described above, but also reduces the contact area between the electrode and the dielectric film, thereby degrading the characteristics of the capacitor.

이러한 단점이 있는 금속 산화막 외에 높은 유전률을 가진 물질로는 탄탈륨 질화막(Ta3N5)이 있다. 종래의 탄탈륨 질화막(Ta3N5)의 형성방법은 i) 저압 화학 기상 증착법(Low Pressure Chemical Vapor Deposition, LPCVD)으로 TaON을 형성하는 제1 단계, ii) 650℃ 내지 950℃와 같은 고온에서 NH3아닐링 공정이나 급속열처리(Rapid Thermal Processing, RTP) 공정을 진행하는 제2 단계를 통해 유전체막을 형성하였다.In addition to the metal oxide film having such a disadvantage, a material having a high dielectric constant is a tantalum nitride film (Ta 3 N 5 ). The conventional method of forming a tantalum nitride film (Ta 3 N 5 ) is i) a first step of forming TaON by Low Pressure Chemical Vapor Deposition (LPCVD), ii) NH at a high temperature such as 650 ° C to 950 ° C. A dielectric film was formed through a second step of performing an annealing process or a rapid thermal processing (RTP) process.

상술한 탄탈륨 질화막(Ta3N5) 형성방법은 2 단계 공정으로서 공정이 분리되는 문제점이 있다. 또한, 고온의 열공정이 필요하기 때문에 이미 형성된 하부소자의 전기적 특성에 영향을 줄 수 있으며, 콘택플러그에서는 산화가 진행되어 접촉저항을 증가시키는 문제점이 있다.The above-described method of forming a tantalum nitride film Ta 3 N 5 has a problem in that the process is separated as a two-step process. In addition, since a high temperature thermal process is required, it may affect the electrical properties of the already formed lower element, and there is a problem in that contact plugs undergo oxidation to increase contact resistance.

본 발명은 상기와 같은 문제점을 해결하기 위하여 안출된 것으로, 공정이 분리되지 않고, 고온의 열공정이 필요 없는 탄탈륨 질화막(Ta3N5)을 사용하여 제조된 캐패시터 제조방법을 제공하는데 목적이 있다.The present invention has been made to solve the above problems, and an object of the present invention is to provide a method for manufacturing a capacitor manufactured using a tantalum nitride film (Ta 3 N 5 ), in which a process is not separated and a high temperature thermal process is not required.

도 1 내지 도 3은 본 발명에 의한 반도체소자의 캐패시터의 제조방법을 나타내는 단면도들이다.1 to 3 are cross-sectional views illustrating a method of manufacturing a capacitor of a semiconductor device according to the present invention.

*도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

100 : 도전층 110 : 하부전극100: conductive layer 110: lower electrode

120 : 유전체막 130 : 상부전극120: dielectric film 130: upper electrode

상기 목적을 달성하기 위한 본 발명의 캐패시터 제조방법은 유전체막으로 사용되는 탄탈륨 질화막(Ta3N5)을 탄탈륨 전구체와 질소 소스를 이용하여 화학 기상 증착법 또는 원자층 적층법을 통해 형성하는 것이다.A capacitor manufacturing method of the present invention for achieving the above object is to form a tantalum nitride film (Ta 3 N 5 ) used as a dielectric film by a chemical vapor deposition method or an atomic layer deposition method using a tantalum precursor and a nitrogen source.

상기 탄탈륨 전구체로는 TaF5(tantalum fluoride), TaI5(tantalum iodide), TaCl5(tantalum chloride) 및 TaBr5(tantalum bromide) 등과 같은 탄탈륨 핼라이드 유도체(tantalum halide derivatives)를 사용할 수 있다. 또 다른 탄탈륨 전구체로는 Ta(NEt2)5, Ta(NMe2)5또는 TBTDET(t-butylimido-tris(diethylamido)tantalium)등과 같은 유기금속소스(organometallic source)를 이용하는 탄탈륨 아민 유도체(tantalum amine derivatives) 형태일 수 있다.Tantalum halide derivatives such as TaF 5 (tantalum fluoride), TaI 5 (tantalum iodide), TaCl 5 (tantalum chloride) and TaBr 5 (tantalum bromide) may be used as the tantalum precursor. Other tantalum precursors include tantalum amine derivatives using organometallic sources such as Ta (NEt 2 ) 5 , Ta (NMe 2 ) 5, or TBTDET (t-butylimido-tris (diethylamido) tantalium). ) Form.

상기 질소 소스는 N2, NH3및 N2H2으로 구성된 일군에서 선택된 적어도 하나를 사용할 수 있다. 이 때, 질소를 포함하는 반응가스는 반응에 참여하기 위해서 활성화된 상태일 수도 있다. 예를 들면, 직접(direct) 플라즈마 방식 및 리모트(remote) 플라즈마 방식을 사용하여 플라즈마 상태로 여기된 상태로 반응챔버에 공급될 수 있다.The nitrogen source may use at least one selected from the group consisting of N 2 , NH 3 and N 2 H 2 . At this time, the reaction gas containing nitrogen may be in an activated state to participate in the reaction. For example, it may be supplied to the reaction chamber in the excited state in the plasma state using a direct plasma method and a remote plasma method.

상술한 목적, 특징들 및 장점은 첨부된 도면과 관련한 다음의 상세한 설명을 통하여 보다 분명해 질 것이다. 이하, 첨부된 도면을 참조하여 본 발명에 따른 바람직한 일실시예를 상세히 설명한다.The above objects, features and advantages will become more apparent from the following detailed description taken in conjunction with the accompanying drawings. Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 1 내지 도 3는 본 발명의 일실시예에 의한 반도체소자의 캐패시터의 제조방법을 나타내는 단면도이다.1 to 3 are cross-sectional views illustrating a method of manufacturing a capacitor of a semiconductor device according to an embodiment of the present invention.

도 1을 참조하면, 기판에 형성된 도전층(100) 상에 하부전극(110)을 형성한다. 상기 도전층(100)은 도전성 플러그 또는 도핑이 된 기판일 수도 있다. 상기 하부전극(110)은 스택 구조, 실린더 구조, 컨케이브 구조, 핀 구조 등의 다양한 모양을 가질 수 있으며, 하부전극 물질은 폴리실리콘, 희금속막, 금속 질화물막 또는 이들의 조합으로 형성할 수 있다. 상기 희금속막은 루테늄(Ru), 백금(Pt), 이리듐(Ir), 오스뮴(Os), 텅스텐(W), 몰리브데늄(Mo), 코발트(Co), 니켈(Ni), 금(Au) 및 은(Ag) 중에서 선택하는 것이 바람직하다. 상기 금속 질화물막은 티타늄 질화막(TiN), 탄탈륨 질화막(TaN), 텅스텐 질화막(WN) 중에서 선택하는 것이 바람직하다.Referring to FIG. 1, a lower electrode 110 is formed on a conductive layer 100 formed on a substrate. The conductive layer 100 may be a conductive plug or a doped substrate. The lower electrode 110 may have various shapes such as a stack structure, a cylinder structure, a concave structure, and a fin structure, and the lower electrode material may be formed of polysilicon, a rare metal film, a metal nitride film, or a combination thereof. . The rare metal film is ruthenium (Ru), platinum (Pt), iridium (Ir), osmium (Os), tungsten (W), molybdenum (Mo), cobalt (Co), nickel (Ni), gold (Au) and It is preferable to select from silver (Ag). The metal nitride film is preferably selected from a titanium nitride film TiN, a tantalum nitride film TaN, and a tungsten nitride film WN.

도 2를 참조하면, 상기 하부전극(110) 상에 탄탈륨 질화막(Ta3N5)으로 구성된 유전체막(120)을 형성한다. 상기 탄탈륨 질화막(Ta3N5) 형성방법은 질소가 포함된 질소 소스와 탄탈륨이 포함된 탄탈륨 소스를 전구체로 하여 전구체 저장 탱크로부터 반응챔버로 공급하여 박막을 형성하는 것이다. 상기 전구체 저장탱크로부터 반응챔버로 전구체를 공급하는 방법으로는 i) 불활성가스를 이용하여 전구체 증기를 반응 챔버를 공급하는 버블러(bubbler)를 이용하는 방법과 ii) 액체 전구체를 기상기(vaporizer)에서 기화(vaporizing) 시킨 후 불활성 가스와 같은 캐리어 가스(carrier gas)를 이용하여 반응 챔버로 공급하는 LDS(Liquid Delivery System) 방법을 이용할 수 있다.Referring to FIG. 2, a dielectric film 120 including a tantalum nitride film Ta 3 N 5 is formed on the lower electrode 110. The tantalum nitride film Ta 3 N 5 is formed by supplying a nitrogen source containing nitrogen and a tantalum source containing tantalum as a precursor to a reaction chamber from a precursor storage tank to form a thin film. As a method of supplying a precursor from the precursor storage tank to the reaction chamber, i) a method of using a bubbler to supply precursor vapor to the reaction chamber using an inert gas, and ii) a liquid precursor to a vaporizer. After vaporizing, a Liquid Delivery System (LDS) method may be used, which supplies a reaction gas to a reaction chamber by using a carrier gas such as an inert gas.

탄탈륨 질화막은 조성비에 따라 TaN과 Ta3N5가 존재한다. 전자는 도전성 물질로 전극 재료로 사용되며, 후자는 도전성이 없어 유전물질로 사용할 수 있다. 본 발명의 실시예에서는 캐패시터의 하부 및 상부 전극재료로서 탄탈륨 질화막(TaN), 티타늄 질화막(TiN), 및 텅스텐 질화막(WN) 등의 금속 질화막을 사용할 수 있다. 이 경우 유전체막으로 탄탈륨 질화막(Ta3N5)을 사용하기 때문에 인시츄(in-situ)로 공정을 진행할 수 있는 장점이 있다.The tantalum nitride film has TaN and Ta 3 N 5 depending on the composition ratio. The former is used as an electrode material as a conductive material, and the latter is not conductive and can be used as a dielectric material. In the embodiment of the present invention, metal nitride films such as tantalum nitride film (TaN), titanium nitride film (TiN), and tungsten nitride film (WN) may be used as the lower and upper electrode materials of the capacitor. In this case, since the tantalum nitride film (Ta 3 N 5 ) is used as the dielectric film, the process may be performed in-situ.

본 발명의 실시예에서는 탄탈륨 질화막(Ta3N5)의 형성방법으로 두 가지를 사용할 수 있다. 첫째는 화학 기상 증착법이고, 두 번째는 원자층 적층법을 이용하는 것이다.In the embodiment of the present invention, two methods may be used to form a tantalum nitride film (Ta 3 N 5 ). The first is chemical vapor deposition and the second is atomic layer deposition.

먼저, 화학 기상 증착법은 반응챔버에서 기체 상태의 전구체를 분해한 후 화학적 반응에 의해 반도체기판 위에 박막이나 에피층을 형성하는 것이다.First, chemical vapor deposition involves decomposing a gaseous precursor in a reaction chamber and then forming a thin film or epi layer on a semiconductor substrate by chemical reaction.

본 발명의 화학 기상 증착법에 의한 탄탈륨 질화막(Ta3N5)의 박막 형성 과정은 먼저 기판을 반응챔버 내로 인입한다. 상기 반응챔버의 온도는 100℃ 내지 650℃의 온도 범위에서 유지하며 압력은 0.1torr 내지 30torr로 유지하는 것이 바람직하다.In the process of forming a thin film of a tantalum nitride film (Ta 3 N 5 ) by the chemical vapor deposition method of the present invention, the substrate is first introduced into the reaction chamber. The temperature of the reaction chamber is maintained at a temperature range of 100 ℃ to 650 ℃ and the pressure is preferably maintained at 0.1torr to 30torr.

다음으로, 상기 반응챔버 내로 증기상태의 탄탈륨 전구체 및 질소 소스를 펄싱하여 탄탈륨 질화막(Ta3N5)을 형성한다. 상기 탄탈륨 전구체의 유입량은 1 내지 50mg/min을 사용하며, 상기 질소 소스의 유입량은 1 내지 5000sccm을 사용하는 것이 바람직하다. 상기 질소 소스로는 NH3, N2, 및 N2H2가스 중에서 선택된 적어도 하나를 사용한다. 상기 질소 소스는 탄탈륨 전구체와 반응하기 위하여 플라즈마 상태로 활성화 될 수도 있다. 상기 탄탈륨 전구체로는 TaF5(tantalum fluoride), TaI5(tantalum iodide), TaCl5(tantalum chloride) 및 TaBr5(tantalum bromide) 등과 같은 탄탈륨 핼라이드 유도체(tantalum halide derivatives)를 사용할 수 있다. 또 다른 탄탈륨 전구체로는 Ta(NEt2)5나 Ta(NMe2)5또는 TBTDET(t-butylimido-tris(diethylamido)tantalium)등과 같은 유기금속소스(organometallic source)를 이용하는 탄탈륨 아민 유도체(tantalum amine derivatives) 형태일 수 있다. 상기 탄탈륨 전구체에는 하이드로 카본 및 핼라이드 원자를 포함하거나 하이드로 카본 및 산소원자를 포함하거나, 하이드로 카본 및 질소원자를 포함할 수 있다. 또한, 상기 탄탈륨 질화막(Ta3N5) 형성 공정을 반응챔버 내에서 안정적으로 수행하기 위해서 반응챔버에 상기 전구체 가스와 함께 질소 가스, 헬륨 가스, 아르곤 가스 및 수소 가스를 공급할 수 있다.Next, a tantalum nitride film and a nitrogen source in a vapor state are pulsed into the reaction chamber to form a tantalum nitride film Ta 3 N 5 . The inflow of the tantalum precursor is used 1 to 50mg / min, the inflow of the nitrogen source is preferably used 1 to 5000sccm. As the nitrogen source, at least one selected from NH 3 , N 2 , and N 2 H 2 gas is used. The nitrogen source may be activated in a plasma state to react with the tantalum precursor. Tantalum halide derivatives such as TaF 5 (tantalum fluoride), TaI 5 (tantalum iodide), TaCl 5 (tantalum chloride) and TaBr 5 (tantalum bromide) may be used as the tantalum precursor. Other tantalum precursors include tantalum amine derivatives using organometallic sources such as Ta (NEt 2 ) 5 or Ta (NMe 2 ) 5 or TBTDET (t-butylimido-tris (diethylamido) tantalium). ) Form. The tantalum precursor may include hydrocarbon and halide atoms, include hydrocarbon and oxygen atoms, or include hydrocarbon and nitrogen atoms. In addition, in order to stably perform the tantalum nitride film (Ta 3 N 5 ) forming process in the reaction chamber, nitrogen gas, helium gas, argon gas, and hydrogen gas may be supplied to the reaction chamber together with the precursor gas.

다음으로, 상기 탄탈륨 전구체 및 질소 소스의 펄싱을 차단하고 퍼지가스를상기 반응챔버 내로 공급하는 퍼징단계로 이루어 진다. 상기 퍼지 가스는 질소, 아르곤, 및 헬륨 중에서 선택된 적어도 하나를 사용하며 유입량은 1 내지 1000sccm을 사용하는 것이 바람직하다. 상술한 본 발명의 실시예는 일반적인 화학 기상 증착법(Chemical Vapor Deposition; CVD) 뿐만 아니라 사이클 화학 기상 증착법(cycle CVD)으로도 실시할 수 있다.Next, a purging step is performed to block pulsing of the tantalum precursor and the nitrogen source and to supply a purge gas into the reaction chamber. The purge gas uses at least one selected from nitrogen, argon, and helium, and the inflow rate is preferably 1 to 1000 sccm. The above-described embodiments of the present invention may be performed by cycle chemical vapor deposition (CVD) as well as general chemical vapor deposition (CVD).

바람직하게는 상기 화학기상증착법으로 탄탈륨 질화막을 형성한 후에는 플라즈마 상태로 활성화된 수소 및 질소를 포함하는 가스를 사용하여 후처리를 실시할 수 있다.Preferably, after the tantalum nitride film is formed by the chemical vapor deposition, post-treatment may be performed using a gas containing hydrogen and nitrogen activated in a plasma state.

두 번째로 원자층 적층법을 이용한 박막 형성 방법은 전구체 분자들 간의 화학반응을 이용한다는 점에서 화학 기상 증착법과 유사하나 통상적인 화학 기상 증착법은 전구체 분자들이 증기 상태에서 서로 만나 반응이 일어나는 현상을 이용하는데 반해 원자층 적층법은 두 전구체간의 표면 반응을 이용한다는 점이 크게 다르다. 원자층 적층법 공정에서 한 종류의 전구체가 기판 표면에 흡착되어 있는 상태에서 또 다른 전구체를 공급하면 두 전구체 분자들이 표면에서 서로 만나서 반응함으로써 박막을 형성하게 된다.Secondly, the thin film formation method using atomic layer deposition is similar to chemical vapor deposition in that it uses chemical reactions between precursor molecules, but conventional chemical vapor deposition uses a phenomenon in which precursor molecules meet with each other in a vapor state. In contrast, atomic layer deposition uses a surface reaction between the two precursors. In the atomic layer deposition process, when one kind of precursor is adsorbed on the surface of the substrate and another precursor is supplied, the two precursor molecules meet and react with each other on the surface to form a thin film.

본 발명의 원자층 적층법에 의한 탄탈륨 질화막(Ta3N5)의 박막 형성 과정은 먼저 기판을 반응챔버 내로 인입한다. 상기 반응챔버의 온도는 100℃ 내지 650℃의 온도 범위에서 유지하며 압력은 0.1torr 내지 30torr로 유지하는 것이 바람직하다.In the thin film formation process of the tantalum nitride film Ta 3 N 5 by the atomic layer deposition method of the present invention, the substrate is first introduced into the reaction chamber. The temperature of the reaction chamber is maintained at a temperature range of 100 ℃ to 650 ℃ and the pressure is preferably maintained at 0.1torr to 30torr.

다음으로, 상기 반응챔버 내로 탄탈륨 전구체를 유입하여 상기 기판 상에 흡착시킨다. 상기 탄탈륨 전구체의 유입량은 1 내지 50mg/min을 사용하는 것이 바람직하다. 상기 탄탈륨 전구체로는 TaF5(tantalum fluoride), TaI5(tantalum iodide), TaCl5(tantalum chloride) 및 TaBr5(tantalum bromide) 등과 같은 탄탈륨 핼라이드 유도체(tantalum halide derivatives)를 사용할 수 있다. 또 다른 탄탈륨 전구체로는 Ta(NEt2)5나 Ta(NMe2)5또는 TBTDET(t-butylimido-tris(diethylamido)tantalium)등과 같은 유기금속소스(organometallic source)를 이용하는 탄탈륨 아민 유도체(tantalum amine derivatives) 형태일 수 있다. 상기 탄탈륨 전구체에는 하이드로 카본 및 핼라이드 원자를 포함하거나 하이드로 카본 및 산소원자를 포함하거나, 하이드로 카본 및 질소원자를 포함할 수 있다. 또한, 상기 탄탈륨 질화막 형성 공정을 반응챔버 내에서 안정적으로 수행하기 위해서 반응챔버에 상기 전구체 가스와 함께 질소 가스, 헬륨 가스, 아르곤 가스 및 수소 가스를 공급할 수 있다.Next, tantalum precursor is introduced into the reaction chamber and adsorbed onto the substrate. The inflow amount of the tantalum precursor is preferably used from 1 to 50 mg / min. Tantalum halide derivatives such as TaF 5 (tantalum fluoride), TaI 5 (tantalum iodide), TaCl 5 (tantalum chloride) and TaBr 5 (tantalum bromide) may be used as the tantalum precursor. Other tantalum precursors include tantalum amine derivatives using organometallic sources such as Ta (NEt 2 ) 5 or Ta (NMe 2 ) 5 or TBTDET (t-butylimido-tris (diethylamido) tantalium). ) Form. The tantalum precursor may include hydrocarbon and halide atoms, include hydrocarbon and oxygen atoms, or include hydrocarbon and nitrogen atoms. In addition, in order to stably perform the tantalum nitride film forming process in the reaction chamber, nitrogen gas, helium gas, argon gas, and hydrogen gas may be supplied to the reaction chamber together with the precursor gas.

다음으로, 상기 탄탈륨 전구체의 유입을 차단하고 퍼지가스를 증착실 내로 유입하여 반응챔버 내에 잔류하는 상기 탄탈륨 전구체를 제거한다. 상기 퍼지 가스는 질소, 아르곤, 및 헬륨 중에서 선택된 적어도 하나를 사용하며 유입량은 1 내지 5000sccm을 사용하는 것이 바람직하다.Next, the inlet of the tantalum precursor is blocked and a purge gas is introduced into the deposition chamber to remove the tantalum precursor remaining in the reaction chamber. The purge gas uses at least one selected from nitrogen, argon, and helium, and the inflow amount is preferably 1 to 5000 sccm.

다음으로, 상기 퍼지가스의 유입을 차단하고 질소 소스를 증착실 내로 유입하여 상기 기판 상에 흡착된 상기 탄탈륨 전구체와 반응시켜 탄탈륨 질화막을 형성한다. 상기 질소 가스의 유입량은 1 내지 5000sccm을 사용하는 것이 바람직한다. 상기 질소 소스로는 NH3, N2, 및 N2H2가스 중에서 선택된 적어도 하나를 사용한다.상기 질소 소스는 탄탈륨 전구체와 반응하기 위하여 플라즈마 상태로 활성화 될 수도 있다.Next, the inflow of the purge gas is blocked and a nitrogen source is introduced into the deposition chamber to react with the tantalum precursor adsorbed on the substrate to form a tantalum nitride film. The inflow amount of the nitrogen gas is preferably used 1 to 5000sccm. As the nitrogen source, at least one selected from NH 3 , N 2 , and N 2 H 2 gases may be used. The nitrogen source may be activated in a plasma state to react with a tantalum precursor.

다음으로, 상기 질소 소스의 유입을 차단하고 퍼지가스를 증착실 내로 유입하여 증착실 내에 잔류하는 상기 질소 가스를 제거한다. 상기 퍼지 가스는 질소, 아르곤, 및 헬륨 중에서 선택된 적어도 하나를 사용하며 유입량은 1 내지 1000sccm을 사용하는 것이 바람직하다.Next, the inflow of the nitrogen source is blocked and a purge gas is introduced into the deposition chamber to remove the nitrogen gas remaining in the deposition chamber. The purge gas uses at least one selected from nitrogen, argon, and helium, and the inflow rate is preferably 1 to 1000 sccm.

상기 과정을 반복함으로써 박막의 두께를 조절하면서 탄탈륨 질화막을 형성할 수 있다. 원자층 적층법을 이용하면, 통상 원자층 단위로 우수한 단차도포성(step coverage)을 갖는 탄탈륨 질화막(Ta3N5)을 형성할 수 있으며, 탄탈륨 질화막(Ta3N5) 내에 함유된 불순물의 농도도 매우 낮게된다.By repeating the above process, it is possible to form a tantalum nitride film while controlling the thickness of the thin film. By using the atomic layer deposition method, it is possible to form a tantalum nitride film (Ta 3 N 5 ) having excellent step coverage in the atomic layer unit in general, and the impurities contained in the tantalum nitride film (Ta 3 N 5 ) The concentration is also very low.

바람직하게는 상기 원자층 적층법으로 탄탈륨 질화막을 형성한 후에는 플라즈마 상태로 활성화된 수소 및 질소를 포함하는 가스를 사용하여 후처리를 실시할 수 있다.Preferably, after the tantalum nitride film is formed by the atomic layer deposition method, post-treatment may be performed using a gas containing hydrogen and nitrogen activated in a plasma state.

도 3을 참조하면, 상기 탄탈륨 질화막(Ta3N5) 유전체막(120) 상에 상부전극(130)을 형성한 단면도이다.Referring to FIG. 3, an upper electrode 130 is formed on the tantalum nitride film Ta 3 N 5 dielectric film 120.

상부전극 물질은 폴리실리콘, 희금속막, 금속 질화물막 또는 이들의 조합으로 형성할 수 있다.The upper electrode material may be formed of polysilicon, a rare metal film, a metal nitride film, or a combination thereof.

상기 희금속막은 루테늄(Ru), 백금(Pt), 이리듐(Ir), 오스뮴(Os), 텅스텐(W), 몰리브데늄(Mo), 코발트(Co), 니켈(Ni), 금(Au) 및 은(Ag) 중에서 선택하는 것이 바람직하다.The rare metal film is ruthenium (Ru), platinum (Pt), iridium (Ir), osmium (Os), tungsten (W), molybdenum (Mo), cobalt (Co), nickel (Ni), gold (Au) and It is preferable to select from silver (Ag).

상기 금속 질화물막은 티타늄 질화막(TiN), 탄탈륨 질화막(TaN), 텅스텐 질화막(WN) 중에서 선택되는 것이 바람직하다. 상기 상부전극 및 하부전극을 상기 금속 질화막을 사용할 경우에는 상술한 바와 같이 상부전극, 하부전극 및 유전체막을 인시츄(in-situ)로 진행할 수 있는 장점이 있다.The metal nitride film is preferably selected from a titanium nitride film TiN, a tantalum nitride film TaN, and a tungsten nitride film WN. When the metal nitride film is used as the upper electrode and the lower electrode, as described above, the upper electrode, the lower electrode and the dielectric film may be advanced in-situ.

이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.The present invention described above is not limited to the above-described embodiments and the accompanying drawings, and various substitutions, modifications, and changes are possible in the art without departing from the technical spirit of the present invention. It will be clear to those of ordinary knowledge.

상기와 같이 이루어진 본 발명은, 종래에 유전체막으로 금속 산화막을 사용하고 하부전극으로 폴리실리콘을 사용할 경우에 발생하는 산화에 의한 폴리실리콘의 열화를 막을 수 있다.The present invention made as described above, it is possible to prevent the deterioration of the polysilicon by the oxidation that occurs when using a conventional metal oxide film as the dielectric film and polysilicon as the lower electrode.

또한, 낮은 증착온도를 통하여 열적 부담(thermal burget)을 줄일 수 있으며, 공정을 하나의 단계로 진행할 수 있어 공정이 단순화된다.In addition, the thermal deposition can be reduced through a low deposition temperature, and the process can be performed in one step, thereby simplifying the process.

또한, 캐패시터의 상부전극 및 하부전극을 금속 질화막으로 사용할 경우에는 상부전극, 하부전극 및 유전체막을 인시츄(in-situ)로 증착할 수 있는 효과가 있다.In addition, when the upper electrode and the lower electrode of the capacitor are used as the metal nitride film, the upper electrode, the lower electrode and the dielectric film can be deposited in-situ.

또한, 반도체소자의 집적도가 증가하고 그 구조가 복잡해짐에 따라 박막의 단차도포성 특성이 중요하게 중요하게 되는데 화학 기상 증착법 및 원자층 적층법을 이용하여 탄탈륨 질화막(Ta3N5)을 증착할 경우에는 우수한 단차도포성 특성을 갖는 박막을 증착시킬 수가 있다.In addition, as the degree of integration of semiconductor devices increases and the structure thereof becomes complicated, the step-coating property of the thin film becomes important. A tantalum nitride film (Ta 3 N 5 ) may be deposited using chemical vapor deposition and atomic layer deposition. In this case, a thin film having excellent step coatability can be deposited.

Claims (24)

하부전극을 형성하는 단계;Forming a lower electrode; 상기 하부전극 상에 화학기상증착법으로 탄탈륨 질화막(Ta3N5)으로 이루어진 유전체막을 형성하는 단계; 및Forming a dielectric film made of a tantalum nitride film (Ta 3 N 5 ) on the lower electrode by chemical vapor deposition; And 상기 유전체막 상에 상부전극을 형성하는 단계를 포함하는 반도체소자의 캐패시터 제조방법에 있어서,In the method of manufacturing a capacitor of a semiconductor device comprising the step of forming an upper electrode on the dielectric film, 상기 화학기상증착법으로 탄탈륨 질화막(Ta3N5)으로 이루어진 유전체막을 형성하는 단계는,Forming a dielectric film made of tantalum nitride film (Ta 3 N 5 ) by the chemical vapor deposition method, 기판을 반응챔버 내로 인입하는 단계;Introducing the substrate into the reaction chamber; 상기 반응챔버 내로 증기상태의 탄탈륨 전구체 및 질소 소스를 펄싱하여 탄탈륨 질화막을 형성하는 단계; 및Pulsing a vaporous tantalum precursor and a nitrogen source into the reaction chamber to form a tantalum nitride film; And 상기 탄탈륨 전구체 및 질소 소스의 펄싱을 차단하고 퍼지가스를 상기 반응챔버 내로 공급하는 퍼징단계를 포함하는 것을 특징으로 하는 캐패시터 제조방법.And a purging step of blocking pulsing of the tantalum precursor and the nitrogen source and supplying a purge gas into the reaction chamber. 제 1 항에 있어서,The method of claim 1, 상기 화학기상증착법으로 탄탈륨 질화막으로 이루어진 유전체막을 형성한 후에는 플라즈마 상태로 활성화된 수소 및 질소를 포함하는 가스를 사용하여 후처리를 하는 단계를 더 포함하는 것을 특징으로 하는 캐패시터 제조방법.And forming a dielectric film made of a tantalum nitride film by the chemical vapor deposition method, and then performing post-treatment using a gas containing hydrogen and nitrogen activated in a plasma state. 제 1 항에 있어서,The method of claim 1, 상기 반응챔버 내로 탄탈륨 전구체를 유입시키는 단계는,Injecting a tantalum precursor into the reaction chamber, 버블러(bubbler)를 이용하는 방법 또는 LDS를 이용하는 방법을 사용하는 것을 특징으로 하는 캐패시터 제조방법.A method for producing a capacitor, characterized by using a method using a bubbler (bubbler) or a method using an LDS. 제 1 항에 있어서,The method of claim 1, 상기 탄탈륨 전구체는 탄탈륨 핼라이드 유도체 또는 탄탈륨 아민 유도체를 사용하는 것을 특징으로 하는 캐패시터 제조방법.The tantalum precursor is a capacitor manufacturing method using a tantalum halide derivative or tantalum amine derivative. 제 4 항에 있어서,The method of claim 4, wherein 상기 탄탈륨 핼라이드 유도체는 TaF5, TaI5, TaCl5및 TaBr5중에서 선택된 적어도 하나를 사용하는 것을 특징으로 하는 캐패시터 제조방법.The tantalum halide derivative is a capacitor manufacturing method characterized in that using at least one selected from TaF 5 , TaI 5 , TaCl 5 and TaBr 5 . 제 4 항에 있어서,The method of claim 4, wherein 상기 탄탈륨 아민 유도체는 Ta(NEt2)5, Ta(NMe2)5및 TBTDET 중에서 선택된 적어도 하나를 사용하는 것을 특징으로 하는 캐패시터 제조방법.The tantalum amine derivative is a capacitor manufacturing method characterized in that at least one selected from Ta (NEt 2 ) 5 , Ta (NMe 2 ) 5 and TBTDET. 제 1 항에 있어서,The method of claim 1, 상기 질소 소스는 N2, NH3및 N2H2으로 구성된 일군에서 선택된 적어도 하나를 사용하는 것을 특징으로 하는 캐패시터 제조방법.The nitrogen source is a capacitor manufacturing method characterized in that using at least one selected from the group consisting of N 2 , NH 3 and N 2 H 2 . 제 1 항에 있어서,The method of claim 1, 상기 질소 소스는 플라즈마 상태로 활성화 된 것을 특징으로 하는 캐패시터 제조방법.The nitrogen source is a capacitor manufacturing method, characterized in that activated in the plasma state. 제 1 항에 있어서,The method of claim 1, 상기 반응챔버의 온도는 100℃ 내지 650℃의 범위에서 유지하며, 압력은 0.1torr 내지 30torr로 유지하는 것을 특징으로 하는 캐패시터 제조방법.The temperature of the reaction chamber is maintained in the range of 100 ℃ to 650 ℃, the pressure of the capacitor manufacturing method, characterized in that maintained at 0.1torr to 30torr. 제 1 항에 있어서,The method of claim 1, 상기 퍼지가스는 질소, 아르곤 및 헬륨 중에서 선택된 적어도 하나를 사용하며, 유입량은 1 내지 1000sccm로 하는 것을 특징으로 하는 캐패시터 제조방법.The purge gas is at least one selected from nitrogen, argon and helium, the inlet is a capacitor manufacturing method, characterized in that 1 to 1000sccm. 제 1 항에 있어서,The method of claim 1, 상기 하부전극 및 하부전극은 폴리실리콘막, 루테늄(Ru), 백금(Pt), 이리듐(Ir), 오스뮴(Os), 텅스텐(W), 몰리브데늄(Mo), 코발트(Co), 니켈(Ni), 금(Au) 은(Ag), 티타늄 질화막(TiN), 탄탈륨 질화막(TaN) 및 텅스텐 질화막(WN)으로 구성된 일군에서 선택된 적어도 하나로 형성하는 것을 특징으로 하는 캐패시터 제조방법.The lower electrode and the lower electrode include a polysilicon film, ruthenium (Ru), platinum (Pt), iridium (Ir), osmium (Os), tungsten (W), molybdenum (Mo), cobalt (Co), nickel ( Ni), gold (Au) silver (Ag), titanium nitride film (TiN), tantalum nitride film (TaN) and a tungsten nitride film (WN) formed at least one selected from the group consisting of a capacitor manufacturing method. 제 11 항에 있어서,The method of claim 11, 상기 하부전극 및 상부전극을 티타늄 질화막(TiN), 탄탈륨 질화막(TaN) 및 텅스텐 질화막(WN)으로 형성할 경우에는 상기 하부전극, 탄탈륨 질화막(Ta3N5)으로 구성된 유전체막, 하부전극의 형성을 인시츄(in-situ)로 진행하는 것을 특징으로 하는 캐패시터 제조방법.When the lower electrode and the upper electrode are formed of a titanium nitride film (TiN), a tantalum nitride film (TaN), and a tungsten nitride film (WN), a dielectric film and a lower electrode formed of the lower electrode and the tantalum nitride film (Ta 3 N 5 ) are formed. Capacitor manufacturing method characterized in that to proceed in-situ. 하부전극을 형성하는 단계;Forming a lower electrode; 상기 하부전극 상에 원자층 적층법으로 탄탈륨 질화막(Ta3N5)으로 이루어진 유전체막을 형성하는 단계; 및Forming a dielectric film made of a tantalum nitride film (Ta 3 N 5 ) on the lower electrode by an atomic layer deposition method; And 상기 유전체막 상에 상부전극을 형성하는 단계를 포함하는 반도체소자의 캐패시터 제조방법에 있어서,In the method of manufacturing a capacitor of a semiconductor device comprising the step of forming an upper electrode on the dielectric film, 상기 원자층 적층법으로 탄탈륨 질화막(Ta3N5)으로 이루어진 유전체막을 형성하는 단계는,Forming a dielectric film made of tantalum nitride film (Ta 3 N 5 ) by the atomic layer deposition method, 상기 기판을 반응챔버 내로 인입하는 단계;Introducing the substrate into the reaction chamber; 상기 반응챔버 내로 탄탈륨 전구체를 유입시켜 상기 기판에 흡착시키는 단계;Introducing a tantalum precursor into the reaction chamber and adsorbing the tantalum precursor onto the substrate; 상기 탄탈륨 전구체의 유입을 차단하고 퍼지가스를 증착실 내로 유입하여 반응 챔버 내에 잔류하는 상기 탄탈륨 전구체를 제거하는 단계;Blocking the introduction of the tantalum precursor and introducing a purge gas into the deposition chamber to remove the tantalum precursor remaining in the reaction chamber; 상기 퍼지가스의 유입을 차단하고 질소 소스를 증착실 내로 유입하여 상기 기판 상에 흡착시킴으로써 기판 상에 흡착된 상기 탄탈륨 전구체와의 반응에 의해 원자층 단위의 탄탈륨 질화막을 형성하는 단계; 및Blocking the introduction of the purge gas and introducing a nitrogen source into the deposition chamber and adsorbing onto the substrate to form a tantalum nitride film in atomic layer units by reaction with the tantalum precursor adsorbed on the substrate; And 상기 질소소스의 유입을 차단하고 퍼지가스를 증착실 내로 유입하여 증착실 내에 잔류하는 상기 질소 가스를 제거하는 단계를 포함하는 것을 특징으로 하는 캐패시터 제조방법.Blocking the introduction of the nitrogen source and introducing a purge gas into the deposition chamber to remove the nitrogen gas remaining in the deposition chamber. 제 13 항에 있어서,The method of claim 13, 상기 원자층 적층법으로 탄탈륨 질화막으로 이루어진 유전체막을 형성한 후에는 플라즈마 상태로 활성화된 수소 및 질소를 포함하는 가스를 사용하여 후처리를 하는 단계를 더 포함하는 것을 특징으로 하는 캐패시터 제조방법.And forming a dielectric film made of a tantalum nitride film by the atomic layer deposition method, and then performing post-treatment using a gas containing hydrogen and nitrogen activated in a plasma state. 제 13 항에 있어서,The method of claim 13, 상기 반응챔버 내로 탄탈륨 전구체를 유입시키는 단계는,Injecting a tantalum precursor into the reaction chamber, 버블러(bubbler)를 이용하는 방법 또는 LDS를 이용하는 방법을 사용하는 것을 특징으로 하는 캐패시터 제조방법.A method for producing a capacitor, characterized by using a method using a bubbler (bubbler) or a method using an LDS. 제 13 항에 있어서,The method of claim 13, 상기 탄탈륨 전구체는 탄탈륨 핼라이드 유도체 또는 탄탈륨 아민 유도체를 사용하는 것을 특징으로 하는 캐패시터 제조방법.The tantalum precursor is a capacitor manufacturing method using a tantalum halide derivative or tantalum amine derivative. 제 16 항에 있어서,The method of claim 16, 상기 탄탈륨 핼라이드 유도체는 TaF5, TaI5, TaCl5및 TaBr5중에서 선택된 적어도 하나를 사용하는 것을 특징으로 하는 캐패시터 제조방법.The tantalum halide derivative is a capacitor manufacturing method characterized in that using at least one selected from TaF 5 , TaI 5 , TaCl 5 and TaBr 5 . 제 16 항에 있어서,The method of claim 16, 상기 탄탈륨 아민 유도체는 Ta(NEt2)5, Ta(NMe2)5및 TBTDET 중에서 선택된 적어도 하나를 사용하는 것을 특징으로 하는 캐패시터 제조방법.The tantalum amine derivative is a capacitor manufacturing method characterized in that at least one selected from Ta (NEt 2 ) 5 , Ta (NMe 2 ) 5 and TBTDET. 제 13 항에 있어서,The method of claim 13, 상기 질소 소스는 N2, NH3및 N2H2으로 구성된 일군에서 선택된 적어도 하나를 사용하는 것을 특징으로 하는 캐패시터 제조방법.The nitrogen source is a capacitor manufacturing method characterized in that using at least one selected from the group consisting of N 2 , NH 3 and N 2 H 2 . 제 13 항에 있어서,The method of claim 13, 상기 질소 소스는 플라즈마 상태로 활성화 된 것을 특징으로 하는 캐패시터 제조방법.The nitrogen source is a capacitor manufacturing method, characterized in that activated in the plasma state. 제 13 항에 있어서,The method of claim 13, 상기 반응챔버의 온도는 100℃ 내지 650℃의 범위에서 유지하며, 압력은 0.1torr 내지 30torr로 유지하는 것을 특징으로 하는 캐패시터 제조방법.The temperature of the reaction chamber is maintained in the range of 100 ℃ to 650 ℃, the pressure of the capacitor manufacturing method characterized in that it is maintained at 0.1torr to 30torr. 제 13 항에 있어서,The method of claim 13, 상기 퍼지가스는 질소, 아르곤 및 헬륨 중에서 선택된 적어도 하나를 사용하며, 유입량은 1 내지 1000sccm로 하는 것을 특징으로 하는 캐패시터 제조방법.The purge gas is at least one selected from nitrogen, argon and helium, the inlet is a capacitor manufacturing method, characterized in that 1 to 1000sccm. 제 13 항에 있어서,The method of claim 13, 상기 하부전극 및 하부전극은 폴리실리콘막, 루테늄(Ru), 백금(Pt), 이리듐(Ir), 오스뮴(Os), 텅스텐(W), 몰리브데늄(Mo), 코발트(Co), 니켈(Ni), 금(Au) 은(Ag), 티타늄 질화막(TiN), 탄탈륨 질화막(TaN) 및 텅스텐 질화막(WN)으로 구성된 일군에서 선택된 적어도 하나로 형성하는 것을 특징으로 하는 캐패시터 제조방법.The lower electrode and the lower electrode include a polysilicon film, ruthenium (Ru), platinum (Pt), iridium (Ir), osmium (Os), tungsten (W), molybdenum (Mo), cobalt (Co), nickel ( Ni), gold (Au) silver (Ag), titanium nitride film (TiN), tantalum nitride film (TaN) and a tungsten nitride film (WN) formed at least one selected from the group consisting of a capacitor manufacturing method. 제 23 항에 있어서,The method of claim 23, 상기 하부전극 및 상부전극을 티타늄 질화막(TiN), 탄탈륨 질화막(TaN) 및 텅스텐 질화막(WN)으로 형성할 경우에는 상기 하부전극, 탄탈륨 질화막(Ta3N5)으로 구성된 유전체막, 하부전극의 형성을 인시츄(in-situ)로 진행하는 것을 특징으로하는 캐패시터 제조방법.When the lower electrode and the upper electrode are formed of a titanium nitride film (TiN), a tantalum nitride film (TaN), and a tungsten nitride film (WN), a dielectric film and a lower electrode formed of the lower electrode and the tantalum nitride film (Ta 3 N 5 ) are formed. Capacitor manufacturing method characterized in that to proceed in-situ.
KR1020020003873A 2002-01-23 2002-01-23 Method of forming semiconductor capacitor with tantalum-nitride dielectric layer KR20030063643A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020020003873A KR20030063643A (en) 2002-01-23 2002-01-23 Method of forming semiconductor capacitor with tantalum-nitride dielectric layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020020003873A KR20030063643A (en) 2002-01-23 2002-01-23 Method of forming semiconductor capacitor with tantalum-nitride dielectric layer

Publications (1)

Publication Number Publication Date
KR20030063643A true KR20030063643A (en) 2003-07-31

Family

ID=32219005

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020003873A KR20030063643A (en) 2002-01-23 2002-01-23 Method of forming semiconductor capacitor with tantalum-nitride dielectric layer

Country Status (1)

Country Link
KR (1) KR20030063643A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100859263B1 (en) * 2007-02-22 2008-09-18 주식회사 하이닉스반도체 Capacitor of semiconductor device and fabrication method thereof
KR100910220B1 (en) * 2002-07-12 2009-07-31 주식회사 하이닉스반도체 Method for fabricating thin dielectric of semiconductor device
KR101108442B1 (en) * 2003-08-18 2012-01-31 주성엔지니어링(주) Method of manufacturing a capacitor having high perceptivity, and the capacitor thereof
KR101278915B1 (en) * 2010-08-19 2013-06-26 가부시키가이샤 히다치 고쿠사이 덴키 Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100910220B1 (en) * 2002-07-12 2009-07-31 주식회사 하이닉스반도체 Method for fabricating thin dielectric of semiconductor device
KR101108442B1 (en) * 2003-08-18 2012-01-31 주성엔지니어링(주) Method of manufacturing a capacitor having high perceptivity, and the capacitor thereof
KR100859263B1 (en) * 2007-02-22 2008-09-18 주식회사 하이닉스반도체 Capacitor of semiconductor device and fabrication method thereof
KR101278915B1 (en) * 2010-08-19 2013-06-26 가부시키가이샤 히다치 고쿠사이 덴키 Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
US9218993B2 (en) 2010-08-19 2015-12-22 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device and method of processing substrate

Similar Documents

Publication Publication Date Title
US11549177B2 (en) Process for passivating dielectric films
KR100985352B1 (en) Process for producing metal thin films by ald
US7416936B2 (en) Capacitor with hafnium oxide and aluminum oxide alloyed dielectric layer and method for fabricating the same
US6524867B2 (en) Method for forming platinum-rhodium stack as an oxygen barrier
US6744138B2 (en) RuSixOy-containing barrier layers for high-k dielectrics
US8737036B2 (en) Titanium based high-K dielectric films
KR100546324B1 (en) Methods of forming metal oxide thin film and lanthanum oxide layer by ALD and method of forming high dielectric constant layer for semiconductor device
US7825043B2 (en) Method for fabricating capacitor in semiconductor device
US7906393B2 (en) Methods for forming small-scale capacitor structures
US10062699B1 (en) Capacitor for semiconductor memory element and method for manufacturing the same
US8012823B2 (en) Methods of fabricating stack type capacitors of semiconductor devices
KR100716642B1 (en) Capacitor in dielectric and method for fabricating of the same
KR100443350B1 (en) Method for atomic layer depostion strontium ruthenate
KR20030063643A (en) Method of forming semiconductor capacitor with tantalum-nitride dielectric layer
KR100772531B1 (en) Method for fabricating capacitor
KR100414870B1 (en) Method for fabricating capacitor using atomic layer deposition
KR20070106286A (en) Method of forming titanium oxide with rutile structure and method of manufacturing capacitor using the same
KR100511914B1 (en) Method for fabricating of semiconductor device using PECYCLE-CVD
KR20050067454A (en) Fabricating method for dielectric layer with in-situ ozone treatment and atomic layer deposition
KR20040059775A (en) Method for fabricating capacitor having ruthenium bottom-electrode
KR100604665B1 (en) Capacitor with dielectric layer including hafnium and method for making the same
KR20050067577A (en) Fabrication method of alloyed dielectric layer
KR100498588B1 (en) Oxygen barrier and semiconductor devcie having the same
KR100390811B1 (en) Method for atomic layer deposition of ruthenium layer and method for fabricating capacitor
KR20030092579A (en) Method of fabrication capacitor using ruthenium

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination