KR20030055796A - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
KR20030055796A
KR20030055796A KR1020010085877A KR20010085877A KR20030055796A KR 20030055796 A KR20030055796 A KR 20030055796A KR 1020010085877 A KR1020010085877 A KR 1020010085877A KR 20010085877 A KR20010085877 A KR 20010085877A KR 20030055796 A KR20030055796 A KR 20030055796A
Authority
KR
South Korea
Prior art keywords
film
etching
gate
oxide film
buffer oxide
Prior art date
Application number
KR1020010085877A
Other languages
Korean (ko)
Inventor
전범진
김재헌
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020010085877A priority Critical patent/KR20030055796A/en
Publication of KR20030055796A publication Critical patent/KR20030055796A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE: A method for manufacturing a semiconductor device is provided to be capable of preventing the generation of defects due to remaining of a buffer oxide layer in SAC(Self Aligned Contact) processing. CONSTITUTION: A stacked gate(15) including a gate oxide layer(12), a gate conductive layer(13) and a hard mask(14), is formed on a semiconductor substrate(11). A buffer oxide layer and a nitride layer are sequentially formed on the stacked gate(15) and the substrate(11). An interlayer dielectric is formed on the resultant structure. By selectively etching the interlayer dielectric, the nitride layer and the buffer oxide layer, a buffer oxide pattern(16) and a nitride spacer(17) are formed. At this time, the etching selectivity of the nitride layer and the oxide layer is 1: 1.

Description

반도체 소자의 제조방법{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE}Manufacturing method of semiconductor device {METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE}

본 발명은 반도체 소자의 제조방법에 관한 것으로, 보다 상세하게는, 자기정렬콘택(Self Aligned Contact) 공정시에 버퍼 산화막의 잔류에 기인하는 공정 결함의 발생을 방지하기 위한 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for preventing the occurrence of process defects due to the residual of a buffer oxide film during a self aligned contact process.

반도체 소자의 고집적화가 진행되면서, 상,하부 패턴간의 전기적 연결에 어려움을 갖게 되었고, 이에 따라, 현재의 반도체 제조 공정은 자기정렬콘택(Self Aligned Contact : 이하, SAC) 공정을 수행하여 상,하부 패턴간의 안정적인 전기적콘택을 이루고 있음은 주지의 사실이다.As the integration of semiconductor devices has progressed, there has been difficulty in the electrical connection between the upper and lower patterns. Accordingly, the current semiconductor manufacturing process is performed by performing a self aligned contact (SAC) process. It is well known that a stable electrical contact to the liver is achieved.

상기 SAC 공정은 기판과 비트라인 및 기판과 캐패시터간의 전기적 콘택을 각각 수행하는 종래의 공정과는 달리, 소정 개의 게이트들 및 이들 사이의 기판 영역을 동시에 노출시키는 콘택홀을 형성한 상태에서, 게이트 전극들 사이에 플러그용 폴리를 매립시켜, 기판과 비트라인 및 기판과 캐패시터간의 전기적 콘택을 동시에 이루는 방식으로 진행된다.Unlike the conventional process of performing electrical contact between the substrate and the bit line and the substrate and the capacitor, the SAC process has a gate electrode in a state in which contact holes for simultaneously exposing predetermined gates and a substrate region therebetween are formed. The plug pulleys are embedded between them, so that the electrical contact between the substrate and the bit line and the substrate and the capacitor is simultaneously performed.

한편, 게이트 스페이서 물질로서는 질화막이 이용되고 있는데, 게이트 형성후에 이러한 질화막을 바로 증착하게 되면, 실리콘과 질화막간의 열팽창 계수의 차이에 의해 기판이 스트레스를 받게 된다.On the other hand, a nitride film is used as the gate spacer material. If the nitride film is directly deposited after the gate is formed, the substrate is stressed due to the difference in thermal expansion coefficient between silicon and the nitride film.

따라서, SAC 공정을 적용한 종래의 반도체 제조 공정에서는 트랜지스터의 특성 향상 및 리플레쉬 특성 확보를 위해 게이트와 질화막 스페이서 사이에 스트레스 버퍼막으로서 산화막을 얇게 증착하고 있다.Therefore, in the conventional semiconductor manufacturing process to which the SAC process is applied, an oxide film is thinly deposited as a stress buffer film between the gate and the nitride film spacer to improve transistor characteristics and secure refresh characteristics.

그러나, 상기 버퍼 산화막이 적용된 기판 결과물에 대해 SAC 공정의 하나인 LPC(Landing Plug Contact) 식각 공정을 수행하게 되면, 질화막과 산화막간의 식각 선택비로 인해, 도 1에 도시된 바와 같이, 게이트(5) 상에 증착되어 있던 버퍼 산화막이 뾰족한 형태로 잔류되는 현상이 초래되며, 이에 따라, 후속하는 폴리실리콘의 CMP(Chemical Mechanical Polishing)시에 어려움을 주게 됨은 물론, 더 나아가, 비트라인 콘택(bit line contact) 및 스토리지 노드 콘택(storage node contact)의 쇼트 불량을 초래하게 된다.However, when the LPC (Landing Plug Contact) etching process, which is one of the SAC processes, is performed on the substrate product to which the buffer oxide film is applied, the gate 5 may be formed due to the etching selectivity between the nitride film and the oxide film. The phenomenon that the buffer oxide film deposited on the surface remains in a sharp shape, which causes difficulty in subsequent chemical mechanical polishing (CMP) of polysilicon, and furthermore, bit line contact ) And a shortage of storage node contacts.

도 1에서, 미설명된 도면부호 1은 반도체 기판, 2는 게이트 산화막, 3은 게이트 도전막, 4는 하드 마스크막, 6은 버퍼 산화막, 6a는 하드 마스크막 상에 잔류된 버퍼 산화막, 그리고, 7은 질화막 스페이서를 각각 나타낸다.In FIG. 1, reference numeral 1 denotes a semiconductor substrate, 2 a gate oxide film, 3 a gate conductive film, 4 a hard mask film, 6 a buffer oxide film, 6a a buffer oxide film remaining on the hard mask film, and 7 represents a nitride film spacer, respectively.

따라서, 본 발명은 상기와 같은 문제점을 해결하기 위하여 안출된 것으로서, LPC 식각 공정시에 게이트 하드 마스크막의 에지 상에 뾰족한 형태로 버퍼 산화막이 잔류되는 것에 의해 후속에서 공정 결함이 발생되는 것을 방지할 수 있는 반도체 소자의 제조방법을 제공함에 그 목적이 있다.Accordingly, the present invention has been made to solve the above problems, it is possible to prevent the process defects to be generated in the subsequent by remaining the pointed buffer oxide film on the edge of the gate hard mask film during the LPC etching process. It is an object of the present invention to provide a method for manufacturing a semiconductor device.

도 1은 종래 기술에서의 문제점을 설명하기 위한 도면.1 is a view for explaining a problem in the prior art.

도 2는 본 발명의 실시예에 따라 형성된 반도체 소자를 도시한 도면.2 illustrates a semiconductor device formed in accordance with an embodiment of the present invention.

* 도면의 주요 부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

11 : 반도체 기판 12 : 게이트 산화막11 semiconductor substrate 12 gate oxide film

13 : 게이트 도전막 14 : 하드 마스크막13 gate conductive film 14 hard mask film

15 : 게이트 16 : 버퍼 산화막15 gate 16 buffer oxide film

17 : 질화막 스페이서17: nitride film spacer

상기와 같은 목적을 달성하기 위한 본 발명의 반도체 소자의 제조방법은, 소자분리막이 구비된 반도체 기판 상에 게이트 산화막과 게이트 도전막 및 하드 마스크막의 적층 구조로 이루어진 게이트를 형성하는 단계; 상기 게이트 및 기판 상에 버퍼 산화막 및 스페이서용 질화막을 차례로 증착하는 단계; 상기 질화막 상에 층간절연막을 증착하는 단계; 및 소정 개의 게이트 및 게이트들 사이의 기판 영역이 노출되도록 상기 층간절연막과 질화막 및 버퍼 산화막을 식각하는 단계를 포함하는 반도체 소자의 제조방법에 있어서, 상기 식각은 질화막 대 산화막의 식각 선택비를 1:1로 하는 식각 조건으로 수행하는 것을 특징으로 한다.According to an aspect of the present invention, there is provided a method of fabricating a semiconductor device, the method including: forming a gate having a stacked structure of a gate oxide film, a gate conductive film, and a hard mask film on a semiconductor substrate provided with a device isolation film; Sequentially depositing a buffer oxide film and a spacer nitride film on the gate and the substrate; Depositing an interlayer insulating film on the nitride film; And etching the interlayer insulating film, the nitride film, and the buffer oxide film so that the substrate regions between the predetermined gates and the gates are exposed, wherein the etching is performed by selecting an etching selectivity ratio of nitride to oxide of the film. It is characterized by performing under an etching condition of 1.

여기서, 상기 식각은 CF4 : CHF3의 가스 조성비를 1:1∼1:2를 유지시킨 CHF3/CF4 베이스 가스를 사용하거나 CF4 베이스 가스를 사용하고, 전체 가스 플로우 속도에서 CF4 : CHF3가 5:1 이하를 유지하며, 파워 범위를 700W 이하, 공정압력 범위를 50mT 이하로 하는 공정 조건으로 수행한다.Here, the etching may be performed using a CHF3 / CF4 base gas having a gas composition ratio of CF4: CHF3 of 1: 1 to 1: 2, or using a CF4 base gas, and CF4: CHF3 of 5: 1 or less at a total gas flow rate. It is carried out under process conditions such that the power range is 700W or less and the process pressure range is 50mT or less.

(실시예)(Example)

이하, 첨부된 도면에 의거하여 본 발명의 바람직한 실시예를 보다 상세하게 설명하도록 한다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 2는 본 발명의 실시예에 따라 제조된 반도체 소자를 도시한 도면으로서, 이를 참조하여 그 제조방법을 설명하면 다음과 같다.2 is a view showing a semiconductor device manufactured according to an embodiment of the present invention, the manufacturing method will be described with reference to this.

먼저, 공지의 공정에 따라 트렌치형의 소자분리막(도시안됨)이 형성된 반도체 기판(11) 상에 게이트 산화막(12), 게이트 도전막(13) 및 하드 마스크막(14)을 차례로 형성하고, 상기 막들을 패터닝하여 게이트(15)를 형성한다.First, a gate oxide film 12, a gate conductive film 13, and a hard mask film 14 are sequentially formed on a semiconductor substrate 11 on which a trench type isolation layer (not shown) is formed according to a known process. The films are patterned to form the gate 15.

그런다음, 상기 게이트(15) 및 기판(11) 상에 100Å 이상, 바람직하게, 100∼300Å 두께로 버퍼 산화막(16)을 형성하고, 이어, 상기 버퍼 산화막(16) 상에 스페이서용 질화막(17)을 증착한 상태에서, 상기 질화막(17) 상에 BPSG, HDP 또는 SROX로 이루어지는 층간절연막(도시안됨)을 증착한다.Then, a buffer oxide film 16 is formed on the gate 15 and the substrate 11 to a thickness of 100 GPa or more, preferably 100 to 300 GPa, and then a nitride nitride film 17 for spacers is formed on the buffer oxide film 16. ), An interlayer insulating film (not shown) made of BPSG, HDP, or SROX is deposited on the nitride film 17.

다음으로, 상기 층간절연막에 대한 LPC 식각 공정을 수행하여 수 개의 게이트들(15)과 이들 사이의 기판 영역을 모두 노출시키는 콘택홀(도시안됨)을 형성한다. 이때, 상기 LPC 식각은 종래의 그것과는 달리, 질화막 대 산화막의 식각 선택비를 1:1로 하는 식각 가스를 사용하여 수행한다.Next, an LPC etching process is performed on the interlayer insulating layer to form contact holes (not shown) that expose all of the gates 15 and the substrate region therebetween. In this case, unlike the conventional one, the LPC etching is performed using an etching gas having an etching selectivity ratio of nitride to oxide of 1: 1.

즉, 본 발명은 상기 LPC 식각을 식각 가스로서 종래의 CHF3/O2 베이스 가스 대신에, CHF3/CF4 베이스 가스 또는 CF4 베이스 가스를 사용하며, 이때의 가스 조성비를 CF4:CHF3를 1:1∼1:2를 유지하고, 전체 가스 플로우 속도에서 CF4:CHF3가 5:1 이하를 유지하도록 하며, 그리고, 파워 범위를 700W 이하, 공정압력 범위를50mT 이하로 조절하여 진행한다.That is, the present invention uses the CHF3 / CF4 base gas or CF4 base gas instead of the conventional CHF3 / O2 base gas as the etching gas, the gas composition ratio of CF4: CHF3 1: 1 to 1 :: 2, CF4: CHF3 is maintained at 5: 1 or less at the entire gas flow rate, and the power range is controlled to 700W or less and the process pressure range is set to 50mT or less.

이후, 도시하지는 않았으나, 폴리실리콘 증착 및 이에 대한 CMP를 포함하는 일련의 후속 공정을 수행함으로써, 본 발명의 반도체 소자를 완성한다.Then, although not shown, the semiconductor device of the present invention is completed by performing a series of subsequent processes including polysilicon deposition and CMP thereto.

상기와 같은 공정에 따라 제조되는 본 발명의 반도체 소자는 LPC 식각을 질화막과 산화막간의 식각 선택비가 1:1 정도로 설정하여 수행하기 때문에, 게이트 하드 마스크막의 에지(edge)에 뾰족하게 버퍼 산화막이 잔류되는 현상을 방지할 수 있게 된다.In the semiconductor device of the present invention manufactured according to the above process, the LPC etching is performed by setting the etching selectivity between the nitride film and the oxide film at about 1: 1, so that the buffer oxide film remains sharply at the edge of the gate hard mask film. The phenomenon can be prevented.

따라서, 뾰족한 형태의 버퍼 산화막 잔류에 기인해서, 후속하는 폴리실리콘 CMP시의 공정 어려움은 초래되지 않으며, 결국, 비트라인 콘택 및 스토리지 노드 콘택의 신뢰성을 확보할 수 있게 된다.Therefore, due to the pointed shape of the buffer oxide film residues, subsequent process difficulties in polysilicon CMP are not caused, and as a result, it is possible to secure the reliability of the bit line contact and the storage node contact.

이상에서와 같이, 본 발명은 LPC 식각 공정을 질화막과 산화막의 식각 선택비를 1:1로 하는 공정 조건으로 수행함으로써, 원치 않는 버퍼 산화막의 잔류에 기인하는 공정 상의 결함 발생을 방지할 수 있으며, 더 나아가, 비트라인 콘택 및 스토리지 노드 콘택의 불량 유발을 방지할 수 있다As described above, according to the present invention, the LPC etching process may be performed under process conditions in which the etching selectivity of the nitride film and the oxide film is 1: 1, thereby preventing the occurrence of process defects caused by the residual buffer oxide film. Furthermore, failure of bit line contacts and storage node contacts can be prevented.

따라서, 본 발명은 SAC 공정의 하나인 LPC 식각 공정에서의 결함 발생을 방지할 수 있는 바, 공정의 안정화는 물론 제조수율을 향상시킬 수 있고, 또한, 트랜지스터 특서 및 캐패시터의 리플래쉬 특성을 향상시킬 수 있다.Accordingly, the present invention can prevent the occurrence of defects in the LPC etching process, which is one of the SAC processes, to stabilize the process and to improve the manufacturing yield, and to improve the refresh characteristics of the transistor specification and the capacitor. Can be.

한편, 여기에서는 본 발명의 특정 실시예에 대하여 설명하고 도시하였지만, 당업자에 의하여 이에 대한 수정과 변형을 할 수 있다. 따라서, 이하, 특허청구의범위는 본 발명의 진정한 사상과 범위에 속하는 한 모든 수정과 변형을 포함하는 것으로 이해할 수 있다.Meanwhile, although specific embodiments of the present invention have been described and illustrated, modifications and variations can be made by those skilled in the art. Accordingly, the following claims are to be understood as including all modifications and variations as long as they fall within the true spirit and scope of the present invention.

Claims (4)

소자분리막이 구비된 반도체 기판 상에 게이트 산화막, 게이트 도전막 및 하드 마스크막의 적층 구조로 이루어진 게이트를 형성하는 단계; 상기 게이트 및 기판 상에 버퍼 산화막 및 스페이서용 질화막을 차례로 증착하는 단계; 상기 질화막 상에 층간절연막을 증착하는 단계; 및 소정 개의 게이트 및 게이트들 사이의 기판 영역이 노출되도록 상기 층간절연막과 질화막 및 버퍼 산화막을 식각하는 단계를 포함하는 반도체 소자의 제조방법에 있어서,Forming a gate having a stacked structure of a gate oxide film, a gate conductive film, and a hard mask film on a semiconductor substrate provided with an isolation layer; Sequentially depositing a buffer oxide film and a spacer nitride film on the gate and the substrate; Depositing an interlayer insulating film on the nitride film; And etching the interlayer insulating film, the nitride film, and the buffer oxide film so that a predetermined gate and a substrate region between the gates are exposed. 상기 식각은 질화막 대 산화막의 식각 선택비를 1:1로 하는 식각 조건으로 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.The etching is a method of manufacturing a semiconductor device, characterized in that the etching is performed by the etching conditions of the etching selectivity ratio of the nitride film to the oxide film 1: 1. 제 1 항에 있어서, 상기 버퍼 산화막은 100∼300Å 두께로 증착하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the buffer oxide film is deposited to a thickness of 100 to 300 GHz. 제 1 항에 있어서, 상기 식각은The method of claim 1, wherein the etching is CF4 : CHF3의 가스 조성비를 1:1∼1:2를 유지시킨 CHF3/CF4 베이스 가스를 사용하고, 전체 가스 플로우 속도에서 CF4 : CHF3가 5:1 이하를 유지하며, 파워 범위를 700W 이하, 공정압력 범위를 50mT 이하로 하는 공정 조건으로 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.Using a CHF3 / CF4 base gas with a gas composition ratio of CF4: CHF3 of 1: 1 to 1: 2, CF4: CHF3 of 5: 1 or less at a total gas flow rate, power range of 700W or less, process A process for producing a semiconductor device, characterized in that the pressure range is carried out under process conditions of 50 mT or less. 제 3 항에 있어서, 상기 식각은 CF4 베이스 가스를 사용하여 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 3, wherein the etching is performed using a CF 4 base gas.
KR1020010085877A 2001-12-27 2001-12-27 Method of manufacturing semiconductor device KR20030055796A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020010085877A KR20030055796A (en) 2001-12-27 2001-12-27 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020010085877A KR20030055796A (en) 2001-12-27 2001-12-27 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
KR20030055796A true KR20030055796A (en) 2003-07-04

Family

ID=32214043

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010085877A KR20030055796A (en) 2001-12-27 2001-12-27 Method of manufacturing semiconductor device

Country Status (1)

Country Link
KR (1) KR20030055796A (en)

Similar Documents

Publication Publication Date Title
KR100459724B1 (en) Semiconductor device having a SiN etch stopper by low temperature ALD and fabricating method the same
KR100375218B1 (en) Methods of fabricating a semiconductor device using an anti-reflective layer and a self-aligned contact technique and semiconductor devices fabricated thereby
KR100427153B1 (en) Method of producing a semiconductor device
KR20030055796A (en) Method of manufacturing semiconductor device
KR100668843B1 (en) Method for forming storage node contact of semiconductor device
KR20010058351A (en) Method of manufacturing semiconductor device
KR0140733B1 (en) Method of forming dontact in semiconductor device
KR20080010996A (en) Method for forming landing plug of semiconductor device
KR100195837B1 (en) Micro contact forming method of semiconductor device
KR100506050B1 (en) Contact formation method of semiconductor device
KR100459928B1 (en) Method of manufacture semiconductor device
KR20020058589A (en) Method for forming contact of semiconductor device
KR20040008620A (en) Method for fabricating semiconductor device using hardmask
KR20030049575A (en) Method for forming borderless contact hole in a semiconductor device
KR20030058636A (en) A method for forming of a semiconductor device
KR19980026089A (en) Method for forming self-aligned contact hole in semiconductor device
KR20030003306A (en) Method for fabricating a landing plug of semiconductor device
KR20020025351A (en) method for manufacturing of semiconductor device
KR20040083810A (en) Method for forming bitline contact of semiconductor device
KR20050002949A (en) Method of manufacturing semiconductor device
KR20050037763A (en) Method of fabricating a bit line contact
KR20030001117A (en) A method for protection of inter-electrode short
KR20010084065A (en) Method for fabricating contact of semiconductor device
KR960026329A (en) Planarization method of semiconductor device
KR20050056353A (en) Method for forming landing plug poly of semiconductor device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination