KR20020091891A - A forming method of contact - Google Patents

A forming method of contact Download PDF

Info

Publication number
KR20020091891A
KR20020091891A KR1020010030689A KR20010030689A KR20020091891A KR 20020091891 A KR20020091891 A KR 20020091891A KR 1020010030689 A KR1020010030689 A KR 1020010030689A KR 20010030689 A KR20010030689 A KR 20010030689A KR 20020091891 A KR20020091891 A KR 20020091891A
Authority
KR
South Korea
Prior art keywords
contact
forming
insulating film
etch stop
stop layer
Prior art date
Application number
KR1020010030689A
Other languages
Korean (ko)
Other versions
KR100744104B1 (en
Inventor
황창연
김상익
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020010030689A priority Critical patent/KR100744104B1/en
Publication of KR20020091891A publication Critical patent/KR20020091891A/en
Application granted granted Critical
Publication of KR100744104B1 publication Critical patent/KR100744104B1/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

PURPOSE: A method for forming a contact is provided to prevent losses of a hard mask and an interlayer dielectric by forming the contact after forming an etch stopper of an over-hang structure. CONSTITUTION: An insulating layer(35) for a spacer and an interlayer dielectric(36) are sequentially formed on a substrate(30) having gate patterns on which a gate oxide(31), a gate polysilicon layer(32), a gate silicide layer(33) and a nitride hard mask(34) are stacked sequentially. An etch stopper(37) is formed on the resultant structure so as to have an over-hang structure for covering the upper of the gate patterns and the sidewalls between adjacent gate patterns. Contact holes(38) are formed to expose the substrate(30) by selectively etching the etch stopper(37) of the over-hang structure and the insulating layer(35) for the spacer.

Description

콘택 형성 방법{A forming method of contact}A forming method of contact

본 발명은 반도체 장치의 제조 방법에 관한 것으로 특히, 콘택 형성 방법에 관한 것으로 더욱 상세하게는, 랜딩 플러그 콘택(Landing plug contact) 형성 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of forming a contact, and more particularly, to a method of forming a landing plug contact.

통상적인 플러그는 콘택 형성 부위에만 수직 방향으로 형성된다. 한편, 집적도를 향상시키기 위한 소자의 적층 구조를 형성하기 위하여 플러그 상에 형성될 다른 도전 패턴과의 콘택을 위한 또 다른 플러그가 형성되는 바, 이러한 다층의 플러그가 중첩되게 됨에 따라 상부로 갈수록 콘택의 사이즈가 감소하게 되어 집적도를 감소시키며 오정렬에 따른 쇼트가 발생할 가능성이 높아져 공정마진이 감소하는 결과를 초래하므로 콘택 형성 부위 및 그 주변 영역까지 확장시켜 콘택 마진을 높일 수 있는 랜딩 플러그를 주로 이용하게 되었다.Conventional plugs are formed in the vertical direction only at the contact forming site. Meanwhile, another plug for contact with another conductive pattern to be formed on the plug is formed in order to form a stacked structure of the device for improving the degree of integration. As the size decreases, the density decreases, and the possibility of short circuit due to misalignment increases, resulting in a decrease in process margin. Therefore, a landing plug that can be extended to the contact forming area and the surrounding area to increase the contact margin is mainly used. .

그러나, 반도체 소자의 고집적화에 따라 이러한 랜딩 플러그 콘택 사이즈도 점점 작아지게 되어 오정렬(Misalign)과 콘택 오픈 결함(Contact open fail) 등의 문제가 발생하며, 콘택 형성에 따른 워드라인 상부의 하드마스크가 식각되어 쇼트 발생 확률이 증가되는 바, 이러한 문제점 역시 소자의 집적도 및 수율 향상을 위해 해결해야 할 과제로 남아 있다.However, due to the high integration of semiconductor devices, such landing plug contact sizes become smaller and smaller, resulting in problems such as misalignment and contact open fail, and hard masks on the word lines due to contact formation are etched. As the probability of occurrence of short increases, this problem also remains a problem to be solved to improve the integration and yield of the device.

도 1a 내지 도 1c는 종래기술에 콘택 형성 공정을 도시한 단면도이며, 도 2는 도 1b에서 층간절연막이 선택적으로 식각된 구조를 도시한 평면도이다.1A to 1C are cross-sectional views illustrating a process for forming a contact in the prior art, and FIG. 2 is a plan view illustrating a structure in which an interlayer insulating film is selectively etched in FIG. 1B.

먼저, 도 1a에 도시된 바와 같이, 반도체 소자를 형성하기 위한 여러 요소가 형성된 기판(1) 상에 게이트용 폴리실리콘층(3)과 텅스텐 실리사이드 등의 게이트용 실리사이드층(4)이 적층된 다수의 워드라인을 형성한다.First, as illustrated in FIG. 1A, a plurality of gate polysilicon layers 3 and a gate silicide layer 4 such as tungsten silicide are stacked on a substrate 1 on which various elements for forming a semiconductor device are formed. Form a word line.

구체적으로, 기판(1)과 게이트용 폴리실리콘층(3) 사이에 게이트 산화막(2)을 형성하며, 게이트용 실리사이드층(4) 상에 후속의 자기 정렬 식각 등에 의한 게이트의 손실을 방지하기 위한 하드마스크 질화막(5)을 형성한다.Specifically, the gate oxide film 2 is formed between the substrate 1 and the gate polysilicon layer 3, and the gate silicide layer 4 is formed on the gate silicide layer 4 to prevent the loss of the gate due to subsequent self-aligned etching or the like. The hard mask nitride film 5 is formed.

이어서, 워드라인을 포함하는 기판 전면에 워드라인 스페이서용 질화막(6)과 층간절연막(7)을 차례로 형성한 후, 화학 기계적 연마(Chemical Mechanical Polishing; 이하 CMP라 함) 공정으로 층간절연막(7)을 평탄화시킨 다음, 후속 공정에 의해 형성될 전하저장 전극(Storage node)과 비트라인(Bitline)과 연결되는 콘택 부분을 정의하기 위해 층간절연막(7) 상에 감광막 패턴(9)을 형성한다.Subsequently, the nitride film 6 for word line spacers and the interlayer insulating film 7 are sequentially formed on the entire surface of the substrate including the word lines, and then the interlayer insulating film 7 is subjected to a chemical mechanical polishing (CMP) process. After the planarization, the photoresist pattern 9 is formed on the interlayer insulating layer 7 to define a contact portion connected to the storage node and the bitline to be formed by a subsequent process.

여기서, a-a' 및 b-b' 방향은 도 2의 평면도 상에서 구조물을 그 방향으로 절단한 방향을 도시한 것이다.Here, a-a 'and b-b' direction shows the direction in which the structure is cut in that direction on the plan view of FIG.

다음으로, 도 1b에 도시된 바와 같이, 감광막 패턴(10)을 식각 마스크로 한 식각 공정으로 층간절연막(7)의 노출된 부분을 식각하여, 전하저장 전극과 비트라인과 연결하는 랜딩 플러그 콘택(8)을 동시에 자기정렬 콘택(Self-Aligned Contact; 이하 SAC라 함) 방법으로 형성한다.Next, as shown in FIG. 1B, a landing plug contact that connects the charge storage electrode and the bit line by etching the exposed portion of the interlayer insulating layer 7 by an etching process using the photoresist pattern 10 as an etching mask ( 8) is formed at the same time by a self-aligned contact (hereinafter referred to as SAC) method.

한편, SAC 공정시 하드마스크 질화막(5)의 어택(Attack)을 최소화하기 위해선택비가 높은 식각 물질을 사용하지만, 'E'와 같은 하드마스크 질화막(5)의 어택을 완벽하게 방지못하게 되어 결국, 워드라인과 후속 공정에 다른 플러그간의 쇼트가 발생하게 된다.In the SAC process, an etching material having a high selectivity is used to minimize the attack of the hard mask nitride film 5, but the attack of the hard mask nitride film 5 such as 'E' is not completely prevented. Short circuits between the other plugs occur in the word line and subsequent processing.

또한, SAC 공정시 하드마스크 질화막(5)의 어택(Attack)을 최소화하기 위해 선택비가 높은 식각 물질을 사용함에 따라, 하드마스크 질화막(5)과 스페이서용 질화막(6)은 식각시 다량의 폴리머를 유발하게 되어 발생되는 폴리머에 의해 콘택 형성 부위에 경사(A)를 유발하게 되므로써, 'B'와 같이 오픈되는 영역이 좁아져 전체적인 소자의 저항을 증가시키는 요인으로 작용하거나, 심할 경우 'C'와 같이 콘택 오픈 결함이 발생하게 되는 바, 이것은 집적화가 가속화됨에 따라 더욱 큰 문제로 부각될 가능성이 있으며, 이러한 폴리머는 후속의 세정공정을 통해 용이하게 제거되지 않는다.In addition, in order to minimize the attack of the hard mask nitride film 5 during the SAC process, an etching material having a high selectivity is used, so that the hard mask nitride film 5 and the nitride nitride film 6 for the spacer are large amounts of polymer during etching. By inducing a slope (A) to the contact forming site by the polymer generated by the trigger, the open area such as 'B' is narrowed to act as a factor to increase the overall resistance of the device, or in severe cases 'C' and As contact open defects occur, this is likely to become a bigger problem as the integration speeds up, and such polymers are not easily removed through subsequent cleaning processes.

다음으로, 도 1c에 도시된 바와 같이, 세정 공정을 실시하여 콘택 형성에 따른 폴리머 등의 레지듀(A)를 제거한다.Next, as shown in FIG. 1C, a cleaning process is performed to remove the residues A such as polymers due to contact formation.

여기서, 이러한 폴리머(A)를 제거하여 콘택 부분의 면적을 높이기 위해 세정 공정을 증가시킬 경우 'D'와 같이 층간절연막(7)의 손실이 심하게 되어 결국, 층간절연막의 고유의 특성인 소자간 아이솔레이션(Isolation)을 떨어뜨려 그에 따른 소자간 쇼트의 가능성이 증대된다.In this case, when the cleaning process is increased to increase the area of the contact portion by removing the polymer (A), loss of the interlayer insulating film 7 becomes severe as in 'D', resulting in inter-element isolation, which is an inherent characteristic of the interlayer insulating film. Isolation is reduced, thereby increasing the possibility of short circuit between devices.

다음으로, 도면에 도시되지는 않았지만 결과물 전면에 플러그 콘택용 폴리실리콘을 증착한 후, CMP 공정으로 하드마스크 질화막(5)이 충분히 노출되는 시점까지 플러그 콘택용 폴리실리콘층과 층간절연막(7) 및 스페이서용 질화막(6)을 연마하여 폴리 콘택 형성 공정을 완료한다.Next, although not shown in the drawings, the polysilicon for plug contact is deposited on the entire surface of the resultant, and then the polysilicon layer and the interlayer insulating film 7 and the plug contact are contacted until the hard mask nitride film 5 is sufficiently exposed by the CMP process. The nitride film 6 for the spacer is polished to complete the poly contact forming process.

상기한 바와 같이 종래의 랜딩 플러그 형성 방법은 고집적화에 따른 콘택 사이즈의 감소와 다층 배선 구조에 부응하기에는 미약한 치명적인 문제점이 있다.As described above, the conventional landing plug forming method has a fatal problem that is weak to meet the multilayer wiring structure and the contact size due to the high integration.

상기와 같은 종래기술의 문제점을 해결하기 위하여 제안된 본 발명은, 콘택 영역을 정의하기 위한 층간절연막 식각 후 노출된 도전패턴 일단의 상부와 측벽을 감싸는 오버-행 구조의 식각방지막을 형성한 후 콘택 형성을 함으로써, 콘택 형성에 따른 하드마스크의 손실을 방지할 수 있으며, 후속의 세정 공정에 따른 층간절연막의 손실을 방지할 수 있는 콘택 형성 방법을 제공하는데 그 목적이 있다.The present invention proposed to solve the problems of the prior art as described above, after forming an etch stop layer of the over-hang structure surrounding the top and sidewalls of one end of the exposed conductive pattern after etching the interlayer insulating layer to define the contact region The purpose of the present invention is to provide a method for forming a contact that can prevent the loss of a hard mask due to the formation of a contact, and can prevent the loss of an interlayer insulating film caused by a subsequent cleaning process.

또한, 상기와 같은 종래기술의 문제점을 해결하기 위하여 제안된 본 발명은, 스페이서용 절연막의 증착 두께를 얇게 함으로써, 콘택 오픈 결함을 방지할 수 있는 콘택 형성 방법을 제공하는데 다른 목적이 있다.Another object of the present invention is to provide a contact forming method capable of preventing contact open defects by reducing the deposition thickness of an insulating film for a spacer.

도 1a 내지 도 1c는 종래기술에 따른 콘택 형성 공정을 도시한 단면도,1A to 1C are cross-sectional views illustrating a process for forming a contact according to the prior art;

도 2는 층간절연막이 선택적으로 식각된 구조를 도시한 평면도,2 is a plan view showing a structure in which an interlayer insulating film is selectively etched;

도 3a 내지 도 3d는 본 발명에 콘택 형성 공정을 도시한 단면도,3A to 3D are cross-sectional views illustrating a process for forming a contact in the present invention;

도 4는 이러한 오버-행 구조의 식각방지막에 의해 하드마스크가 보호되고 있음을 도시한 SEM 사진.FIG. 4 is a SEM photograph showing that the hard mask is protected by the etch stop layer of the over-hang structure. FIG.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

30 : 기판30: substrate

31 : 게이트 산화막31: gate oxide film

32 : 게이트용 폴리실리콘층32: polysilicon layer for gate

33 : 게이트용 실리사이드층33: silicide layer for gate

34 : 하드마스크 질화막34: hard mask nitride film

35 : 스페이서용 절연막35: insulating film for spacer

36 : 층간절연막36: interlayer insulating film

37 : 식각방지막37: etching prevention film

38 : 콘택홀38: contact hole

상기와 같은 문제점을 해결하기 위해 본 발명은, 이웃하는 다수의 도전패턴이 형성된 기판 상의 표면을 따라 스페이서용 절연막 및 층간절연막을 차례로 형성하는 제1단계; 상기 층간절연막을 선택적으로 식각하여 상기 이웃하는 도전패턴 사이의 상기 스페이서용 절연막을 노출시키면서 상기 이웃하는 도전패턴 각 일단의 상부를 노출시키는 제2단계; 상기 제2단계가 완료된 결과물 상에 식각방지막을 형성하되, 상기 도전패턴 각 일단의 상부와 상기 이웃하는 도전패턴 사이의 측벽을 덮는 오버-행 구조가 되도록 하는 제3단계; 및 상기 도전패턴 사이의 상기 식각방지막 및 상기 스페이서용 절연막을 선택적으로 식각하여 상기 기판을 노출시키는 제4단계를 포함하여 이루어지는 콘택 형성 방법을 제공한다.In order to solve the above problems, the present invention includes a first step of sequentially forming an insulating film for a spacer and an interlayer insulating film along a surface on a substrate on which a plurality of neighboring conductive patterns are formed; Selectively etching the interlayer insulating film to expose the spacer insulating film between the adjacent conductive patterns while exposing an upper portion of each end of the neighboring conductive pattern; A third step of forming an etch stop layer on the finished product of the second step, and having an over-hang structure covering a sidewall between an upper portion of each end of the conductive pattern and the neighboring conductive pattern; And a fourth step of selectively etching the etch stop layer and the spacer insulating layer between the conductive patterns to expose the substrate.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명을 보다 용이하게 실시할 수 있도록 하기 위하여 본 발명의 바람직한 실시예를 첨부한 도 3a 내지 도 3d를 참조하여 상세하게 설명한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings, in order to enable those skilled in the art to more easily implement the present invention.

도 3a 내지 도 3d는 본 발명의 일실시예에 따른 콘택 형성 공정을 도시한 단면도이다.3A to 3D are cross-sectional views illustrating a contact forming process according to an embodiment of the present invention.

먼저, 도 3a에 도시된 바와 같이, 반도체 소자를 형성하기 위한 여러 요소가 형성된 기판(30) 상에 게이트용 폴리실리콘층(32)과 텅스텐 실리사이드 등의 게이트용 실리사이드층(33)이 적층된 다수의 도전패턴 예컨대, 워드라인(이하 워드라인이라 함)을 형성한다.First, as illustrated in FIG. 3A, a plurality of gate polysilicon layers 32 and a gate silicide layer 33 such as tungsten silicide are stacked on a substrate 30 on which various elements for forming a semiconductor device are formed. A conductive pattern, for example, a word line (hereinafter referred to as a word line) is formed.

즉, 기판(30)과 게이트용 폴리실리콘층(32) 사이에 게이트 산화막(31)을 형성하며, 게이트용 실리사이드층(33) 상에 후속의 자기 정렬 식각 등에 의한 게이트의 손실을 방지하기 위한 질화막 등의 하드마스크(34)을 형성한다.That is, a gate oxide film 31 is formed between the substrate 30 and the gate polysilicon layer 32, and the nitride film for preventing the loss of the gate due to subsequent self-aligned etching or the like on the gate silicide layer 33. A hard mask 34 of the back is formed.

이어서, 워드라인을 포함하는 기판 전면에 질화막 등의 워드라인 스페이서용 절연막(35)과 층간절연막(36)을 차례로 형성한 후, CMP 공정으로 층간절연막(36)을 평탄화시킨 다음, 후속 공정에 의해 형성될 전하저장 전극과 비트라인과 연결되는콘택 부분을 정의하기 위해 층간절연막(36) 상에 감광막 패턴(39)을 형성한다.Subsequently, an insulating film 35 for word line spacers such as a nitride film and the interlayer insulating film 36 are sequentially formed on the entire surface of the substrate including the word line, and then the interlayer insulating film 36 is planarized by a CMP process, and then, by a subsequent process. A photoresist pattern 39 is formed on the interlayer insulating layer 36 to define a portion of the charge storage electrode to be formed and a contact portion connected to the bit line.

구체적으로, 상기 워드라인 스페이서용 절연막(35)은 통상적인 스페이서용 질화막 보다 얇은 50Å ∼ 200Å의 두께로 하며, 층간절연막(36)은, BPSG(BoroPhosphorSilicate Glass), HDP(High Density Plasma) 산화막 또는 PSG(Phospho-Silicate Glass) 등의 산화막 계열 물질막을 이용한다.Specifically, the word line spacer insulating film 35 has a thickness of 50 kPa to 200 kPa thinner than that of a conventional spacer nitride film. The interlayer insulating film 36 may include a BoroPhosphor Silicate Glass (HDPS), a High Density Plasma (HDP) oxide film, or a PSG. An oxide-based material film such as (Phospho-Silicate Glass) is used.

여기서, a-a' 및 b-b' 방향은 도 2의 평면도 상에서 구조물을 그 방향으로 절단한 방향을 도시한 것이다.Here, a-a 'and b-b' direction shows the direction in which the structure is cut in that direction on the plan view of FIG.

다음으로, 도 3b에 도시된 바와 같이, 감광막 패턴(39)을 식각 마스크로 한 식각 공정으로 층간절연막(36)을 선택적으로 식각하여, 전하저장 전극과 비트라인과 연결하는 콘택 형성 예정 영역을 정의하는 바, 이때 이웃하는 워드라인 사이의 워드라인 스페이서용 절연막(35)을 노출시키면서 이웃하는 워드라인 각 일단의 상부를 노출시킨다.Next, as shown in FIG. 3B, the interlayer insulating layer 36 is selectively etched by an etching process using the photoresist pattern 39 as an etching mask to define a region to be formed for contact formation between the charge storage electrode and the bit line. In this case, the upper portion of each end of the neighboring word line is exposed while exposing the insulating film 35 for the word line spacer between the neighboring word lines.

이때, 15 mTorr 내지 50 mTorr의 압력 및 1000W 내지 2000W의 파워를 유지하며 C4F8, CH2F2, Ar, O2, Co 등의 가스 또는 이들의 혼합가스를 이용한다.At this time, while maintaining a pressure of 15 mTorr to 50 mTorr and a power of 1000W to 2000W, gas such as C 4 F 8 , CH 2 F 2 , Ar, O 2 , Co, or a mixed gas thereof is used.

이때, b-b' 방향의 단면에서는 층간절연막(46) 사이의 기판(40)이 노출된다.At this time, the substrate 40 between the interlayer insulating films 46 is exposed in the cross section in the b-b 'direction.

이어서, 식각시 발생하는 부산물인 폴리머를 제거하기 위해 세정공정을 실시하는 바, 황산(H2SO4)과 과산화수소수(H2O2)가 300:1로 혼합된 완충산화막 식각제(Buffered Oxide Etchant; BOE)를 이용하여 70초 ∼ 200초 동안 실시한다.Subsequently, a washing process is performed to remove the polymer, a by-product generated during etching, and a buffered oxide etchant including sulfuric acid (H 2 SO 4 ) and hydrogen peroxide (H 2 O 2 ) mixed at 300: 1. Etchant; BOE) for 70 seconds to 200 seconds.

상기와 같이 스페이서용 절연막(35)을 종래에 비해 얇게 증착함으로써, 식각시 공정 마진이 증가되며, 이에따라 생성되는 폴리머의 양도 줄어들게 되어 콘택 영역에서의 면적이 넓어짐과 동시에 후속 공정에 다른 콘택 오픈 결함의 확률을 최소화할 수 있다.As described above, by depositing the insulating layer 35 for the spacer thinner than in the related art, the process margin is increased during etching, and thus the amount of polymer produced is reduced, thereby increasing the area in the contact region and at the same time, in the case of other contact open defects. Probability can be minimized.

다음으로, 도 3c에 도시된 바와 같이, 결과물 상에 식각방지막(37)을 형성하는 바, 워드라인 각 일단의 상부와 이웃하는 워드라인 사이의 측벽을 덮는 오버-행(Over-hang) 구조가 되도록 형성한다.Next, as illustrated in FIG. 3C, an etch stop layer 37 is formed on the resultant, and an over-hang structure covering a sidewall between an upper portion of each end of the word line and a neighboring word line is formed. To form.

이때, 식각방지막(37)은 후속 식각 공정에 따른 하드마스크(34)의 손실을 방지하기 위한 것으로, 불순물 도핑이 없어 플로우가 적으므로 비교적 단차피복성(Step coverage)이 떨어지는 USG(Undoped Silicate Glass) 등을 이용하여 공정 조건을 적절히 함으로써, 노출된 표면의 상부에만 오버-행 구조가 되도록 하며, 이때 오버-행 구조 중 워드라인 각 일단의 상부의 두께가 800Å ∼ 1500Å이 되도록 하는 바, 플라즈마 화학기상 증착법(Plasma Enhanced Chemical Vapor Deposition; 이하 PECVD라 함)을 이용한다.In this case, the etch stop layer 37 is for preventing the loss of the hard mask 34 due to the subsequent etching process, and there is no flow because there is no impurity doping, so that the step coverage is relatively low. By appropriate process conditions, such as to make the over-hang structure only on the top of the exposed surface, the thickness of the upper end of each end of the word line of the over-hang structure to 800 ~ 1500Å, bar plasma chemical vapor Plasma Enhanced Chemical Vapor Deposition (hereinafter referred to as PECVD) is used.

이때, 200 SCCM ∼ 300 SCCM의 SiH4와 6000 SCCM ∼ 8000 SCCM의 N2및 2000 SCCM ∼ 4000 SCCM의 H2를 포함하는 소스 가스를 이용하며, 300℃ ∼ 500℃의 온도와 1500 mTorr ∼ 2500 mTorr의 압력 하에서 800W ∼ 1500W 내로 파워를 조절하면서 증착한다.At this time, a source gas containing SiH 4 of 200 SCCM to 300 SCCM and N 2 of 6000 SCCM to 8000 SCCM and H 2 of 2000 SCCM to 4000 SCCM was used, and the temperature of 300 ° C. to 500 ° C. and 1500 mTorr to 2500 mTorr were used. It is deposited under the pressure of 800W to 1500W while adjusting the power.

이어서, 다시 세정을 통하여 콘택 내부에 증착된 USG 성분을 제거하는 바, 상기한 세정 조건과 동일하게 실시한다.Subsequently, the USG component deposited in the contact is removed again by washing, and the same treatment is performed as described above.

다음으로, 도 3d에 도시된 바와 같이, 식각방지막(37)과 스페이서용 절연막(35)를 선택적으로 식각하여 워드라인 사이의 기판(30)을 노출시키는 콘택홀(38)을 형성한 후 세정 상기한 바와 같은 동일한 조건 하에 세정 공정을 실시하여 부산물인 폴리머를 제거하는 바, b-b'에서는 이러한 세정시 식각바이막(37)이 층간절연막(36)의 측벽을 보호하는 오버-행 구조로 잔류하게 되어 층간절연막(36)의 손실을 방지하게 되며, a-a'에서는 세정 공정을 통해 폴리머를 충분히 제거하며, 스페이서용 절연막(35)에 의해 미리 콘택 영역이 넓게 확보됨에 따라 콘택 오픈 결함 등의 문제점을 방지할 수 있게 되며, 콘택 영역 감소에 따른 저항 증가를 최소화할 수 있게 된다.Next, as shown in FIG. 3D, the etch stop layer 37 and the spacer insulating layer 35 are selectively etched to form a contact hole 38 exposing the substrate 30 between the word lines, followed by cleaning. Under the same conditions, the cleaning process is performed to remove the byproduct polymer. In b-b ', the etch-by film 37 remains as an over-hang structure that protects the sidewall of the interlayer insulating film 36. As a result, the loss of the interlayer insulating film 36 is prevented, and in the a-a ', the polymer is sufficiently removed through a cleaning process, and as the contact region is secured in advance by the insulating film 35 for the spacer, contact open defects and the like are prevented. The problem can be prevented, and the increase in resistance due to the decrease in the contact area can be minimized.

여기서, 콘택홀(38) 형성을 위한 식각시, 20 mTorr ∼ 50 mTorr의 압력 및 300W ∼ 800W의 파워 하에서 실시하며, CF4, CHF3, Ar 등의 가스 또는 이들의 혼합가스를 이용한다.Here, during etching for forming the contact hole 38, the pressure is performed under a pressure of 20 mTorr to 50 mTorr and a power of 300 W to 800 W, and a gas such as CF 4 , CHF 3 , Ar, or a mixed gas thereof is used.

한편, 첨부한 도 4는 이러한 오버-행 구조의 식각방지막(37)에 의해 하드마스크(34)가 보호되고 있음을 도시한 SEM(Scanning Electron Microscopy) 사진이다.4 is a SEM (Scanning Electron Microscopy) photograph showing that the hard mask 34 is protected by the etch stop layer 37 having an over-hang structure.

다음으로, 도면에 도시되지는 않았지만 결과물 전면에 플러그 콘택용 폴리실리콘을 증착한 후, CMP 공정으로 하드마스크(34)가 충분히 노출되는 시점까지 플러그 콘택용 폴리실리콘층과 층간절연막(36) 및 스페이서용 절연막(35)을 연마하거나, 선택적 에피택셜 성장(Selective Epitaxial Growth; SEG)법을 이용하여 콘택홀(38) 내부를 부분 매립함으로써 후속의 CMP 공정을 생략할 수도 있다.Next, although not shown in the drawings, the polysilicon for plug contact is deposited on the entire surface of the resultant, and then the polysilicon layer for the plug contact, the interlayer insulating layer 36 and the spacer until the hard mask 34 is sufficiently exposed by the CMP process. The subsequent CMP process may be omitted by polishing the insulating film 35 or by partially filling the inside of the contact hole 38 using the selective epitaxial growth (SEG) method.

상기한 바와 같이 이루어지는 본 발명은, 랜딩 플러그 콘택 형성 전에 스페이서용 절연막을 얇게 증착하여 콘택 형성시 콘택 영역의 면적을 넓게 확보하며, 콘택 형성을 위한 층간절연막 식각 후 오버-행 구조의 식각방지막을 형성함으로써, 후속 식각 공정에 따른 하드마스크의 손실을 방지하며, 세정 공정에 따른 층간절연막의 손실을 방지함과 동시에 콘택 오픈 결함을 방지할 수 있음을 실시예를 통해 알아 보았다.According to the present invention as described above, the insulating layer for the spacer is thinly deposited before the landing plug contact is formed to secure a wide area of the contact area when forming the contact, and the etch stop layer of the over-hang structure is formed after the interlayer insulating layer is etched for forming the contact. As a result, the present invention has been found to prevent the loss of the hard mask according to the subsequent etching process, prevent the loss of the interlayer insulating layer due to the cleaning process, and prevent the contact open defect.

이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.The present invention described above is not limited to the above-described embodiments and the accompanying drawings, and various substitutions, modifications, and changes are possible in the art without departing from the technical spirit of the present invention. It will be apparent to those of ordinary knowledge.

전술한 본 발명은, 콘택 형성시 넓은 콘택 영역을 확보함으로써, 콘택 저항저감 및 콘택 오픈 결함을 방지할 수 있으며, 세정 공정에 따른 층간절연막의 손실을 방지하여 소자간 쇼트의 가능성을 최소화하며, 콘택 형성을 위한 식각시 하드마스크의 손실에 의한 소자간 쇼트를 방지할 수 있도록 함으로써, 궁극적으로 소자의 특성 및 수율을 향상시킬 수 있는 탁월한 효과를 기대할 수 있다.The present invention as described above, by securing a wide contact area when forming a contact, it is possible to prevent contact resistance reduction and contact open defects, to prevent the loss of the interlayer insulating film due to the cleaning process to minimize the possibility of short circuit between devices, contact By preventing the short circuit between devices due to the loss of the hard mask during the etching for formation, it can be expected that the excellent effect that can ultimately improve the characteristics and yield of the device.

Claims (15)

반도체 소자 제조 방법에 있어서,In the semiconductor device manufacturing method, 이웃하는 다수의 도전패턴이 형성된 기판 상의 표면을 따라 스페이서용 절연막 및 층간절연막을 차례로 형성하는 제1단계;A first step of sequentially forming an insulating film for a spacer and an interlayer insulating film along a surface on a substrate on which a plurality of neighboring conductive patterns are formed; 상기 층간절연막을 선택적으로 식각하여 상기 이웃하는 도전패턴 사이의 상기 스페이서용 절연막을 노출시키면서 상기 이웃하는 도전패턴 각 일단의 상부를 노출시키는 제2단계;Selectively etching the interlayer insulating film to expose the spacer insulating film between the adjacent conductive patterns while exposing an upper portion of each end of the neighboring conductive pattern; 상기 제2단계가 완료된 결과물 상에 식각방지막을 형성하되, 상기 도전패턴 각 일단의 상부와 상기 이웃하는 도전패턴 사이의 측벽을 덮는 오버-행 구조가 되도록 하는 제3단계; 및A third step of forming an etch stop layer on the finished product of the second step, and having an over-hang structure covering a sidewall between an upper portion of each end of the conductive pattern and the neighboring conductive pattern; And 상기 도전패턴 사이의 상기 식각방지막 및 상기 스페이서용 절연막을 선택적으로 식각하여 상기 기판을 노출시키는 제4단계A fourth step of selectively etching the etch stop layer and the spacer insulating layer between the conductive patterns to expose the substrate 를 포함하여 이루어지는 콘택 형성 방법.Contact forming method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 식각방지막은, USG(Undoped Silicate Glass)인 것을 특징으로 하는 콘택 형성 방법.The etch stop layer is USG (Undoped Silicate Glass) characterized in that the contact forming method. 제 1 항에 있어서,The method of claim 1, 상기 식각방지막은, 800Å 내지 1500Å의 두께인 것을 특징으로 하는 콘택 형성 방법.The etch stop layer is a contact forming method, characterized in that the thickness of 800 ~ 1500Å. 제 1 항에 있어서,The method of claim 1, 상기 식각방지막은, 플라즈마 화학기상 증착법에 의해 형성되는 것을 특징으로 하는 콘택 형성 방법.The etch stop layer is formed by a plasma chemical vapor deposition method. 제 4 항에 있어서,The method of claim 4, wherein 상기 식각방지막은, 200 SCCM 내지 300 SCCM의 SiH4와 6000 SCCM 내지 8000 SCCM의 N2및 2000 SCCM 내지 4000 SCCM의 H2를 포함하는 가스 분위기에서 형성되는 것을 특징으로 하는 콘택 형성 방법.The etch stop layer is formed in a gas atmosphere comprising a SiH 4 of 200 SCCM to 300 SCCM and N 2 of 6000 SCCM to 8000 SCCM and H 2 of 2000 SCCM to 4000 SCCM. 제 4 항에 있어서,The method of claim 4, wherein 상기 식각방지막은, 300℃ 내지 500℃의 온도와 1500 mTorr 내지 2500 mTorr의 압력 및 800W 내지 1500W의 파워 하에서 형성되는 것을 특징으로 하는 콘택 형성 방법.The etch stop layer is formed under a temperature of 300 ℃ to 500 ℃, a pressure of 1500 mTorr to 2500 mTorr and a power of 800W to 1500W. 제 1 항에 있어서,The method of claim 1, 상기 스페이서용 절연막은, 질화막인 것을 특징으로 하는 콘택 형성 방법.The insulating film for spacers is a nitride film. 제 1 항에 있어서,The method of claim 1, 상기 스페이서용 절연막은, 50Å 내지 200Å의 두께인 것을 특징으로 하는 콘택 형성 방법.The spacer insulating film has a thickness of 50 kPa to 200 kPa. 제 1 항에 있어서,The method of claim 1, 상기 제2단계의 식각은, C4F8, CH2F2, O2, CO 또는 Ar 중 적어도 어느 하나의 가스를 이용하는 것을 특징으로 하는 콘택 형성 방법.The etching of the second step, the method of forming a contact, characterized in that using at least one gas of C 4 F 8 , CH 2 F 2 , O 2 , CO or Ar. 제 9 항에 있어서,The method of claim 9, 상기 제2단계의 식각은, 15 mTorr 내지 50 mTorr 의 압력을 유지하며, 1000W내지 2000W의 파워를 이용하는 것을 특징으로 하는 콘택 형성 방법.The etching of the second step, maintaining a pressure of 15 mTorr to 50 mTorr, using a power of 1000W to 2000W. 제 1 항에 있어서,The method of claim 1, 상기 제2단계와 상기 제3단계 및 상기 제4단계 후, 세정하는 단계를 각각 더 포함하는 것을 특징으로 하는 콘택 형성 방법.And after the second step, the third step, and the fourth step, further cleaning. 제 11 항에 있어서,The method of claim 11, 상기 세정은, 황산과 과산화수소수가 300:1의 비율로 혼합된 완충 산화막 식각제를 이용하는 것을 특징으로 하는 콘택 형성 방법.The cleaning is performed by using a buffered oxide film etchant mixed with sulfuric acid and hydrogen peroxide in a ratio of 300: 1. 제 11 항에 있어서,The method of claim 11, 상기 세정은, 70초 내지 200초 동안 실시하는 것을 특징으로 하는 콘택 형성 방법.The cleaning is performed, the contact forming method, characterized in that performed for 70 seconds to 200 seconds. 제 1 항에 있어서,The method of claim 1, 상기 제 1 항에 있어서,The method of claim 1, 상기 제4단계의 식각은, CHF3, CF4또는 Ar 중 적어도 어느 하나의 가스를 이용하는 것을 특징으로 하는 콘택 형성 방법.The etching of the fourth step, the method of forming a contact, characterized in that using at least one gas of CHF 3 , CF 4 or Ar. 제 14 항에 있어서,The method of claim 14, 상기 제4단계의 식각은, 20 mTorr 내지 50 mTorr의 압력 하에서 300W 내지 800W의 파워를 이용하는 것을 특징으로 하는 콘택 형성 방법.The etching of the fourth step, the contact forming method characterized in that using a power of 300W to 800W under a pressure of 20 mTorr to 50 mTorr.
KR1020010030689A 2001-06-01 2001-06-01 A forming method of contact KR100744104B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020010030689A KR100744104B1 (en) 2001-06-01 2001-06-01 A forming method of contact

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020010030689A KR100744104B1 (en) 2001-06-01 2001-06-01 A forming method of contact

Publications (2)

Publication Number Publication Date
KR20020091891A true KR20020091891A (en) 2002-12-11
KR100744104B1 KR100744104B1 (en) 2007-08-01

Family

ID=27707469

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010030689A KR100744104B1 (en) 2001-06-01 2001-06-01 A forming method of contact

Country Status (1)

Country Link
KR (1) KR100744104B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100439771B1 (en) * 2001-12-15 2004-07-12 주식회사 하이닉스반도체 Method for preventing hardmask loss of semicondctor device
KR100471411B1 (en) * 2002-06-29 2005-03-10 주식회사 하이닉스반도체 Method for fabricating semiconductor device with improved reduction of seam
KR100924005B1 (en) * 2002-12-26 2009-10-28 주식회사 하이닉스반도체 Method for fabrication of semiconductor device with landing plug
KR100942980B1 (en) * 2002-12-26 2010-02-17 주식회사 하이닉스반도체 METHOD FOR FABRICATION OF SELF ALIGN CONTACT HOLE OF SEMICONDUCTOR DEVICE USING ArF PHOTO LITHOGRAPHY
US11664418B2 (en) 2021-02-05 2023-05-30 Samsung Electronics Co., Ltd. Semiconductor devices having gate isolation layers

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3176017B2 (en) * 1995-02-15 2001-06-11 株式会社東芝 Method for manufacturing semiconductor device
JPH10112461A (en) * 1996-10-03 1998-04-28 Ricoh Co Ltd Manufacture of semiconductor
JP3362662B2 (en) * 1998-03-11 2003-01-07 日本電気株式会社 Method for manufacturing semiconductor device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100439771B1 (en) * 2001-12-15 2004-07-12 주식회사 하이닉스반도체 Method for preventing hardmask loss of semicondctor device
KR100471411B1 (en) * 2002-06-29 2005-03-10 주식회사 하이닉스반도체 Method for fabricating semiconductor device with improved reduction of seam
KR100924005B1 (en) * 2002-12-26 2009-10-28 주식회사 하이닉스반도체 Method for fabrication of semiconductor device with landing plug
KR100942980B1 (en) * 2002-12-26 2010-02-17 주식회사 하이닉스반도체 METHOD FOR FABRICATION OF SELF ALIGN CONTACT HOLE OF SEMICONDUCTOR DEVICE USING ArF PHOTO LITHOGRAPHY
US11664418B2 (en) 2021-02-05 2023-05-30 Samsung Electronics Co., Ltd. Semiconductor devices having gate isolation layers

Also Published As

Publication number Publication date
KR100744104B1 (en) 2007-08-01

Similar Documents

Publication Publication Date Title
KR100587635B1 (en) Method for fabrication of semiconductor device
KR20050029432A (en) Method for fabrication of semiconductor device capable of protecting attack by wet cleaning
KR100492898B1 (en) Method for fabricating semiconductor device
KR100502673B1 (en) METHOD FOR FORMING Ti LAYER AND BARRIER METAL LAYER OF SEMICONDUCTOR DEVICE
KR100744104B1 (en) A forming method of contact
KR100616499B1 (en) Method for fabrication of semiconductor device
KR100745398B1 (en) Method for forming tungsten pattern and method for manufacturing semiconductor device using the same
KR20060000912A (en) Method for fabrication of semiconductor device
KR20050041263A (en) Method for fabrication of semiconductor device
KR101057759B1 (en) Semiconductor device manufacturing method
KR20020091887A (en) A forming method of contact
KR100744001B1 (en) A forming method of landing plug contact
KR100919675B1 (en) Method for fabrication of semiconductor device
KR20030096660A (en) Method for fabricating semiconductor device
KR100537187B1 (en) Method for fabrication of semiconductor device
KR100600052B1 (en) Method for fabrication of semiconductor device
KR100835506B1 (en) Manufacturing method of semiconductor device
KR20030041550A (en) Method for fabricating semiconductor device
KR20050058637A (en) Method for fabricating semiconductor device having salicide
KR20040038049A (en) Method of forming contact in semiconductor device
KR100744681B1 (en) A fabricating method of semiconductor device
KR20040057490A (en) Method for fabricating semiconductor device
KR20050002074A (en) Method for fabrication of semiconductor device
KR100427035B1 (en) Method for fabricating semiconductor device with improved protection capability of fail in self align contact
KR20050061736A (en) Method for fabrication of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20100624

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee