KR20010010171A - Method for forming electrical interconnection using dual damascene process - Google Patents

Method for forming electrical interconnection using dual damascene process Download PDF

Info

Publication number
KR20010010171A
KR20010010171A KR1019990028908A KR19990028908A KR20010010171A KR 20010010171 A KR20010010171 A KR 20010010171A KR 1019990028908 A KR1019990028908 A KR 1019990028908A KR 19990028908 A KR19990028908 A KR 19990028908A KR 20010010171 A KR20010010171 A KR 20010010171A
Authority
KR
South Korea
Prior art keywords
insulating film
contact hole
via contact
dual damascene
inorganic sog
Prior art date
Application number
KR1019990028908A
Other languages
Korean (ko)
Other versions
KR100532404B1 (en
Inventor
신홍재
박희숙
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR10-1999-0028908A priority Critical patent/KR100532404B1/en
Publication of KR20010010171A publication Critical patent/KR20010010171A/en
Application granted granted Critical
Publication of KR100532404B1 publication Critical patent/KR100532404B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

PURPOSE: A method for forming a dual damascene wiring is provided to make a dual damascene wiring having a fine line width, by forming a topology having a small step difference on a semiconductor substrate after filling inorganic SOG layer in a via contact hole. CONSTITUTION: A first insulation layer pattern for defining a via contact hole is formed on a semiconductor substrate. Inorganic SOG layer fills the via contact hole so as to expose an upper surface of the first insulation layer pattern. A second insulation layer pattern for defining a wiring area(42) is formed on the first insulation layer pattern. The inorganic SOG layer for filling the via contact hole is etched and removed. A conductive material fills the exposed via contact hole and the exposed wiring area, thereby making a dual damascene wiring.

Description

듀얼다마신 배선 형성방법{Method for forming electrical interconnection using dual damascene process}Method for forming electrical interconnection using dual damascene process

본 발명은 반도체 집적회로 소자의 제조에 있어서 듀얼다마신 배선 형성방법에 대한 것이다.The present invention relates to a method for forming dual damascene wiring in the manufacture of semiconductor integrated circuit devices.

최근 들어서 반도체 집적회로 소자의 금속배선을 형성함에 있어서 듀얼다마신 공정이 널리 이용되고 있다. 상기 듀얼다마신 공정은 일반적으로 비아 콘택홀 및 배선영역을 정의하는 듀얼다마신 배선패턴을 형성하는 단계와 물리적 증착공정을 실시하여 상기 듀얼다마신 배선패턴을 도전물질로 채워 듀얼다마신 배선을 완성하는 단계를 포함한다.Recently, the dual damascene process has been widely used in forming metal interconnections of semiconductor integrated circuit devices. In the dual damascene process, a dual damascene wiring pattern defining a via contact hole and a wiring region is generally formed and a physical deposition process is performed to fill the dual damascene wiring pattern with a conductive material to complete the dual damascene wiring. It includes a step.

그러나, 종래기술에 의하여 듀얼다마신 배선을 정의하는 패턴을 형성하는 경우에는 여러 가지 문제점이 발생될 수 있는 데, 이하에서는 첨부한 도면을 참조하여 종래기술의 문제점을 상세하게 설명한다.However, when forming a pattern defining the dual damascene wiring according to the prior art, various problems may occur. Hereinafter, the problems of the prior art will be described in detail with reference to the accompanying drawings.

도 1 및 도 2를 참고하면, 도 1에 도시된 것과 같은 듀얼다마신 배선을 형성하기 위하여 먼저, 반도체 기판(10)의 상부에 비아 콘택홀(12)을 정의하는 층간절연막 패턴(14)을 형성한다. 그 다음, 층간절연막 패턴(14)의 상부에 금속배선영역(18:도 3 참조)을 정의하는 감광막 패턴(16)을 형성한다.1 and 2, in order to form a dual damascene wire as shown in FIG. 1, first, an interlayer insulating film pattern 14 defining a via contact hole 12 is formed on an upper portion of a semiconductor substrate 10. Form. Next, a photosensitive film pattern 16 defining a metal wiring region 18 (see FIG. 3) is formed on the interlayer insulating film pattern 14.

도 3은 금속배선영역(18)을 형성하기 위하여 식각공정을 실시한 후의 식각프로파일을 도시한 단면도이다.3 is a cross-sectional view illustrating an etching profile after performing an etching process to form the metal wiring region 18.

도 3을 참조하면, 금속배선영역(18)을 형성하기 위해서 감광막 패턴(16)을 식각마스크로 하여 식각공정을 실시한다. 그런데, 식각공정을 실시하는 과정에서 비아 콘택홀(12)의 저부 및 측벽도 식각되어 점선으로 도시된 비아 콘택홀 프로파일이 손상된다. 점선으로 도시된 것과 같은 직각의 프로파일을 구비하는 비아 콘택홀(12)을 형성하기 위하여 이방성 식각특성이 우수한 건식 식각방법을 사용하여 금속배선영역(18)을 형성한다고 하더라도 비아 콘택홀(12)의 측벽 프로파일을 완전하게 수직으로 유지한다는 것 또한 어려울뿐만 아니라, 금속배선영역(18)을 형성하는 공정이 진행되는 동안 비아 콘택홀(12)에 의하여 노출된 반도체 기판상의 표면(예를 들어 소오스 또는 드레인 등의 활성영역)또는 다층배선구조를 구비하는 반도체 소자의 경우에는 노출된 하부배선층의 상부가 소정의 깊이(d1)로 식각되는 문제점을 발생시킨다. 따라서, 도 2 및 도 3을 참조하여 설명한 것처럼 하나의 층간절연막(14)내에 비아 콘택홀(12)을 먼저 패터닝하고, 이후에 금속배선영역(18)을 형성하는 경우에는 비아 콘택홀(12) 측벽의 프로파일이 바람직한 형태(점선)로 유지되지 못하는 것을 알 수 있다.Referring to FIG. 3, an etching process is performed using the photoresist pattern 16 as an etching mask to form the metal wiring region 18. However, during the etching process, the bottom and sidewalls of the via contact hole 12 are also etched to damage the via contact hole profile shown by the dotted line. Although the metallization region 18 is formed using a dry etching method having excellent anisotropic etching characteristics to form the via contact hole 12 having a right angle profile as shown by the dotted line, the via contact hole 12 is formed. It is also difficult to keep the sidewall profile completely vertical, as well as the surface (eg source or drain) on the semiconductor substrate exposed by the via contact hole 12 during the process of forming the metallization region 18. In the case of a semiconductor device having a multi-layer wiring structure or the like, an upper portion of the exposed lower wiring layer is etched to a predetermined depth d1. Therefore, when the via contact hole 12 is first patterned in one interlayer insulating film 14 and then the metal wiring region 18 is formed as described with reference to FIGS. 2 and 3, the via contact hole 12 is formed. It can be seen that the profile of the sidewalls does not remain in the desired shape (dotted line).

도 1 및 도 4를 참조하면, 도 1에 도시된 것과 같은 듀얼다마신 배선을 형성하기 위하여 먼저, 금속배선영역(18)을 형성하기 위한 층간절연막(14)을 형성하고 층간절연막(14)상부에 금속배선영역(18)을 정의하는 감광막 패턴(16)을 형성한다. 그 다음, 감광막 패턴(16)을 식각마스크로 하여 층간절연막(14)을 식각하여 금속배선영역(18)을 형성한다.1 and 4, in order to form a dual damascene wiring as shown in FIG. 1, first, an interlayer insulating film 14 for forming a metal wiring region 18 is formed and an upper portion of the interlayer insulating film 14 is formed. The photosensitive film pattern 16 which defines the metal wiring area | region 18 is formed in this. Next, the interlayer insulating film 14 is etched using the photoresist pattern 16 as an etch mask to form the metal wiring region 18.

도 5를 참조하면, 감광막 패턴(16:도 3 참조)을 제거한 다음, 금속배선영역(18)이 형성된 층간절연막(14)의 상부에 비아 콘택홀을 정의하는 감광막 패턴을 형성하기 위하여 감광막(20)을 도포한다. 그 다음으로는, 사진공정을 실시하여 비아 콘택홀을 정의할 수 있는 감광막 패턴(점선)을 형성해야 한다. 그런데, 바람직한 형태의 감광막 패턴(점선)을 형성하기 위해서는 도포된 감광막(20)의 상부 표면이 평탄해야 한다. 그러나, 도 5를 통해서도 알 수 있는 바와 같이 하나의 층간절연막(14)내에서 금속배선영역(18)을 비아 콘택홀 보다 먼저 형성하는 경우에는 감광막 패턴을 형성하기 위하여 코팅되는 감광막(20)의 평탄도가 떨어지게 되며, 이에 따라 점선과 같은 바람직한 감광막 패턴을 형성하는 것이 어려워지는 문제점이 있다.Referring to FIG. 5, after the photoresist pattern 16 (see FIG. 3) is removed, the photoresist 20 may be formed to form a photoresist pattern defining a via contact hole on the interlayer insulating layer 14 on which the metal wiring region 18 is formed. ) Is applied. Next, a photolithography process should be performed to form a photoresist pattern (dotted line) to define the via contact hole. By the way, in order to form the photosensitive film pattern (dotted line) of a preferable form, the upper surface of the applied photosensitive film 20 should be flat. However, as can be seen from FIG. 5, when the metal wiring region 18 is formed before the via contact hole in one interlayer insulating film 14, the flatness of the photosensitive film 20 coated to form the photosensitive film pattern is formed. The degree falls, and thus there is a problem in that it is difficult to form a preferred photoresist pattern such as a dotted line.

따라서, 본 발명이 이루고자 하는 기술적 과제는 종래 기술에 의한 듀얼다마신 배선 형성방법이 안고 있는 문제점을 해결할 수 있는 듀얼다마신 배선 형성방법을 제공하는 것이다.Therefore, the technical problem to be achieved by the present invention is to provide a dual damascene wiring forming method that can solve the problems of the conventional dual damascene wiring forming method.

도 1은 종래기술에 의하여 완성된 듀얼다마신 배선에 대한 레이 아웃이다.1 is a layout for a dual damascene wiring completed by the prior art.

도 2 및 도 3은 종래기술에 의한 듀얼다마신 배선을 정의하는 패턴 형성방법에 대한 실시예를 도시하되 도 1의 A-A'선에 따른 공정단면도들이다.2 and 3 are cross-sectional views of an exemplary embodiment of a pattern forming method for defining a dual damascene wiring according to the related art, but according to line AA ′ of FIG. 1.

도 4 및 도 5는 종래기술에 의한 듀얼다마신 배선을 정의하는 패턴 형성방법에 대한 다른 실시예를 도시하되 도 1의 A-A'선에 따른 공정단면도들이다.4 and 5 are cross-sectional views illustrating another exemplary embodiment of a pattern forming method for defining a dual damascene wiring according to the related art, in accordance with line AA ′ of FIG. 1.

도 6 내지 도 13은 본 발명에 의한 듀얼다마신 배선 형성방법의 실시예를 도시한 공정 단면도들이다.6 to 13 are process cross-sectional views showing an embodiment of a dual damascene wire forming method according to the present invention.

도 14 내지 도 16은 본 발명의 듀얼다마신 배선 형성방법에 대한 다른 실시예를 도시한 공정 단면도들이다.14 to 16 are cross-sectional views illustrating another embodiment of the method for forming a dual damascene wire according to the present invention.

상기 기술적 과제를 달성하기 위한 본 발명의 듀얼다마신 배선 형성방법은 먼저 반도체 기판의 상부에 비아 콘택홀을 정의하는 제 1 절연막 패턴을 형성한 후, 상기 제 1 절연막 패턴의 상부표면이 노출되도록 무기 SOG(Spin On Glass)막을 상기 비아 콘택홀에 채운다. 그 다음 상기 제 1 절연막 패턴의 상부에 배선영역을 정의하는 제 2 절연막 패턴을 형성한 후, 상기 무기 SOG 막을 제거한다. 마지막으로 상기 무기 SOG 막을 제거하여 노출된 상기 비아 콘택홀 및 배선영역을 도전물질로 채워 듀얼다마신 배선을 완성한다.In the dual damascene wiring forming method of the present invention, the first insulating film pattern defining the via contact hole is formed on the semiconductor substrate, and then the upper surface of the first insulating film pattern is exposed. A spin on glass (SOG) film is filled in the via contact hole. Next, after forming a second insulating film pattern defining a wiring region on the first insulating film pattern, the inorganic SOG film is removed. Finally, the inorganic SOG film is removed to fill the exposed via contact hole and wiring region with a conductive material to complete the dual damascene wiring.

또한 본 발명에 의한 듀얼다마신 배선 형성방법은 다음과 같이 진행할 수도 있다. 먼저 반도체 기판의 상부에 비아 콘택홀을 정의하는 제 1 절연막 패턴을 형성한 후, 상기 제 1 절연막 패턴의 상부표면이 노출되도록 무기 SOG 막을 상기 비아 콘택홀에 채운다. 이어서, 상기 제 1 절연막 패턴 및 상기 무기 SOG 막의 상부에 제 2 절연막을 형성한 다음, 상기 제 2 절연막 하부가 소정의 두께로 잔류하고 상기 무기 SOG 막은 제거되도록 상기 제 2 절연막을 식각한다. 마지막으로 잔류된 상기 제 1 절연막의 상부에 배선영역을 정의하는 제 3 절연막 패턴을 형성하면서 상기 비아 콘택홀의 상부에 존재하는 제 2 절연막을 제거한다. 마지막으로 상기 비아 콘택홀 및 배선영역에 도전물질을 채워 듀얼다마신 배선을 완성한다.In addition, the dual damascene wiring forming method according to the present invention may proceed as follows. First, a first insulating layer pattern defining a via contact hole is formed on the semiconductor substrate, and then an inorganic SOG film is filled in the via contact hole so that the upper surface of the first insulating layer pattern is exposed. Subsequently, a second insulating film is formed on the first insulating film pattern and the inorganic SOG film, and the second insulating film is etched so that the lower portion of the second insulating film remains to a predetermined thickness and the inorganic SOG film is removed. Finally, the second insulating layer existing on the via contact hole is removed while forming a third insulating layer pattern defining a wiring region on the remaining first insulating layer. Finally, the conductive material is filled in the via contact hole and the wiring region to complete the dual damascene wiring.

상기 비아 콘택홀을 채우는 무기 SOG 막은 SixOyHz의 화학식을 가지는데, 상기 무기 SOG 으로써 HSQ(Hydrogen Silsesquioxna)를 선택하여 상기 비아 콘택홀을 채우는 것이 보다 바람직하다.The inorganic SOG film filling the via contact hole has a chemical formula of Si x O y H z , and it is more preferable to fill the via contact hole by selecting HSQ (Hydrogen Silsesquioxna) as the inorganic SOG.

상기 무기 SOG막을 제거하는 공정은 습식식각방법을 이용하여 진행하되, BOE(Buffered Oxide Etchant) 또는 희석된 불산(HF)용액을 에천트로 하여 상기 습식식각공정을 진행하는 것이 바람직하다.The process of removing the inorganic SOG film may be performed using a wet etching method, but the wet etching process may be performed using a buffered oxide etchant (BOE) or diluted hydrofluoric acid (HF) solution as an etchant.

이하에서는 첨부한 도면을 참고하여 본 발명에 의한 듀얼다마신 배선 형성방법을 상세하게 설명한다. 그러나, 본 발명의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예에 한정되는 것으로 해석되어져서는 안 된다. 본 발명의 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되어지는 것이다. 도면상에서 층이나 영역들의 두께는 설명의 명확성을 위하여 과장되어진 것이다. 도면상에서 동일한 부호는 동일한 요소를 지칭한다. 또한 어떤 층이 다른 층 또는 기판의 상부에 있다라고 기재한 경우 상기 어떤 층이 상기 다른 층 또는 기판의 상부에 직접 존재할 수도 있고 그 사이에 제 3의 층이 개재되어질 수 있다.Hereinafter, a method of forming dual damascene wiring according to the present invention will be described in detail with reference to the accompanying drawings. However, embodiments of the present invention may be modified in many different forms, and the scope of the present invention should not be construed as being limited to the embodiments described below. The embodiments of the present invention are provided to more completely explain the present invention to those skilled in the art. In the drawings, the thicknesses of layers or regions are exaggerated for clarity. In the drawings like reference numerals refer to like elements. In addition, if a layer is described as being on top of another layer or substrate, the layer may be present directly on top of the other layer or substrate and a third layer may be interposed therebetween.

도 6 내지 도 13은 본 발명에 의한 듀얼다마신 배선 형성방법에 대한 바람직한 실시예를 도시한 공정 단면도들이다.6 to 13 are cross-sectional views illustrating a preferred embodiment of the method for forming a dual damascene wire according to the present invention.

도 6을 참조하면, 먼저 반도체 기판(30)의 상부에 층간절연막을 형성한다. 그 다음, 층간절연막을 사진 식각 기술을 이용하여 패터닝함으로써 비아 콘택홀(32)을 정의하는 제 1 절연막 패턴(34)을 형성한다. 층간절연막은 실리콘 옥사이드, 실리콘 나이트라이드 또는 실리콘 옥시나이트라이드로 형성하는 것이 바람직하다. 비아 콘택홀(32)의 형성단계는 그 측벽이 수직프로파일을 갖도록 하기 위하여 건식식각 방법으로 진행하는 것이 바람직하다. 또한 비아 콘택홀(32)의 저부는 반도체 기판(30)의 상부표면을 노출시키며, 반도체 기판(30)은 반도체 기판(30)상에 형성되는 트랜지스터의 소오스/드레인 영역, 게이트 전극 또는 다층배선구조를 갖는 반도체 소자의 경우에는 하부배선층일 수도 있다.Referring to FIG. 6, first, an interlayer insulating film is formed on the semiconductor substrate 30. Next, the interlayer insulating layer is patterned using a photolithography technique to form the first insulating layer pattern 34 defining the via contact hole 32. The interlayer insulating film is preferably formed of silicon oxide, silicon nitride or silicon oxynitride. The forming of the via contact hole 32 is preferably performed by a dry etching method so that the sidewall has a vertical profile. In addition, a bottom portion of the via contact hole 32 exposes an upper surface of the semiconductor substrate 30, and the semiconductor substrate 30 includes a source / drain region, a gate electrode, or a multilayer wiring structure of a transistor formed on the semiconductor substrate 30. In the case of the semiconductor device having a may be a lower wiring layer.

도 7을 참조하면, 제 1 절연막 패턴(34)으로 정의되는 비아 콘택홀(32)의 내부를 무기 SOG 막(35)으로 채운다. 경우에 따라서는 스텝커버리지 특성이 양호한 BPSG(BoroPhosphoSilicate Glass), BSG(BoroSilicate Glass), USG(UndoppedSilicate Glass), PSG(PhosphoSilicate Glass) 또는 TEOS(TetraEthoxylosilane)-산화물로 비아 콘택홀(32)의 내부를 채울 수는 있으나 무기 SOG 막(35)으로 비아 콘택홀(32)의 내부를 채우는 것이 보다 바람직한데, 무기 SOG 막(35)이 BPSG, PSG, BSG, USG, TEOS-산화물 보다 바람직한 이유는 다음과 같다.Referring to FIG. 7, the inside of the via contact hole 32 defined by the first insulating layer pattern 34 is filled with the inorganic SOG film 35. In some cases, the via contact hole 32 may be filled with BoroPhosphoSilicate Glass (BPSG), BoroSilicate Glass (BBG), UndoppedSilicate Glass (USG), PhosphoSilicate Glass (PSG), or TetraEthoxylosilane (TEOS) -oxide having good step coverage. Although it is possible to fill the inside of the via contact hole 32 with the inorganic SOG film 35, the reason why the inorganic SOG film 35 is more preferable than BPSG, PSG, BSG, USG, and TEOS-oxide is as follows. .

본 발명에 의한 듀얼다마신 배선을 완성하기 위해서는 비아 콘택홀(32)에 채워진 절연물질(예컨대 무기 SOG 막)을 제거하는 공정, 예컨대 식각공정이 반드시 진행되어야 한다. 그런데, 최근 들어서 반도체 소자의 집적도가 증가함에 따라, 듀얼다마신 배선패턴의 디자인룰도 감소하고 있다. 따라서, 듀얼다마신 배선패턴을 정의하는 절연막 패턴 프로파일이 초미세화되어, 듀얼다마신 배선패턴에 도전물질을 채우는 단계보다 이전에 진행되는 공정에서 듀얼다마신 배선패턴을 정의하는 절연막 패턴을 손상시키지 않는 것이 대단히 중요한 과제로 대두되고 있다. 듀얼다마신 배선패턴을 바람직한 프로파일로 유지하면서 절연물질(예컨대 무기 SOG 막)을 효과적으로 제거하기 위해서는 상기 절연물질의 식각율이 듀얼다마신 배선패턴을 정의하는 절연막 패턴보다 매우 커야 한다(즉,식각선택비가 커야 한다). 따라서, 건식 또는 습식식각 방법 중 습식식각방법을 이용하여 절연물질을 제거하는 공정을 진행하는 것이 바람직하다. 상기 습식식각방법, 예컨대 BOE 또는 희석된 불산용액을 에천트로 하는 습식식각공정을 진행하는 경우 무기 SOG 막(35)은 BPSG, BSG, PSG, USG, TEOS-옥사이드막에 비하여 습식식각율이 10 배 이상 높은 특성을 지니고 있어서, 비아 콘택홀(32)을 BPSG, PSG, BSG, USG 또는 TEOS-옥사이드로 채우기 보다는 무기 SOG 막(35)으로 채우는 것이 듀얼다마신 배선패턴을 정의하는 절연막 패턴의 프로파일을 손상시키지 아니하고 무기 SOG막(35)을 제거하는 것이 더 용이하다. 또한, 무기 SOG 막(35)은 비아 콘택홀(32)을 정의하는 제 1 절연막 패턴(34: 예를 들어 실리콘 옥사이드)에 대하여 높은 식각선택비를 가지고 있을 뿐만 아니라, 스텝커버리지 특성이 우수하고 막질에 결함이 적게 존재하는 등 여러 가지 장점을 가지고 있다.In order to complete the dual damascene wiring according to the present invention, a process of removing an insulating material (eg, an inorganic SOG film) filled in the via contact hole 32 must be performed, for example, an etching process. However, in recent years, as the degree of integration of semiconductor devices increases, the design rule of the dual damascene wiring pattern is also decreasing. Therefore, the insulating film pattern profile defining the dual damascene wiring pattern is extremely fine, so as not to damage the insulating film pattern defining the dual damascene wiring pattern in a process that is performed before the step of filling the conductive material in the dual damascene wiring pattern. This is a very important task. In order to effectively remove the insulating material (eg, inorganic SOG film) while maintaining the dual damascene wiring pattern in a desirable profile, the etching rate of the insulating material must be much larger than the insulating film pattern defining the dual damascene wiring pattern (ie, etching selection). The rain should be big). Therefore, it is preferable to proceed with the step of removing the insulating material using a wet etching method of the dry or wet etching method. In the wet etching process, for example, the wet etching process using BOE or diluted hydrofluoric acid solution as an etchant, the inorganic SOG film 35 has a wet etching rate 10 times higher than that of the BPSG, BSG, PSG, USG, and TEOS-oxide films. As described above, filling the via contact hole 32 with the inorganic SOG film 35 rather than filling the via contact hole 32 with BPSG, PSG, BSG, USG, or TEOS-oxide is used to define the profile of the insulating film pattern defining the dual damascene wiring pattern. It is easier to remove the inorganic SOG film 35 without damaging it. In addition, the inorganic SOG film 35 not only has a high etching selectivity with respect to the first insulating film pattern 34 (for example, silicon oxide) defining the via contact hole 32, but also has excellent step coverage characteristics and film quality. It has several advantages, including less defects.

무기 SOG 막(35)은 SixOyHz의 화학식을 가지며, 무기 SOG 막(35)으로는 HSQ를 선택하여 비아 콘택홀(32)을 채우는 것이 바람직하다.The inorganic SOG film 35 has a chemical formula of Si x O y H z , and the inorganic SOG film 35 is preferably filled with the via contact hole 32 by selecting HSQ.

도 8을 참조하면, 무기 SOG 막(35: 도 7 참조)이 형성된 반도체 기판(30)의 전면을 평탄화한다. 평탄화는 제 1 절연막 패턴(34)의 상부 표면이 노출될 때까지 실시하며, 화학기계적연마방법(CMP) 또는 에치백을 실시하여 행하는 것이 바람직하다. 도 8에 도시된 바와 같이 평탄화공정이 끝난 후에는 상기 제 1 절연막 패턴(34)의 상부표면이 노출된다. 평탄화 공정을 진행하는 데 있어서 제 1 절연막 패턴(34)의 상부표면이 노출되는 것을 보장하기 위하여 무기 SOG 막(35)의 상부표면이 제 1 절연막 패턴(34)의 상부보다 약간 리세스(Ⅰ) 되도록 평탄화공정을 진행하는 것이 바람직하나, 무기 SOG 막(35) 및 제 1 절연막 패턴(34)의 상부에 형성되는 절연막(38:도 9 참조)의 광역평탄도를 향상시키기 위하여 제 1 절연막 패턴(34)의 상부표면과 무기 SOG 막(35)의 상부표면이 일치하도록 평탄화공정을 진행하는 것이 보다 바람직하다.Referring to FIG. 8, the entire surface of the semiconductor substrate 30 on which the inorganic SOG film 35 (see FIG. 7) is formed is planarized. The planarization is performed until the upper surface of the first insulating film pattern 34 is exposed, and is preferably performed by chemical mechanical polishing (CMP) or etch back. As shown in FIG. 8, after the planarization process is finished, an upper surface of the first insulating layer pattern 34 is exposed. In order to ensure that the upper surface of the first insulating film pattern 34 is exposed in the planarization process, the upper surface of the inorganic SOG film 35 is slightly recessed than the upper portion of the first insulating film pattern 34. The planarization process may be performed as much as possible, but the first insulating film pattern (see FIG. 9) may be used to improve the global flatness of the insulating film 38 (see FIG. 9) formed on the inorganic SOG film 35 and the first insulating film pattern 34. More preferably, the planarization process is performed such that the upper surface of 34) and the upper surface of the inorganic SOG film 35 coincide with each other.

도 9를 참조하면, 평탄화공정을 실시하여 평탄화된 반도체 기판(30)의 전면에 배선영역을 형성하기 위한 제 2 절연막(38)을 형성한다. 그 다음 제 2 절연막(38)의 상부에 감광막을 도포하고 사진공정을 실시하여 상기 배선영역을 형성하기 위한 감광막 패턴(40)을 형성한다. 제 2 절연막(38)은 평탄화공정을 통하여 광역평탄화가 된 제 1 절연막 패턴(34) 및 무기 SOG 막(35) 위에 형성되기 때문에 그 상부표면이 균일한 평탄도를 가지며 제 2 절연막(38)위에 형성되는 감광막도 그 하부막인 제 2 절연막(38)의 상부표면의 평탄도가 양호하기 때문에, 상부표면이 균일한 평탄도를 가진다. 제 2 절연막(38)은 다층배선구조에서 발생하는 기생캐패시턴스를 고려하여 적절한 유전율을 가지는 절연물질을 선택하여 형성하되, 실리콘 옥사이드, 실리콘 나이트라이드 또는 실리콘 옥시나이트라이드인 것이 바람직하다. 다층배선구조를 구비하는 반도체 집적회로 소자의 경우에는 제 2 절연막(38)의 두께는 다층배선구조에서 유발되는 배선층간의 기생 캐패시턴스를 고려하고 반도체 집적회로 소자의 3 차원적 토폴로지를 고려하여 결정되어야 하는데 바람직하게는 500Å에서 3000Å사이인 것이 바람직하다.Referring to FIG. 9, a planarization process is performed to form a second insulating film 38 for forming wiring regions on the entire surface of the planarized semiconductor substrate 30. Next, a photoresist film is coated on the second insulating film 38 and a photographic process is performed to form the photoresist pattern 40 for forming the wiring area. Since the second insulating film 38 is formed on the first insulating film pattern 34 and the inorganic SOG film 35 which are globally planarized through the planarization process, the upper surface thereof has a uniform flatness and is formed on the second insulating film 38. Since the formed photoresist film also has a good flatness of the upper surface of the second insulating film 38, which is a lower film thereof, the upper surface has a uniform flatness. The second insulating film 38 is formed by selecting an insulating material having an appropriate dielectric constant in consideration of the parasitic capacitance occurring in the multi-layered wiring structure, but preferably, silicon oxide, silicon nitride, or silicon oxynitride. In the case of a semiconductor integrated circuit device having a multilayer wiring structure, the thickness of the second insulating film 38 should be determined in consideration of the parasitic capacitance between wiring layers caused in the multilayer wiring structure and the three-dimensional topology of the semiconductor integrated circuit device. Preferably it is between 500 kV and 3000 kV.

도 10을 참조하면, 감광막 패턴(40:도 9 참조)을 식각 마스크로 하여 제 2 절연막(38)을 식각하되 무기 SOG 막(35)의 상부표면이 노출될 때까지 식각하여 배선영역(42)을 정의하는 제 2 절연막 패턴(38')을 형성한다. 제 2 절연막 패턴(38')을 형성한 다음 감광막 패턴(40:도 9 참조)을 제거한다. 상기 식각공정은 측벽이 수직인 프로파일을 갖는 배선영역(42)을 형성하기 위하여 건식식각 방법으로 진행하는 것이 바람직하다.Referring to FIG. 10, the second insulating film 38 is etched using the photoresist pattern 40 (see FIG. 9) as an etching mask, but the second insulating film 38 is etched until the upper surface of the inorganic SOG film 35 is exposed. A second insulating film pattern 38 ′ defining s is formed. After forming the second insulating film pattern 38 ′, the photosensitive film pattern 40 (see FIG. 9) is removed. The etching process is preferably carried out by a dry etching method to form a wiring region 42 having a vertical profile of the sidewalls.

도 11을 참조하면, 제 2 절연막 패턴(38')의 형성단계에 의하여 노출된 무기 SOG 막(35:도 10 참조)을 완전히 제거함으로써 비아 콘택홀(32)과 배선영역(42)으로 구성되는 듀얼다마신 배선패턴을 형성한다. 상기 무기 SOG 막(35)의 제거는 습식식각의 방법으로 진행하는 것이 바람직하며, 식각종료점은 비아 콘택홀(32)에 의하여 노출되었던 반도체 기판(30)의 상부표면인 것이 바람직하다. 무기 SOG 막(35)에 대하여 습식식각공정을 실시하기 위한 식각액으로는 제 1 절연막 패턴(34) 및 제 2 절연막 패턴(38')에 대하여 식각선택비가 높은 것을 선택하여 사용하는 것이 바람직한 바, BOE 또는 희석된 불산용액을 사용하는 것이 바람직하다.Referring to FIG. 11, the via contact hole 32 and the wiring area 42 are formed by completely removing the inorganic SOG film 35 (see FIG. 10) exposed by the forming of the second insulating film pattern 38 ′. A dual damascene wiring pattern is formed. The removal of the inorganic SOG film 35 is preferably performed by a wet etching method, and the etching end point is preferably an upper surface of the semiconductor substrate 30 exposed by the via contact hole 32. As an etchant for performing the wet etching process on the inorganic SOG film 35, it is preferable to select and use a high etching selectivity for the first insulating film pattern 34 and the second insulating film pattern 38 '. Or it is preferable to use diluted hydrofluoric acid solution.

도 12를 참조하면, 비아 콘택홀(32)과 배선영역(42)으로 구성되는 듀얼다마신 배선패턴에 도전물질을 매립하여 채운다. 그 다음 상기 도전물질이 채워진 반도체 기판(30)의 전면을 평탄화하여 제 2 절연막 패턴(38')의 상부를 노출시키므로써 듀얼다마신 배선(44)을 완성한다. 상기 도전물질은 알루미늄, 금, 은, 텅스텐, 불순물이 도핑된 폴리실리콘 또는 이들간의 합금일 수 있다. 그런데, 듀얼다마신 배선패턴에 채워지는 도전물질은 비저항이 작을수록 바람직하기 때문에 듀얼다마신 배선패턴에는 구리를 채우는 것이 보다 바람직하다. 또한 한 종류의 도전물질을 듀얼다마신 배선패턴에 매립하여 채우는 것이 아니라 층상구조로 여러 종류의 도전물질을 듀얼다마신 배선패턴의 내부에 매립하여 채우는 것도 가능하다. 예컨대 알루미늄을 비아 콘택홀(32)에 채우고, 배선영역(42)에는 구리를 채워 듀얼다마신 배선(44)을 완성하는 것도 가능하다.Referring to FIG. 12, a conductive material is filled in a dual damascene wiring pattern including the via contact hole 32 and the wiring region 42. Next, the entire surface of the semiconductor substrate 30 filled with the conductive material is planarized to expose the upper portion of the second insulating layer pattern 38 ′, thereby completing the dual damascene wiring 44. The conductive material may be aluminum, gold, silver, tungsten, polysilicon doped with impurities, or an alloy thereof. However, since the conductive material to be filled in the dual damascene wiring pattern is preferably the smaller the specific resistance, it is more preferable to fill copper in the dual damascene wiring pattern. In addition, instead of filling one type of conductive material in the dual damascene wiring pattern, it is also possible to fill and fill various types of conductive materials in the dual damascene wiring pattern in a layered structure. For example, the via contact hole 32 may be filled with aluminum, and the wiring area 42 may be filled with copper to complete the dual damascene wiring 44.

도 13을 참조하면, 경우에 따라서 듀얼다마신 배선패턴의 내부에 도전물질을 채우기 전에 또 다른 도전물질층(46)을 형성할 수 있다. 또 다른 도전물질층(46)은 바람직하게는 Ti/TiN의 이중막으로 형성하는 것이 바람직하다. 상기 또 다른 도전물질층(46)은 Ti/TiN의 이중막으로만 한정되는 것은 아니며 티타늄(Ti), 지르코늄(Zr), 하프니윰(Hf), 바나디윰(V), 몰리브덴(Mo), 타이타늄(Ta) 또는 크롬(Cr)의 단일층 또는 이들간의 이중막 이상의 층상구조일 수 있다. 또한 경우에 따라서 상기 또 다른 도전물질층(46)은 상기 티타늄(Ti), 지르코늄(Zr), 하프니윰(Hf), 바나디윰(V), 몰리브덴(Mo), 타이타늄(Ta) 또는 크롬(Cr)의 질화물(Nitride), 탄화물(Carbide) 또는 실리사이드(Silicide)일 수 있다.Referring to FIG. 13, in some cases, another conductive material layer 46 may be formed before the conductive material is filled in the dual damascene wiring pattern. Another conductive material layer 46 is preferably formed of a double film of Ti / TiN. The another conductive material layer 46 is not limited to a double film of Ti / TiN, but is not limited to titanium (Ti), zirconium (Zr), half ni 윰 (Hf), vanadium (V), molybdenum (Mo), and titanium. It may be a single layer of (Ta) or chromium (Cr) or a layered structure of two or more bilayers therebetween. In some cases, the another conductive material layer 46 may include titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), molybdenum (Mo), titanium (Ta), or chromium (Cr). It may be a nitride (Nitride), carbide (Carbide) or silicide (Silicide).

도 6 내지 도 13을 참조하여 설명한 본 발명의 듀얼다마신 배선 형성방법에 대한 바람직한 일실시예는 배선영역을 정의하는 절연막 패턴을 완성하고 난 이후에 무기 SOG 막을 제거하는 단계를 진행하였으나, 이하에서는 도 14 내지 도 16을 참고하여 무기 SOG 막을 배선영역을 정의하는 절연막 패턴(50: 도 16 참조)을 형성하기 이전에 제거하는 본 발명의 듀얼다마신 배선 형성방법의 또 다른 바람직한 일실시예를 상세하게 설명한다.A preferred embodiment of the method for forming a dual damascene wire according to the present invention described with reference to FIGS. 6 to 13 has been performed to remove the inorganic SOG film after completing the insulating film pattern defining the wiring area. Another preferred embodiment of the dual damascene wiring forming method of the present invention in which the inorganic SOG film is removed before forming the insulating film pattern 50 (see FIG. 16) defining the wiring area is described with reference to FIGS. 14 to 16. Explain.

반도체 기판의 상부에 비아 콘택홀을 정의하는 제 1 절연막 패턴을 형성하는단계 및 상기 제 1 절연막 패턴의 상부표면이 노출되도록 무기 SOG 막을 상기 비아 콘택홀에 채우는 단계는 도 6 내지 도 8을 참조로 하여 설명한 본 발명의 바람직한 일실시예와 동일하게 진행되므로 상기 두 단계에 대한 상세한 설명은 생략한다.Forming a first insulating film pattern defining a via contact hole on the semiconductor substrate and filling the via contact hole with an inorganic SOG film to expose an upper surface of the first insulating film pattern with reference to FIGS. 6 to 8. Since the same process as in the preferred embodiment of the present invention described above, detailed description of the two steps will be omitted.

도 14를 참조하면, 제 1 절연막 패턴(34) 및 무기 SOG 막(35)의 상부에 제 2절연막(48)을 형성한다. 제 2 절연막(48)은 바람직하게는 실리콘 옥사이드, 실리콘 나이트라이드 또는 실리콘 옥시나이트라이드로 형성한다.Referring to FIG. 14, a second insulating film 48 is formed on the first insulating film pattern 34 and the inorganic SOG film 35. The second insulating film 48 is preferably formed of silicon oxide, silicon nitride or silicon oxynitride.

도 15를 참조하면, 제 2 절연막(48) 하부의 일부가 잔류하며, 무기 SOG 막(35)은 제거되도록 식각공정을 진행한다. 제 2 절연막(48)은 일반적으로 결함의 일종인 핀홀(미도시)을 구비하고 있기 때문에 에천트가 상기 핀홀을 통하여 비아 콘택홀(32)내부로 침투하여 무기 SOG 막(35)을 제거한다. 상기 식각공정은 제 2 절연막(48) 하부를 잔류시키면서 무기 SOG 막(35)을 제거하여야 하고 또한 비아 콘택홀(32)의 측벽프로파일을 손상시키지 아니하여야 하므로 제 1 절연막 패턴(34)에 대하여 높은 식각선택비를 지니는 식각 공정을 선택하여야 한다. 따라서, 습식식각공정을 진행하여 제 2 절연막(48) 하부에 존재하는 무기 SOG 막(35)을 제거하는 것이 바람직하다. 상기 습식식각공정의 에천트를 선택함에 있어서도 제 1 절연막 패턴(34)이나 제 2 절연막(48)보다 무기 SOG 막(35)을 빨리 식각하여 제거할 수 있는 것을 선택하여야 하는바, BOE 또는 희석된 불산용액을 에천트로 선택하여 상기 습식식각공정을 진행하는 것이 바람직하다. 적절한 식각공정조건하에서 상기 에천트를 이용하여 습식식각공정을 진행하면 도 15 에 도시된 바와 같이 제 2 절연막(48)하부의 일부가 잔류하며,무기 SOG 막(35)은 제거된다.Referring to FIG. 15, a portion of the lower portion of the second insulating film 48 remains, and the inorganic SOG film 35 is etched to remove it. Since the second insulating layer 48 has a pinhole (not shown) which is generally a kind of defect, an etchant penetrates into the via contact hole 32 through the pinhole to remove the inorganic SOG film 35. Since the etching process must remove the inorganic SOG film 35 while remaining under the second insulating film 48 and must not damage the sidewall profile of the via contact hole 32, An etching process with an etching selectivity must be selected. Therefore, the wet etching process may be performed to remove the inorganic SOG film 35 existing under the second insulating film 48. In selecting the etchant of the wet etching process, it should be selected that the inorganic SOG film 35 can be etched and removed faster than the first insulating film pattern 34 or the second insulating film 48. It is preferable to proceed with the wet etching process by selecting a hydrofluoric acid solution as an etchant. When the wet etching process is performed using the etchant under appropriate etching process conditions, a portion of the lower portion of the second insulating film 48 remains as shown in FIG. 15, and the inorganic SOG film 35 is removed.

도 16을 참조하면, 잔류된 제 2 절연막(48)위에 소정의 두께로 제 3 층간절연막을 형성한다. 그 다음 제 3 층간절연막에 대하여 사진 식각 공정을 진행하여 배선영역(42)을 정의하는 제 3 절연막 패턴(50)을 형성하여 비아 콘택홀(32) 및 배선영역(42)으로 구성되는 듀얼다마신 배선패턴을 형성한다. 제 3 층간절연막은 실리콘 옥사이드, 실리콘 나이트 라이드 또는 실리콘 옥시나이트라이드로 형성하는 것이 바람직하다.Referring to FIG. 16, a third interlayer insulating film is formed on the remaining second insulating film 48 to have a predetermined thickness. Next, a photolithography process is performed on the third interlayer insulating film to form a third insulating film pattern 50 defining the wiring area 42, thereby forming dual damascene comprising the via contact hole 32 and the wiring area 42. A wiring pattern is formed. The third interlayer insulating film is preferably formed of silicon oxide, silicon nitride or silicon oxynitride.

듀얼다마신 배선패턴을 형성한 이후에는 상기 도 12 및 도 13을 참고로 하여 설명한 것처럼 상기 듀얼다마신 배선패턴의 내부에 도전물질을 채워 듀얼다마신 배선을 완성하며, 경우에 따라서는 상기 도전물질이 채워지기 전에 또 다른 도전물질층이 채워질 수 있다. 상기 도전물질 및 또 다른 도전물질층은 도 12 및 도 13을 참고로 하여 설명한 도전물질 및 또 다른 도전물질층과 동일하므로 이에 대한 상세한 설명은 생략한다.After the dual damascene wiring pattern is formed, a dual damascene wiring is completed by filling a conductive material in the dual damascene wiring pattern as described with reference to FIGS. 12 and 13, and in some cases, the conductive material. Another conductive material layer may be filled before this is filled. Since the conductive material and another conductive material layer are the same as the conductive material and another conductive material layer described with reference to FIGS. 12 and 13, a detailed description thereof will be omitted.

본 발명은 상기 실시예에 한정되지 않으며, 많은 변형이 본 발명의 기술적 사상내에서 당분야에서의 통상의 지식을 가진 자에 의해 실시 가능함은 명백하다.The present invention is not limited to the above embodiments, and it is apparent that many modifications can be made by those skilled in the art within the technical idea of the present invention.

무기 SOG 막을 비아 콘택홀에 채워 반도체 기판 상부표면에 단차가 크지 않은 토폴로지를 형성하므로써, 배선영역을 위한 절연막 패턴이 안정적으로 형성된다. 또한, 비아 콘택홀을 정의하는 절연막 패턴과 배선영역을 정의하는 절연막 패턴에 대하여 식각선택비가 매우 큰 무기 SOG 막을 비아 콘택홀에 채움으로써 후속공정에서 무기 SOG 막을 제거하더라도 듀얼다마신 배선패턴의 프로파일이 크게 손상되지 않는다.By filling the inorganic SOG film in the via contact hole to form a topology with a small step height on the upper surface of the semiconductor substrate, an insulating film pattern for the wiring area is stably formed. In addition, by filling the via contact hole with an inorganic SOG film having a very high etch selectivity for the insulating film pattern defining the via contact hole and the insulating film pattern defining the wiring area, the profile of the dual damascene wiring pattern is maintained even if the inorganic SOG film is removed in a subsequent process. It is not damaged very much.

Claims (6)

(a) 반도체 기판의 상부에 비아 콘택홀을 정의하는 제 1 절연막 패턴을 형성하는 단계;(a) forming a first insulating film pattern defining a via contact hole on the semiconductor substrate; (b) 상기 제 1 절연막 패턴의 상부표면이 노출되도록 무기 SOG 막을 상기 비아 콘택홀에 채우는 단계;(b) filling an inorganic SOG film into the via contact hole so that an upper surface of the first insulating film pattern is exposed; (c) 상기 제 1 절연막 패턴의 상부에 배선영역을 정의하는 제 2 절연막 패턴을 형성하는 단계;(c) forming a second insulating film pattern defining a wiring region on the first insulating film pattern; (d) 상기 비아 콘택홀내에 채워진 상기 무기 SOG 막을 식각하여 제거하는 단계;(d) etching away the inorganic SOG film filled in the via contact hole; (e) 상기 무기 SOG 막이 제거되어 노출된 상기 비아 콘택홀 및 배선영역에 도전물질을 채워 듀얼다마신 배선을 완성하는 단계를 포함하는 것을 특징으로 하는 듀얼다마신 배선 형성방법.(e) filling the conductive material in the via contact hole and the wiring region exposed by removing the inorganic SOG film to complete the dual damascene wiring. 제1항에 있어서, 상기 무기 SOG 막은 HSQ 인 것을 특징으로 하는 듀얼다마신 배선 형성방법.The method of claim 1, wherein the inorganic SOG film is HSQ. 제1항에 있어서, 상기 (d) 단계는 BOE 또는 희석된 불산용액을 에천트로 하는 습식식각공정인 것을 특징으로 하는 듀얼다마신 배선 형성방법.The method of claim 1, wherein the step (d) is a wet etching process using BOE or diluted hydrofluoric acid solution as an etchant. (a) 반도체 기판의 상부에 비아 콘택홀을 정의하는 제 1 절연막 패턴을 형성하는 단계;(a) forming a first insulating film pattern defining a via contact hole on the semiconductor substrate; (b) 상기 제 1 절연막 패턴의 상부표면이 노출되도록 무기 SOG 막을 상기 비아 콘택홀에 채우는 단계; 및(b) filling an inorganic SOG film into the via contact hole so that an upper surface of the first insulating film pattern is exposed; And (c) 상기 제 1 절연막 패턴 및 상기 무기 SOG 막의 상부에 제 2 절연막을 형성하는 단계;(c) forming a second insulating film on the first insulating film pattern and the inorganic SOG film; (d) 상기 제 2 절연막 하부가 소정두께로 잔류하고 상기 무기 SOG 막은 제거되도록 상기 제 2 절연막에 대하여 식각공정을 진행하는 단계;(d) performing an etching process on the second insulating film so that the lower portion of the second insulating film remains at a predetermined thickness and the inorganic SOG film is removed; (e) 잔류된 상기 제 2 절연막의 상부에서 배선영역을 정의하는 제 3 절연막 패턴을 형성하면서 상기 비아 콘택홀의 상부에 존재하는 제 2 절연막을 제거하는 단계; 및(e) removing the second insulating film on the top of the via contact hole while forming a third insulating film pattern defining a wiring region on the remaining second insulating film; And (f) 상기 배선영역 및 비아 콘택홀에 도전물질을 채워 듀얼다마신 배선을 완성하는 단계를 포함하는 것을 특징으로 하는 듀얼다마신 배선 형성방법.(f) filling the conductive region in the wiring region and the via contact hole to complete the dual damascene wiring. 제4항에 있어서, 상기 무기 SOG 막은 HSQ 인 것을 특징으로 하는 듀얼다마신 배선 형성방법.5. The method of claim 4, wherein the inorganic SOG film is HSQ. 제4항에 있어서, 상기 (d) 단계는 BOE 또는 희석된 불산용액을 에천트로 하는 습식식각공정인 것을 특징으로 하는 듀얼다마신 배선 형성방법.5. The method of claim 4, wherein step (d) is a wet etching process using BOE or diluted hydrofluoric acid solution as an etchant.
KR10-1999-0028908A 1999-07-16 1999-07-16 Method for forming electrical interconnection using dual damascene process KR100532404B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-1999-0028908A KR100532404B1 (en) 1999-07-16 1999-07-16 Method for forming electrical interconnection using dual damascene process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-1999-0028908A KR100532404B1 (en) 1999-07-16 1999-07-16 Method for forming electrical interconnection using dual damascene process

Publications (2)

Publication Number Publication Date
KR20010010171A true KR20010010171A (en) 2001-02-05
KR100532404B1 KR100532404B1 (en) 2005-11-30

Family

ID=19602371

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-0028908A KR100532404B1 (en) 1999-07-16 1999-07-16 Method for forming electrical interconnection using dual damascene process

Country Status (1)

Country Link
KR (1) KR100532404B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100366639B1 (en) * 2001-03-23 2003-01-06 삼성전자 주식회사 A method for formation of contact having low resistivity using porous oxide plug and methods for forming semiconductor devices using the same
KR100421055B1 (en) * 2001-05-17 2004-03-04 삼성전자주식회사 Method for forming metal interconnection layer of semiconductor device
KR100447322B1 (en) * 2001-12-26 2004-09-07 주식회사 하이닉스반도체 Method of forming a metal line in semiconductor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100366639B1 (en) * 2001-03-23 2003-01-06 삼성전자 주식회사 A method for formation of contact having low resistivity using porous oxide plug and methods for forming semiconductor devices using the same
KR100421055B1 (en) * 2001-05-17 2004-03-04 삼성전자주식회사 Method for forming metal interconnection layer of semiconductor device
KR100447322B1 (en) * 2001-12-26 2004-09-07 주식회사 하이닉스반도체 Method of forming a metal line in semiconductor device

Also Published As

Publication number Publication date
KR100532404B1 (en) 2005-11-30

Similar Documents

Publication Publication Date Title
KR100319560B1 (en) Method of forming a capacitor storage node using a cmp stopping layer
US20050263848A1 (en) Metal-insulator-metal capacitor having a large capacitance and method of manufacturing the same
US7307000B2 (en) Method of fabricating a capacitor for a semiconductor device
US6214745B1 (en) Method of improving surface planarity of chemical-mechanical polishing operation by forming shallow dummy pattern
US20040232558A1 (en) Semiconductor device and method of manufacturing the same
KR20030076246A (en) Semiconductor device with analog capacitor and method of fabricating the same
US7112504B2 (en) Method of forming metal-insulator-metal (MIM) capacitors at copper process
US6818547B2 (en) Dual damascene process
US5607873A (en) Method for forming contact openings in a multi-layer structure that reduces overetching of the top conductive structure
KR100532404B1 (en) Method for forming electrical interconnection using dual damascene process
KR100335488B1 (en) Semiconductor device having self aligned contact and method for manufacturing thereof
KR100558008B1 (en) method of forming interconnection lines in a semiconductor device
KR100778850B1 (en) Capacitor Of Semiconductor Device And Method For Forming The Same
KR100724249B1 (en) Method for fabricating semiconductor device
US7018903B2 (en) Method of forming semiconductor device with capacitor
KR20000043821A (en) Fabrication method of semiconductor memory device
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
KR100510557B1 (en) Capacitor of semiconductor device applying a damascene process and method for fabricating the same
KR100457044B1 (en) Method for manufacturing semiconductor device
JP2000124419A (en) Semiconductor device and manufacture thereof
KR100524928B1 (en) Method for forming a metal line using damascene process
KR100579858B1 (en) Method of fabricating mim(metal-insulator-metal) capacitor
KR100203299B1 (en) Interconnecting method of semiconductor device
KR100576514B1 (en) Method for fabricating MIM capacitor of semiconductor device
KR100450244B1 (en) Semiconductor device and fabrication method of thereof

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee