KR20000076738A - 심층 자외선 노출용 개선된 건식 사진평판 공정법 처리방법 - Google Patents

심층 자외선 노출용 개선된 건식 사진평판 공정법 처리방법 Download PDF

Info

Publication number
KR20000076738A
KR20000076738A KR1020000009427A KR20000009427A KR20000076738A KR 20000076738 A KR20000076738 A KR 20000076738A KR 1020000009427 A KR1020000009427 A KR 1020000009427A KR 20000009427 A KR20000009427 A KR 20000009427A KR 20000076738 A KR20000076738 A KR 20000076738A
Authority
KR
South Korea
Prior art keywords
plasma
layer
polymerized
organosilane
substrate
Prior art date
Application number
KR1020000009427A
Other languages
English (en)
Other versions
KR100477386B1 (ko
Inventor
티모시 웨이드맨
마이클피. 놀트
니콜라오스 베키어리스
다이안 수기아토
데이비드 무이
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20000076738A publication Critical patent/KR20000076738A/ko
Application granted granted Critical
Publication of KR100477386B1 publication Critical patent/KR100477386B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/032Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders
    • G03F7/033Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders the binders being polymers obtained by reactions only involving carbon-to-carbon unsaturated bonds, e.g. vinyl polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

규소 원자가 두 개 이상의 탄소 원자와 한 개 이상의 수소 원자에 결합되어 있는 유기실란 전구체가 고해상도를 갖춘 형상의 형성에 이용 가능한 높은 대비 및 양호한 에지 형상을 갖춘 심층 자외선 광저항 층을 형성한다. 광저항 층의 대비는 발달 플라즈마 내의 산소 함량에 따라서 선택될 수 있다. 플라즈마-중합 유기실란 층은 제조 환경 내에서의 웨이퍼의 통상적인 사진석판 공정과 일치하는 시간 동안의 사진석판술 노출 전후 양자에서 공기 중에 안정하다.

Description

심층 자외선 노출용 개선된 건식 사진석판술 처리방법 {IMPROVED DRY PHOTOLITHOGRAPHY PROCESS FOR DEEP ULTRAVIOLET EXPOSURE}
본 발명은 1998년 11월 19일자로 디안 수기아르토 및 데이빗 무이(변호사 일람번호 AM3237/T29100) 등에 의해 심층 자외선용 건식 사진석판술이란 명칭으로 출원된 미국 출원번호 09/196,501호의 일부 연속출원이며, 1999년 2월 26일자로 티몬시 웨이드만, 마이클 나울트, 니콜라스 베키아리스, 디안 수기아르토 및 데이빗 무이(변호사 일람번호 AM3504/T30200) 등에 의해 심층 자외선 노출용 개선된 건식 사진석판술 공정이란 명칭으로 출원된 미국 임시출원번호 60/121,837호를 우선권으로 주장하고 있다.
본 발명은 일반적으로 사진석판술에 의한 반도체 처리기술에 관한 것이며, 상세하게는 포토레지스트 필름을 증착 및 현상하기 위한 방법 및 장치에 관한 것이다.
사진석판술에 의해 다수의 증착층을 패턴화하는 것은 현재, 집적 회로 및 전자장치의 제조에 있어서 일반적인 단계들이다. 다수의 사진석판술 공정들이 발전되어 왔다. 다수의 일반적인 사진석판술 공정들은 스핀-온(spin-on) 레지스트 층을 사용한다. 상기 공지된 방법에서 액체 레지스트 재료가 웨이퍼상에 도포되고난 후에, 레지스트 재료로 된 박막 코팅을 웨이퍼상에 남겨두도록 제어된 방식으로 웨이퍼가 회전된다. 스핀-온 레지스트 재료가 감광성 재료이므로 포토레지스트라 지칭된다. 회전 단계 및 아마도 연화 소성단계(soft baking)와 같은 다른 공정 후에, 포토레지스트 층은 소정 패턴의 투명 및 불투명 영역을 갖는 포토마스크(단순히 마스크로도 공지됨)를 통해 광에 노출된다. 마스크가 광, 예를들어 자외선("UV")에 노출되면, 투명부분들은 이들 영역에 있는 포토레지스트를 노출시키지만 마스크가 불투명한 영역들에서는 포토레지스트를 노출시키지 않는다. 그후, 적합한 화학용액, 화학 증기, 또는 플라즈마 공정이 사용되는 포토레지스트의 형태, 즉 포지티브 또는 네가티브에 따라 포토레지스트의 반응 또는 미반응 부분들중 어느 하나를 선택적으로 침식시키는데 사용된다. 패턴화된 포토레지스트 층을 기판상에 남겨두도록 포토레지스트 층의 선택된 부분들을 제거하는 공정은 "현상공정(developing)"으로 공지되어 있다. 나머지 포토레지스트들은 하부층을 더욱 처리하기 위한 패턴으로서 작용한다. 예를들어, 후에 상승되는 재료가 포토레지스트 위에 증착되거나 하부층이 에칭 또는 다른 공정에 의해 처리될 수 있다. 패턴화된 포토레지스트 층에 따라 기판을 처리하는 것은 "패턴 전사"로서 공지되어 있다.
스핀-온 레지스트 층은 몇몇 경우에 바람직하지 않거나 부적합한 단점을 가진다. 특히, 장치의 임계 칫수("CD")가 더욱 소형화면서, 보다 작은 최소 배선폭을 얻기 위해 새로운 공정 및 기술이 사용된다. 예를들어, 패턴-전사 공정에 일반적으로 사용되는 플라즈마 처리에 충분히 저항할 수 있을 정도로 두꺼운 스핀-온 포토레지스트 층은 너무 두꺼워서 소정의 CD를 얻는데 필요한 파장을 사용해서는 적절히 노출시킬 수 없다. 종래의 사진석판술에 사용되는 장파장에서 적절히 노출(변환)되는 포포레지스트 재료는 심층-초미세 사진석판술에 사용되는 단파장, 심층 자외선("DUV")에 의해 적절히 노출될 수 없다. 다수의 종래 포토레지스트 재료들은 DUV 영역에서 광을 흡수하여 레지스트 층의 하부로의 광 노출을 방지한다. 또한, 단일의 얇은 유기질 포토레지스트 층이 기판상에서 회전되면, 핀홀 결함이 생성되고, 액체 레지스트내의 퍼들링(puddling) 및 표면 장력이 기판의 형상과 일치되려는 성질을 제한하고, 어떤 구역을 두껍게 또는 얇게 하여 노출 및 현상 공정에 영향을 끼친다.
전체가 유기질이며 단일층인 레지스트에 대한 상이한 접근방법이 발전되어 왔다. 실리에이션(silyation)으로서 공지된 제 1 방법은 특별한 포토레지스트를 필요로하고 공정이 상당히 복잡하고 길다. 이중 접근방법의 일 예인 제 2 방법은 상당히 두꺼운 종래 포토레지스트 층 또는 다른 폴리머 층을 회전시킨 후에 고 실리콘 함량을 갖는 상당히 얇은 특정 포토레지스트를 회전시키는 것이다. 얇은 레지스트 층이 노출 및 현상된 후에 두꺼운 층상에 실리콘 부화 캡을 형성하도록 처리된다. 상층은 무기질 재료를 상당히 많이 함유하여 두꺼운 하부층에 캡 층 패턴을 전사하는데 사용되는 일부 플라즈마 에칭공정에 양호하게 저항한다. 따라서, 이러한 종래의 처리기술은 다층 레지스트의 패턴을 기판에 전사시키는데 사용될 수 있다.
액체 유기질 스핀-온 레지스트에 대한 또다른 접근방법은 기판상에 감광성 재료를 증착시키기 위해 화학 증착("CVD")기술을 사용한다. 특히, 메틸실란((CH3)SiH3)은 DUV 영역내의 광에 반응하는 플라즈마-중합반응된 메틸실란("PPMS")을 형성하도록 플라즈마내에서 중합반응을 한다. PPMS 증착기술은 웨이드만 등의 "모든 건식 사진석판술용 새로운 광 한정 에칭 마스크"란 명칭의 논문; 응용 물리학보(1993년 1월 25일), 제 62권 4호, 372 내지 374페이지의 "플라즈마 증착된 유기질 실리콘 폴리머"; 조시 등에게 허여된 미국 특허 제 5,439,780호; 웨이드만 등의 논문 "모든 건식 석판술"; 폴리머 사이언스 및 테크놀로지 저어널 제 8권 4호(1995), 679 내지 686페이지에 발표된 "단층 레지스트 및 실리콘 이산화물 전구체로서의 플라즈마 중합반응된 메틸실란의 응용"에 설명되어 있다.
상기 문헌에 설명되어 있는 바와 같이, 유기질 실리콘 수화물 네트워크 구조를 갖는 PPMS 필름은 메틸실란을 함유하는 전구체 가스의 플라즈마 중합반응에 의해 증착된다. 상기 PPMS 필름이 주위 공기와 같은 산화분위기에서 DUV 방사선에 노출되면, PPMS 필름의 노출부분은 플라즈마 중합반응된 메틸실리콘 산화물(PPMSO)로서 공지된 유리 등의 실록산 네트워크 재료를 형성하도록 광-산화된다. 그 결과적인 패턴들이 네가티브 톤 또는 포지티브 톤 패턴을 제공하도록 현상될 수 있다. 네가티브 톤 패턴은 일반적으로 염화물계 플라즈마 에칭을 사용하여 형성된다. 포지티브 톤 에칭은 HF 증기 또는 완충 산화에칭을 사용하여 형성될 수 있다. 어느 한 경우에 있어서, 현상된 패턴은 선택적으로 더욱 산화될 수 있고 나머지 재료를 산화 경질 마스크로 변환시키도록 어닐링될 수 있다. 그러한 PPMS 사진석판술은 증착, 현상, 패턴화, 및 에칭 단계가 가스상태에서 모두 수행된다는 점, 즉 건식 플라즈마 기술을 사용하여 높은 해상도를 제공할 수 있다는 점에서 유리하다.
이와 같이 PPMS 층은 다수의 상이한 사진석판술에 응용되어 사용될 수 있다. 그러나, PPMS 층은 몇몇 단점을 가진다. 예를들어, PPMS 층은 통상적으로 노출 전후에 공기중에서 산화된다. 그러한 산화는 안정성에 대한 문제점을 초래하여 노출 및 현상공정에 악영향을 끼칠 수 있다. 일부 종래의 공정은 더욱 안정한 필름에 대해 PPMS 층의 감광성을 교환한다. 또한, PPMS 층은 193 nm 이하의 파장을 갖는 석판술 공구에 의한 작업시 난점을 부여한다. 특히, PPMS 층은 이러한 파장에서 광을 너무나 강력하게 흡수한다.
플라즈마 중합반응된 유기질 실란 이외에 전구체 공급원의 사용이 제안되어 있다. 예를들어, 이전에 언급한 조시의 '780 특허에서는 디메틸실란 및 테트라메틸실란에 대해 실험했다. 그러나, 이들 또는 다른 유기질실란 공급원이 메틸실란에 의해 제공된 이득 이외의 다른 이득을 제공했다는 확증이 상기 조시 '780 특허에는 없다. 또한, 조시 '780 특허의 실험으로 필름을 패턴화하고 현상하는데 보다 많은 노출량이 필요하는 것을 입증하는 메틸실란보다는 전구체가 다소 작은 양의 광을 흡수했음을 밝혀냈다. 또한, 193 nm 사진석판술에 사용하기 위한 플라즈마-중합반응된 유기질실란층용 전구체로서 테트라메틸실란을 연구한 링컨 연구소에서도 실험이 수행되었지만, 보고된 노출량은 상업적 용도에 필요한 것보다도 훨씬 높았다. 링컨 연구소의 증착기술은 혼 등에 의해 진공 과학기술 저어널, B8(6), 1990년 11/12월호의 1493 내지 1496페이지에 "심층 자외선 석판술에 사용되는 건식 레지스트로서의 플라즈마-증착된 유기질실란 박막필름"이란 명칭으로 설명되어 있다.
전술한 설명을 참조하여, 사진석판술에 있어서의 개선이 바람직하다.
본 발명은 0.18μ이하의 최소배선폭을 갖는 집적회로의 형성에 특히 유용한 신규하고 개선된 건식 사진 석판술을 제공하기 위한 것이다.
도 1a내지 도 1e는 본 발명의 일면에 따른 패턴을 형성하도록 처리되는 기판의 일부를 개략적으로 도시한 횡단면도.
도 2는 본 발명의 일 실시예에 따라 중합반응된 트리메틸실란 층으로부터 패턴을 형성하기 위한 공정을 설명하는 개략적인 흐름도.
도 3a 내지 도 3f는 본 발명의 일 실시예에 따라 이중 레지스트공정을 사용하여 패턴을 산화층에 전사하도록 처리되는 기판의 일부를 개략적으로 도시한 횡단면도.
도 4는 본 발명의 일 실시예에 따라 중합반응된 트리메틸실란 층에 대한 이중 레지스트공정을 패턴 전사공정에 사용하기 위한 공정을 설명하는 개략적인 흐름도.
도 5a 및 도 5b는 본 발명에 따라 플라즈마-중합반응된 유기실란 층을 형성하는데 사용될 수 있는 증착 시스템의 한 형태를 개략적으로 도시하는 도면.
도 5c는 본 발명에 따라 플라즈마-중합반응된 유기실란 층을 현상시키는데 사용될 수 있는 플라즈마 에칭 시스템의 한 형태를 개략적으로 도시하는 도면.
도 6은 메틸실란을 사용하여 형성한 기판상의 라인들을 주사 전자현미경으로 본 도면.
도 7은 본 발명의 실시예에 따라 형성된 플라즈마-중합반응된 디메틸실란 층 및 플라즈마-중합반응된 트리메틸실란 층을 갖는 플라즈마-중합반응된 메틸실란 층에 대한 공기중에서의 안정성을 비교하는 그래프.
도 8은 본 발명의 실시예에 따라 형성된 플라즈마-중합반응된 트리메틸실란 층을 갖는 플라즈마-중합반응된 메틸실란 층에 대한 콘트래스트 대 노출량을 비교하는 그래프.
도 9는 애즈-증착된 플라즈마-중합반응된 트리메틸실란 층에 대한 푸리에-변형 적외선 분석에 의한 그래프.
도 10은 다 단계 처리후의 플라즈마-중합반응된 트리메틸실란 층에 대한 푸리에-변형 적외선 분석에 의한 그래프.
도 11은 PPTMS 층으로부터 형성된 현상된 라인들을 주사 전자현미경으로 본 도면.
도 12는 플라즈마 중합반응된 메틸실란, 디메틸실란 및 트리메틸실란 층에 대한 푸리에-변형 적외선 분석에 의한 그래프.
도 13은 두 개의 상이한 PPDMS 현상공정에 대한 콘트래스트 곡선을 나타내는 그래프.
도 14는 라인 간극보다 작은 라인 폭을 갖는 193 nm 노출에서 PPTMS를 사용하여 형성한 이중 레지스트 패턴을 주사 전자현미경으로 본 도면.
도 15는 라인 폭과 동일한 라인 간격의 레지스트 패턴을 갖는 193 nm 노출에서 PPTMS를 사용하여 형성한 이중 레지스트를 주사 전자현미경으로 본 도면.
도 16은 폴리실리콘 하부층 내측으로 전사된 패턴을 갖는 193 nm의 노출에서 PPTMS를 사용하여 형성한 이중 레지스트를 주사 전자 현미경으로 본 도면.
도 17은 0.10 ㎛ 이격된 0.10 ㎛ 폭의 라인들을 형성하도록 193 nm의 노출에서 PPDMS을 사용하여 형성한 이중 레지스트를 주사 전자 현미경으로 본 도면.
* 도면의 주요 부분에 대한 부호의 설명 *
10, 200 : 산화 층 12, 202 : 기판
14 : 폴리실리콘 층 16 : PPOS 층
18 : 자외선 20 : 패턴형 마스크
22, 24 : 불투과성 영역 26, 28 : 선택부
30 : 노출부 31 : PPOSO 층
32 : 폴리실리콘 게이트 204, 214 : 포토리지스트 층
206 : PPDS 층 208 : 노출부
212 : PPOSO 층 216 : 패턴형 산화층
본 발명은 실리콘 원자에 의해 둘러싸인 적어도 두 개의 탄소원자와 적어도 하나의 수소원자를 갖는 유기질실란 전구체로부터 안정한 플라즈마-중합반응된 유기질실란(PPOS) 층을 증착한다. 본 발명자들은 상기 전구체로부터 증착된 플라즈마-중합반응된 유기질실란 필름은 단지 하나의 Si-C 결합(예를들어, PPMS)을 갖는 유기질실란에 비해 안정성이 개선되었으며 어떠한 Si-H 결합없이 유기질실란 전구체로부터 증착된 필름보다 양호한 흡수특성을 갖는다는 것을 발견했다. 본 발명에 따라 형성된 플라즈마-중합반응된 유기질실란 층은 제조시 종래의 사진석판술에 의한 웨이퍼 처리에 해당하는 시간동안의 노출 전후에 공기중에서 안정한 상태를 유지했다. 본 발명의 양호한 실시예에서는 플라즈마-중합반응된 디메틸실란(PPDMS) 층 및 플라즈마-중합반응된 트리메틸실란(PPTMS) 층을 각각 증착하기 위한 전구체 가스로서 디메틸실란(DMS) 및 트리메틸실란(TMS)을 사용한다.
본 발명에 따라 증착된 플라즈마 중합반응 층은 광 노출후 초미세 구조물을 한정하는데 사용될 수 있다. 통상적으로, 플라즈마-중합반응된 유기질실란 층은 공기와 같은 산소함유 분위기에서 심층 자외선에 노출됨으로써 산화된다. 상기 노출시키는데 적합한 심층 자외선 수치의 특정예는 193 nm 및 248 nm이다. 노출된 층은 0.1 이하의 해상도를 갖는 미세 구조물들을 한정하도록 할로겐 및 산소를 함유하는 플라즈마 내에서 현상될 수 있다. 노출 및 비노출 층 사이의 콘트래스트는 현상 플라즈마의 산소 함량에 따라 선택적으로 제어되며 현상 에칭변수에 따라 1:1 내지 1:1000 사이에서 변화될 수 있다.
또한, 본 발명자들은 실리콘 원자로 둘러싸인 적어도 두 개의 탄소원자와 적어도 하나의 수소원자를 갖는 유기질실란 전구체로 형성된 필름이 PPMS 층에서 가능했던 노출량보다 낮은 노출량을 사용하여 성공적으로 패턴화되고 현상될 수 있음을 아주 예상외로 발견했다. 낮은 노출량은 처리량의 상당한 개선을 의미하지만, 이러한 발견은 193 nm 사진석판술 공정의 관점에서 볼때 특히 중요하다. 현재 이용가능한 193 nm 스테퍼 기계는 과량의 193 nm 심층 자외선을 규정이상 노출시킬 때 기능저하되는 정교한 광학기구를 가진다. 이러한 광학기구는 초고가의 부품을 가지며 193 nm의 자외선에 과다 노출될 때 소모되게 된다. 본 발명의 방법에 따라 증착된 필름은 20 mJ/㎠ 이하의 193 nm 심층 자외선의 노출에 의해 패턴화되고 0.1 ㎛의 해상도에서 유연한 배선을 형성하도록 현상된다.
본 발명자들은 TMS((CH3)3SiH)을 사용하는 본 발명의 실시예들이 193 nm 사진석판술 공정에 특히 유용하다는 것을 발견했다. 그러한 PPTMS 층들은 PPMS 층에 비해 오랜기간동안 개선된 안정성을 가지며 PPMS 보다 낮은 노출량에서 유연한 배선을 형성할 수 있다. PPTMS 층이 공정변화에 상당히 민감하지만 193 nm 심층 자외선 사진석판술을 사용하면 우수한 해상도(0.1㎛)를 얻을 수 있다.
본 발명자들은 DMS를 사용한 실시예들이 TMS 실시예보다 공정변화에 덜 민감하며 193 nm 및 248 nm 사진석판술 공정에 모두 특히 유용하다는 것을 알아냈다. PPTMS 층과 유사하게, PPDMS 층은 PPMS 층에 비해 오랜기간 동안 개선된 안정성을 가지며 PPMS 층보다 낮은 노출량에서 유연한 배선을 형성할 수 있다. 본 발명자들은 PPTMS 필름이 표면에서 가까운 광을 흡수하고 PPMS 필름보다 훨씬더 완전하게 산화되는 반면에, PPTMS 필름이 PPDMS 필름보다 덜 완전하나 더욱 깊게 산화됨을 알아냈다.
본 발명의 이러한 실시예 및 다른 실시예들과 본 발명의 장점 및 특징들은 이후의 설명 및 첨부도면과 관련하여 더욱 상세히 설명된다.
본 발명은 반도체 기판상에 패턴화된 적어도 부분 무기질 층을 형성하기 위한 방법을 제공한다. 상기 방법은 일반적으로 실리콘 원자에 부착된 적어도 두 개의 탄소원자와 적어도 하나의 수소원자를 포함하는 유기질실란 전구체로부터 플라즈마 중합반응된 유기질실란 필름(PPOS 필름)을 증착하는 단계와, 산화영역을 형성하도록 상기 필름을 광-패턴화시키는 단계와, 그리고 플라즈마로 사진-패턴화된 필름의 비산화 지역을 선택적으로 에칭함으로써 패턴화된 필름을 성장시키는 단계를 포함한다. 적절한 전구체들은 디메틸실란[(CH3)2SiH2](DMS), 트리메틸실란[(CH3)3SiH](TMS), 디에틸시란[(C2H5)2SiH2] 및 트리에틸실란[(C2H5)3SiH] 등을 포함한다. 진행된 산화 피막은 바이어들, 트렌치들과, 기판상에 장치의 제조용 구멍 또는 하나이상의 서브층(SUBLAYERS)을 에칭하기 위해 강성마스크로 이용하기 위한 구멍들을 포함한다. 다른 실시예에서, 플라즈마-중합체 피막에 형성된 산화 영역에 대한 에칭은 형성되는 플라즈마에서 산소의 농도에 따라 제어된다.
DMS로 부터 형성된 피막은 “PPDMS”로 지칭되는 반면에, TMS로 부터 형성된 피막은 “PPTMS”로 지칭된다. 본 발명의 증착 공정은 통상의 이용가능한 기판 공정장비를 이용하여 수행될 수 있다. 예를 들어, 상기 층은 미국 캘리포니아 산타 클라라의 어플라이드 머티어리얼스 인코포레이티드에서 제조된 프리시션 5000 또는 센트라 증착 시스템과 같은 클러스터 공구로 적층된다. 패턴형식의 노출은 네델란드 벨드호펜의 ASM 리더그래피 홀딩 N.V.에서 제조된 248nm의 ASML/300DUV시스템 또는 인터그레이티드 솔루션 인코포레이티드에 의해서 제조된 ISITM스텝퍼상의 193nm의 ASML/900DUV 시스템 또는 다른 노출시스템에서 수행된다. 패턴형식의 층은 어플라이드 머티어리얼스 인코포레이티드로 제조된 DPSTM챔버와 같은 플라즈마 에칭 챔버에서 형성된다. 본 발명의 공정은 서브 0.25um 디자인 규칙을 이용하여 제조된 장치에서 특징적인 크기의 패턴형식으로 사용된다. 본 발명의 실시예들로는, 해상도 향상기술을 이용하는 193nm으로 패턴형성될때, 0.10um이하로 특징적인 크기의 프린팅이 이루어진다.
본 발명은 개선된 라인 거칠기, 중합된 산화물의 두꺼운 층, 공기에서의 우수한 안정성, 높은 감광성을 제공하며, 보다 큰 개발형 에칭을 허용한다. 이렇게 개선되어 중합된 산화물은 종래 공지된 PPMS포토리소그래피 기술에 비해 우수한 임계치수의 균일성 및 제어를 제공한다. 또한, 스무드한 에지형을 갖으며, 뚜꺼운 중합체형 산화물층은 두 레벨 공정에서 상부층 마스크를 위해 또는 패턴-이송 에칭 공정을 위해 단일-층 저항 마스크와 같은 우수한 공정 마스크로서 사용된다.
1. 통상적인 단일층 건조의 포토리소그래피 공정
본발명이 보다 잘 이해되도록, 먼저 도 1a 내지 도 1e를 설명하면, 상기 도면들은 본발명에 따라 폴리실리콘 게이트를 형성하기 위해 네거티브 톤(negative tone) 적용, 단일 층 PPOS를 패턴 및 적층하기 위해 사용되는 시켄스를 나타내는 기판의 횡단면도를 나타낸다. PPOS층은 PPDMS, PPTMS 또는 플라즈마에 의해서 형성된 플라즈마-중합체형 유기실란층으로 구성될 수도 있으며, 상기 플라즈마는 적어도 두개의 Si-C본드 및 적어도 하나의 Si-H본드를 갖는 유기실란 전구체로 중합된다. 공정의 시켄스는 단순한 실시예에 불과한 것이지 본발명을 한정하는 것은 아니다. 예를 들어, 다른 재료들이 “낮은-k”(낮은 유전율의 상수)재료와 같은 유전성 재료 또는 금속 케이트 또는 상호 연결된 중간형 재료를 위한 금속층과 같은 PPOS층에 사용될 수도 있다. 다른 장치 및 장치의 특징이 형성될 수도 있다.
도 1a에는 게이트 산화물 층(10)이 실리콘 기판(12)상에 형성되며, 폴리실리콘 층(14)은 상기 게이트 산화물층위에 형성된다. 도 1b에는 PPOS층이 도시되어 있으며, 상기 PPOS층(16)은 플라즈마-형성의 CVD 공정에서 폴리실리콘 층위에 형성된다. 본 발명에 따른 PPOS 증착공정을 상세히 설명은 도 1a 내지 도1e에 대한 설명후 도 2를 참조하여 하기에 기술될 것이다.
도 1c에는, 에어 또는 산소 함유 가스 혼합물과 같은 산화제에서 패턴형 마스크(20)를 통해 화살표(18)로 대표되는 DUV 빛에 노출되는 PPOS층(16)이 도시되어 있다. 마스크의 불투과성 영역(22,24)은 PPOS층(16)의 선택된 부분(26,28)으로 부터 DUV 광선을 차단하지만, PPOS층(16)의 노출부분(30)은 PPOSO로 지칭되는 플라즈마-중합성 유기실란 산화물로 전환된다. PPOSO는 노출-산화된 PPOS층(16)으로 통상 불리어지며, 이것은 특정한 재료 구조물 또는 산화정도를 나타내는 화학량론에 한정되지 않는다. 최종 재료의 구조는 층의 단면에 따라 특히 변화될 수도 있다.
도 1d에는, PPOSO의 나머지 층(31)을 남기면서 플라즈마가 노출되지 않은 PPOS를 에칭하는데 바람직하게 사용되는 에칭공정이 도시되어 있다. 염소-함유 플라즈마는 PPOS 및 PPOSO재료사이에 선택되며, 즉 플라즈마는 PPOSO재료보다 더 빠르게 다른 속도로 PPOS 재료를 에칭한다. 이러한 에칭속도의 차이는 에칭 선택도로 공지되어 있다. 불소 또는 브룸과 같은 다른 할로겐 원소들이 염소에 첨가되거나 염소대신에 사용될 수도 있다. 이러한 방식으로, 노출된 PPOS층은 네거티브-톤 패턴층으로 변화될 수도 있다.
일반적으로, 에칭 선택도(예를 들어, 네거티브-톤을 위한 염소 함유 플라즈마 공정)가 높을수록, 모든 PPOS재료가 제거될때 보다 많은 PPOSO재료가 남게 된다. 하기에 보다 상세히 도시되는 바와 같이, 산소가 에칭 선택도를 증가시키기 위해 형성-에칭 플라즈마에 첨가될 수도 있다. “높은 대비(HIGH CONTRAST)”마스크 층은 형성후 남게되는 예비형성 에칭층의 오리지날 두께가 상대적으로 두겁게 된다. 패턴형(노출되고 형성됨)마스크 층의 두께 및 밀도는 에칭마스크가 폴리실리콘 게이트 영역을 한정하는 경우 공정 마스크로서 사용될 수 있다.
UV 치료 또는 산소-플라즈마 스트립 공정은 재료의 나머지를 SiO2로 전환시키기 위해 형성 에칭이 연속하여 이어진다. 하기에 보다 상세히 기술하는 바와 같이, 노출된 PPOSO 대 비노출된 PPOS를 위한 형성 에칭의 선택도는 형성중에 선택적으로 제어된다. 일반적으로, 형성 플라즈마에서 산소의 높은 농도, 높은 HBr:Cl2비율, 높은 챔버압력 및 낮은 RF바이어스 동력은 높은 선택도를 가져온다. 정상적으로, 높게 산화된 PPOSO층이 바람직하다. 그러나, 이러한 상황에서 포지티브하고 네거티브한 피쳐(FEATURE)들이 기밀히 이격되어 있으며, 노출된 영역 및 비노출된 영역 사이의 산화물-성분의 천이로 인하여 에칭공정중에 선택도를 제한하는 것이 바람직하며, 그렇지 않으면 비노출된 포토마스크 재료를 선명하게 하는 성능을 제한하는 것이 바람직하다. 더욱이, 형성 단계 후의 산화물은 실리콘 산화에 대한 재료의 잔유물을 전환하는데 UV치료 또는 산소-플라즈마 스트립 공정에 사용된다. 이러한 공정은 ASPTM챔버 또는 DxZTM챔버 또는 다른 시스템에서 사용되는 다른에서 수행되며, 상기 ASPTM챔버는 기판에 대한 이온의 파손을 방지하기 위해 떨어져 있는 마이크로웨이브 플라즈마 발생기를 이용한다. 상기 ASPTM챔버 또는 DxZTM챔버는 어플라이드 머티어리얼 인코포레이티드에서 사용하고 있다.
도 1e에는, 폴리실리콘 게이트(32)를 에칭하기 위해 공정마스크로서 PPOSO층(31)을 사용하는 것이 도시되어 있다. 통상의 Cl2/HBr/O2-계 플라즈마는 종래기술에서 공지된바와 같이 본 공정에서 사용된다. 상기 횡단부는 스케일 형성되어 있지 않으며, 층의 두께는 단지 설명을 위해 도시한것에 불과하다. 이러한 공정에서, 게이트 유전 층(10)은 패턴형-이송 공정중에 에칭되지 않는다.
도 2에는, 패턴형 PPOS층을 형성하는 공정(300)의 단순한 플로우 챠트가 도시되어 있다. 도 2에서, 적어도 두개의 Si-C본드 및 적어도 하나의 Si-H 본드를 갖는 유기실란의 전구체는 집적회로의 제조중에 기판을 포함하는 공정 챔버에 제공된다(단계 302). 플라즈마는 유기실란 전구체로 부터 형성되고(단 304), PPOS층은 기판(306)상에 형성된다(단계 306). PPOS층의 선택된 부분은 층의 적어도 일부를 산화하기 위해 광선에 노출된다(단계 308). 노출된 PPOS층은 층의 선택적인 제거를 위해 플라즈마 에칭 공정(단계 310)에서 형성된다.
본 발명에 따르면, 단계 310에서 형성된 PPOS층은 디메틸실란 또는 트리메틸실란과 같은 고-탄소 유기 전구체로 부터 형성된다. 그러나, 다른 고-탄소 유기실란 전구체가 적어도 두개의 실리콘-탄소 본드 및 적어도 하나의 실리콘-수소 본드를 갖는 실리콘원자를 포함하는 전구체로 이루어질수 있다. 이러한 다른 전구체는 디에틸실란, 트리에틸실란, 디프로필실란, 트리프로필실란등을 포함한다. 일반적으로, 액체 공급원은 액체공급원을 증기화하는데 관련된 하드웨어 비용이 고가로 인하여 사용하지 않고, 가스 공급원을 사용하는 것이 바람직하다. 또한, 최종 PPOS층에서 Si-Si본드를 형성하기 위해 보다 긴 사슬성분 및 강성의 플라즈마를 타격하기가 보다 어려우므로, 긴 사슬형 탄화수소들은 통상적으로 짧은 탄화수소보다 덜 바람직하다. 최종막에서 매우 적은 Si-Si본드들은 광선흡착에 대한 문제점과 낮은 산화 효율을 갖게되고, 또한 에칭 선택도가 낮으며 높은 노출을 필요로 하게 된다. 그래서, 테트라메틸살란 및 Si-H본드가 없는 다른 공급원은 본 발명에 따른 깊은-UV 포토리소그래피 공정용으로 바람직하지 않은 전구체가다.
본 발명에서는, 고-탄소 유기실란 전구체 가스로 부터의 PPOS층의 적층이 메틸실란층으로 부터 형성된 층보다 안정된 층(예를 들어, 산화에 대해 보다 큰저항을 갖는다)으로 되고, 보다 양호한 감광성층으로 이루어지는 것을 알수 있다. 증가되는 PPOS층에 대한 감광성은 특히 놀랄정도이며, 본발명에 따라 적층된 PPOS층을 PPMS층에서 보다 낮은 노출로 성공적인 패턴 및 형성을 하게 한다. 저노출은 전체적으로 상당히 개발되었음을 의미하지만, 이것은 193nm포토리소그래피 공정의 입장에서 볼때 특히 중요하다. 현재, 이용가능한 193nm 스텝퍼 장치들은 193nm의 깊은 UV 광선의 높은 도스들(doses)에 노출될때, 오버타임이 줄어드는 정교한 광학으로 구성된다. 이러한 광학은 스텝퍼의 매우 값비싼 구성요소이며, 193nm광선의 영향력에 매우크게 노출될때 소모되는 제품이다.
본 발명에 따라 적층된 PPDMS막은 193 또는 248nm의 UV 광선을 사용하여 성공적인 패턴 및 형성이 이루어진다. 본 발명의 임의의 실시예에서, 적층된 PPDMS 막들은 50mJ/㎠보다 작고 25cJ/㎠보다 큰 노출 도스에서 248nm을 이용하여 개발될 수 있다. 다른실시예에서, 적층된 PPDMS 막은 5 ~ 20mJ/㎠사이의 노출도스에서 193nm 광선을 사용하여 형성될 수 있다. 본 발명의 한 실시예에 따라 적층된 PPTMS 막은 5 ~ 20mJ/㎠사이의 노출도스에서 193nm UV광선을 사용하여 패턴 및 형성될 수 있다. 193nm UV광선의 높은 노출 도스는 PPDMS 또는 PPTMS막을 형성하는데 사용될 수 있지만, 이러한 노출 도스들은 본 발명자들에게 현재 알려진 193nm 포토리소그래피 공구의 값비싼 광학부품을 파손하지 않는다.
본 발명의 발명자들은 적절한 PPTMS층들이 센튜라 5200 플랫포옴상에서 작용하는 DxZ 챔버에서 8-인치 실리콘 웨이퍼상에 PPOS 층(16)으로서 형성될 수 있다. 그러나, 8인치 웨이퍼만이 사용되며, 6인치, 12인치 또는 다른형식의 웨이퍼들이 적절한 크기의 챔버에서 사용된다. 상기 센튜라 5200 플랫포옴에는 리소그래피용으로 준비될때까지 웨이퍼를 진공하에 유지시키고, 50웨이퍼들을 공정처리할 수 있는 두개의 로드로크(loadlocks)가 제공되어 있다.
상기 실시예에서 PPTMS의 층을 위해, 웨이퍼는 약 50 ~ 150℃사이의 온도로 가열되며, 가스 분포 매니폴드로부터 약 200 ~ 600밀(mil)거리로 이격된다. TMS는 약 10 ~ 500sccm사이의 유동율로 공급되며, 약 0 ~ 1000sccm 사이의 수소 또는 헬륨 유동으로 결합되며, 플라즈마는 약 100 ~ 500와트(13.56MHz)사이의 RF 동력 레벨로 형성되며, 챔버의 압력은 1.0 ~ 5.0토르(torr)사이로 된다. 최종 PPTMS 층들은 약 1.58 ~ 1.66의 굴절 인덱스를 갖는다.
아르곤, 질소 또는 다른 불활성 가스(예를 들어, 막내에서 상당량으로 결합하지 않는 구성요소를 갖는 가스)가 수소 및/또는 헤륨과 결합하여 사용될 수 있다. 놀랍게도, 적층 공정중에 챔버속으로의 수소 가스 유동은 PPTMS층과 관련된 수소의 량을 감소시킨다. 한 실시예에서, 질소 및 수소의 배합물은 배합물의 유동이 수소만을 사용하는 것 보다 값이 저렴하듯이 희석 가스로 지칭된다.
본 발명의 발명자들은 PPDMS막이 8인치 웨이퍼들용으로 제공된 어플라이드 머티어리얼의 DxZ 유전성 CVD챔버를 사용하여 적층될 수도 있음을 발견하였다. 실시예에서, PPDMS막은 1.0 ~ 2.0 토르의 압력에서 적층되며, RF전력의 50 ~ 400와트(13.56MHz)사이를 이용하여 플라즈마를 형성한다. 웨이퍼는 60 ~ 160℃사이의 온도로 가열되며, 275 ~ 425밀사이의 가스 분포 매니폴드로부터 이격된다. DMS는 50~250sccm사이의 유동율로 공급된다. 추가적으로, 수소, 헬륨,아르곤,질소 또는 다른 불활성 가스가 막의 성질을 미세하게 톤(tune)하도록 증착중에 사용될 수 있다. 한 특정공정에서 압력은 1.5토르이고, 동력은 150와트의 13.MHz 라디오 주파수 동력이고, 전극과 325밀 정도로 이격되고, 온도는 120℃, 유동은 100sccm의 디메틸실란이고, 100sccm의 수소이다. 이러한 공정 조건을 이용하면, PPDMS 막들은 두께의 편차가 1.5%(1σ)보다 작고, 굴절 인덱스의 편차가 ±0.01보다 작게 200mm웨이퍼 위로 증착된다.
PPDMS 또는 PPTMS 공정중의 어느 한 공정용으로, 증착 압력은 1.0토르 이사으로 유지하는 것이 바람직하며, 이것은 상업적으로 받아들일수 있는 막 증착율을 제공하기 때문이다. 실시예에서, 챔버는 각 증착후에 세정되는 것이 바람직하다. 예를 들어, 챔버는 각 증착후 원래의 CF4/N2O플라즈마 세정을 위해 600와트로 주행하므로서 세정된다. 모든 웨이퍼들이 공정처리된 후에, 로드로크는 질소가스를 사용하여 대기로 배출되며, 웨이퍼는 콘테이너 속으로 부하되지 않으며, 이용 될때까지 유동하는 N2가스로 세척된다.
2. 통상의 두-층 포토리소그래픽 공정
도 3a ~ 도 3f는 PPOS 전구체를 사용하는 두-층의 저항공정 단계를 설명하는 기판의 부분 단면도이다. 도 1a 내지 도 1e를 참조하면, PPOS층은 PPDMS,PPTMS 또는 적어도 두개의 Si-C 본드 및 적어도 하나의 Si-H본드를 유기실란 전구체를 중합하는 플라즈마로 형성된 플라즈마-중합된 유기실란으로 구성될 수도 있다.
도 3a에는, 산화층(200)이 기판(202)상에 형성된다. 실시예에서, 산화층은 열성장 또는 증착에 의해서 형성되는 실리콘 산화층으로 구성된다. 다른 실시예에서, 상기층(200)은 옥시니트라이드 또는 다른 유전체층, 금속층, 중간금속층 또는 폴리실리콘층과 같은 반도체층으로 구성된다. 포토리지스트 층(204)은 종래의 유기성 액체 포토리스트 또는 종래 기술에 따른 적절한 유기물질을 스핀(spin)하므로서 유전체층(200)상에 형성된다. 유기 하부층은 어둡게 표시된다. 유기 하부층의 감광성이 그 예에 따라 좌우되지 않고, 오히러 어둡게 표시된 유기 하부층의 공지된 성질 및 공정정도에 따라 좌우된다.
도 2b에는, PPDS(206)층은 상술된바와 같이, 포토리스트 층(204)위로 적층된다. 도 2C는 노출부분(208)부분이 DUV 광선에 노출된후의 PPOS층을 나타내며, PPOSO 및 비노출부분에 대해 적어도 일부분이 산화된다. 도 2d는 에칭의 형성 및 산소 플라즈마 스트립의 형성후 PPOS층의 노출부분을 나타낸다.
도 2e는 비등방성 산소 플라즈마 에칭이 PPOSO층(212)의 패턴을 어두운 유기 하부층에 전송하는데 사용된후 패턴형 어두운 포토리지스트층(214)을 나타낸다. 이러한 패턴의 전송 에칭은 유기 하부층 대 PPOSO이 100 : 1보다 크게 선택된다. 도 2f는 제 2 패턴-이송 에칭후 패턴형 산화층(216)을 나타내며, 상기 에칭은 어두운 포토리지스트 또는 다른 유지 하부층 마스크에 대한 산화에칭이다.상기 제 2 패턴 이송 에칭은 어두운 포토리지스트보다 어두운 포토리지스트 아래의 층에서 크게 이루어진다. 하부층과 PPOSO층 사이의 선택도가 낮으면, PPOSO는 하부층과 동시에 에칭된다. 실시예에서, 도 2e에는 PPOSO층이 도시되어 있지 않으며, 상기 PPOSO층은 산화층이 기판에 에칭되기전에 에칭되지만, PPOSO층은 제 2 패턴-전송 에칭의 선택도 및 PPOSO층과 하부층의 두께, 다른요소등에 좌우되지 않거나, 완전히 제거된다. 어두운 유기 하부층은 필요하다면 종래의 방법을 사용하여 스트립될 수 있으며, 추가의 공정이 기판상에서 수행될 수도 있다.
도 4에는 두-레벨 저항 공정을 위한 공정의 단수 플로우차트가 도시되어 있다. 프로세스층이 기판상에 형성된다(단계 402). 상기 가공 층은 산화물 층, 절연층, 금속층, 반도체 층, 또는 다른 형태의 층 또는 층과 재료의 조합일 수 있다. 포토레지스트 및 유기성 재료는 가공 층 및 하드 베이크(단계:404) 상에서 적용 예를 들어, 가공, 분사, 및 담금질된다. PPOS 층은 하드 베이크 포토레지스트 층(단계:406) 상에서 증착되고, 포토마스크를 통해 DUV 광에 노출되고(단계:408), 전술한 바와 같이 전개 에칭 공정에서 전개된다(단계:410).
제 1 패턴 전달 에칭은 하드 베이크 포토레지스트 층에 전개된 PPTMS 층의 패턴을 전달하기 위해 이용된다(단계:412). 제 2 패턴 전달 에칭은 하드 베이크 포토레지스트 층의 패턴을 가공 층에 전달하기 위해 이용된다(단계:414). 일부 경우에서, 제 1 패턴 전달 및 제 2 패턴 전달 에칭 공정은 특정 재료 및 이용된 에칭 화학약품에 따라 결합될 수 있다. 하드-베이크 포토레지스트 및 전개된 PPOS층의 임의의 잔여물은 종래의 방법(도시되지 않음)을 이용하여, 필요에 따라 제거될 수 있다.
Ⅲ. 전형적인 PPOS 증착 시스템
도 5a는 본 발명에 따라 기판 상에 플라즈마 중합식 유기성시레인("PPOS: plasma-polymerized organosilane") 층에 적합한 CVD 시스템(36)을 개략적으로 도시하고 있다. 상기 시스템은 챔버 벽(40a) 및 챔버 덮개 조립체(40b)를 포함하는 처리 챔버(40)를 갖는다. CVD 시스템은 기판에 가공 가스를 분산시키기 위한 가스 분포 매니폴드(42)를 갖추고 있으며, 가공 챔버 내의 가열된 받침대(44) 의 표면(38) 상에 놓여져 있다. 받침대는 웨이퍼가 포오트(43)를 통해 적재 또는 하역 될 수 있도록 (도시되어진 바와 같이)하부 적재/하역 위치와 가스 분포 표면 플레이트(46)에 인접한 (점선(45)으로 도시된)상부 처리 위치 사이에서 제어가능하게 이동될 수 있다. (도시되지 않은) 반대측 보드는 웨이퍼의 위치에 대한 정보를 제공하기 위한 센서를 포함하고 있다.
증착 및 캐리어 가스는 가스 분포판 또는, 표면 플레이트(46)의 천공된 구멍을 통해 챔버 내부로 도입된다. 더욱이, 증착 가공 가스는 (화살표(47)로 도시된) 입구 매니폴드(42), 천공된 블로커 플레이트(48), 및 그리고 나서 가스 분포 표면플레이트(46) 내의 구멍을 통해 챔버 내부로 유동한다.
도 5b는 CVD 시스템(36)의 부가적인 특징을 개략적으로 도시한 도면이다. 매니폴드(42)에 도달하기 이전에, 증착 및 캐리어 가스는 가스 공급원(50)으로부터 가스 공급 라인(52)을 통해 상기 가스가 혼합되어 매니폴드(42)로 보내지는 혼합 시스템(54) 내부로 입력된다. 일반적으로, 각각의 가공 가스용 공급 라인은 (i) 챔버 내부로 가공 가스의 유동을 자동 또는 수동으로 차단하는데 이용될 수 있는 (도시되지 않은) 일부 안전 차단 밸브, 및 (ii) 공급 라인을 통해 가스의 유동을 측정하는 (도시되지 않은) 질량 유동 제어기를 포함한다. 공정 내에 독성 가스가 이용될 때, 일부 안전 차단 밸브는 종래의 배치로 각각의 가스 공급 라인 상에 위치된다.
CVD 시스템 내에서 수행된 플라즈마-강화 증착 공정중에, RF 전력 공급원(56)은 표면플레이트와 받침대 사이의 원통형 영역 내에 플라즈마를 형성하기 위해 가공 가스 혼합물을 여기시키기 위해 가스 분포 표면 플레이트(46)와 받침대(44) 사이에 전력을 인가한다(상기 영역은 "반응 영역"으로 지칭되어질 것이다.) 플라즈마의 구성요소는 받침대(44) 상에 지지된 반도체 웨이퍼의 표면 상에 소정의 필름을 증착시키기 위해 반응한다. RF 전력 공급원(56)은 13.56 MHz의 RF 고주파(RF1)와 360 KHz의 RF 저주파(RF2), 또는 단일 주파수, 이 경우에는 13.56 MHz에서 혼합된 주파수 RF 전력 공급원에서 전력을 공급하기 위해 혼합된 주파수의 RF 전력 공급원에서 작동될 수 있다. 저항 가열되어진 받침대(44)는 소정의 온도에서 웨이퍼를 유지시킬 수 있다.
플라즈마 증착 공정중에, 플라즈마는 배기 통로(58) 및 차단 밸브(60)를 둘러싼 챔버 몸체(40a)의 벽을 포함한, 전체 공정 챔버를 가열시킨다. 소정 온도에서 챔버를 유지시키기 위해 가공 챔버의 벽 내의 통로(도시되지 않음)를 통해 액체가 순환될 수 있다. 챔버 벽의 온도를 제어하기 위해 이용되는 유체는 물을 기저로 한 에틸렌 글리콜 또는 오일을 기저로 한 열 전달 유체를 포함한다. 이러한 온도 제어는 바람직하지 못한 반응 생성물의 응축을 감소시키거나 제거시키기 위해 벽을 가열시킬 수 있으며, 오염인자가 냉벽의 진공 통로에서 응축되고 가스 유동이 없는 주기 중에 처리 챔버 내부로 되돌아 온다면 공정을 오염시킬 수 있는 가정 가스의 휘발성 생성물 및 다른 오염인자의 제거를 개선시킬 수 있다.
반응 생성물을 포함한 층 내에서 증착되지 않은 가스 혼합물의 나머지는 진공 펌프(도시되지 않음)에 의해 챔버로부터 진공화된다. 특히, 가스는 반응 영역 을 둘러싼 환형의 슬롯 형상의 오리피스(62)를 통해 환형의 배기 플리넘(64) 내부로 진공화된다. 환형의 슬롯 및 플리넘은 챔버의 원통형 측벽 및 원통형 챔버 덮개(66)의 바닥 사이의 간극에 의해 형성된다. 슬롯 오리피스 및 플리넘의 360°원형 대칭 및 균일도는 웨이퍼 상에 균일 필름을 증착시키기 위해 웨이퍼 상에 가공 가스의 균일한 유동을 달성하는 것이 중요하다.
배기 플리넘(64)으로부터, 가스는 배기 플리넘(64)의 측면 연장부(68) 아래로, 뷰잉 포오트(도시되지 않음)를 지나, 진공 차단 밸브(60)를 지나, 전방 라인(도시되지 않음)을 통해 외부 진공 펌프(도시되지 않음)에 연결되는 배기 출구(70) 내부로 유동한다.
일반적으로, 챔버 라이닝의 일부 또는 전부, 가스 입구 매니폴드 표면플레이트, 및 다양한 다른 반응기 하드웨어는 알루미늄, 양극처리된 알루미늄, 또는 세라믹 등의 재료로부터 제조된다. 이러한 CVD 장치의 예는 자오(Zhao) 등에 의해 제기된 미국 특허 제 5,558,717호에 기술되어 있다. 상기 특허는 반 발명의 양수인인 어플라이드 머티어리얼스, 인코포레이티드에 양도되었으며 참고로 본 명세서에 기술되어 있다.
차단 밸브, 유동 제어기, 트로틀 밸브, RF 전력 공급원, 및 챔버 및 기판 가열 시스템 등의 CVD 시스템(36)의 다양한 성분은 제어 라인(74)을 넘어 시스템 제어기(72)에 의해 제어되며, 그 중 일부만이 도시되어 있다. 제어기는 제어기의 제어하에서 적정한 모터에 의해 이동되는 트로틀 밸브 및 서셉터 등의 이동가능한 기계식 조립체의 위치를 결정하기 위해 광학 센서로부터 피드백 상에 놓여져 있다. 바람직한 실시예에서, 제어기는 하드 디스크 드라이브, 플로피 디스크 드라이브, 또는 ROM 카드, 및 프로세서(78) 등의 메모리(76)를 포함한다. 메모리는 CVD 시스템을 특정 형태로 배치시키고, 특정 공정을 수행하기 위한 소프트웨어 프로그램으로 로딩될 수 있다.
전술한 반응기에 대한 기술은 주로 예시적인 목적으로 설명되어 있으며, 전자 사이클로트론 동조(ECR) 플라즈마 CVD 장치, 유도 결합된 RF 고밀도 플라즈마 CVD 장치 등의 다른 CVD 플라즈마 설비가 이용될 수 있다. 부가적으로, 받침대 설계, 가열기 설계, RF 전력 주파수, RF 전력 연결기 및 그 외의 변형 등의 전술한 시스템의 변형이 가능하다. 예를 들어, 웨이퍼는 서셉터에 의해 지지될 수 있으며, 석영 램프에 의해 가열된다. 본 발명에 따른 층 및 층을 형성하기 위한 방법은 임의의 특정 장치 또는 임의의 특정 플라즈마 여기 방법에 제한되지 않는다.
Ⅳ. 전형적인 전개 에칭 시스템
도 5c는 패턴-전달 에칭 등의 다른 에칭 단계 뿐만 아니라 건식 석판 공정에서 개선된 에칭 단계를 수행하기 적합한 에칭 시스템(80)을 개략적으로 도시하고 있다. 이러한 시스템은 아치형상, 비 도전성 또는 절연 실링(84)을 포함하며, 웨이퍼 받침대(86)와 마주하여 이격된 겹쳐진 관계로 지지된 돔형, 바람직하게 다중 반경을 갖는 돔일 수 있다. 실링의 중심부는 코일(90)에 대해, 그리고 웨이퍼 받침대(86)에 대해 중심을 맞추고 있으며, 반도체 웨이퍼 또는 다른 기판(92)을 지지하기 위해 배치되어 있다. 처리 가스 공급원(94)은 가스 입구(96)를 통해 챔버로 가스를 공급한다. 펌프(98)는 처리 가스 공급원과 함게 챔버 압력을 제어하며, 지정된 압력에서 챔버를 배기시킨다. 또한, 펌프 및 가스 공급원은 고정 또는 변경 지정된 챔버 압력에서 챔버를 통해 지정된 가스 유량을 제공하기 위해 조절될 수 있다.
플라즈마 공급원 전력 공급원(100)은 RF 매칭 네트워크(102)를 통해 코일(90)에 RF 에너지를 제공한다. RF 전력은 "고온(hot)" 탭(104) 에서 코일에 제공되며, 코일은 접지 탭, 또는 탭(106,108)을 통해 접지되며, 플라즈마 공급 전력 공급원으로 회로를 종결한다. 고온 챕 및 접지 탭의 위치는 예로서만 주어져 있으며, 위치 또는 극성은 챔버 내에서 소정의 플라즈마 밀도 분포에 따라 변경될 수 있다. 접지 차폐물(112)은 코일 부분을 둘러싸고 있다.
받침대(86)는 챔버의 챔버 기저부(120) 및 받침대의 외부 접지 부분(116)으로부터 전기적으로 분리된 내부 도전성 부분(114)을 포함한다. RF 바이어스 공급원(118)은 RF 에너지를 받침대의 내부 도전성 부분(114)에 제공한다.
코일(90)에 제공된 RF 공급 전력의 일부는 코일의 부근에 비교적 고 밀도의 플라즈마를 형성하기 위해 비 도전성 챔버 실링(84)을 통해 결합된다. 고 밀도 플라즈마는 코일의 부근에서 비교적 고 플라즈마 밀도 변화를 초래한다. 그러나, 기판(92) 부근의 플라즈마는 이온 확산 및 다른 요소로 인해 밀도가 보다 균일하다. 더욱이, 플라즈마의 생성은 일반적으로 열, 고 에너지 복사, 반응성 플라즈마 종, 및 공정 웨이퍼에 손상을 가할 수 있는 바람직하지 못한 생성물을 수반한다. 이러한 챔버에서, 플라즈마의 발생은 기판으로부터 떨어져 발생하며, 그로 인해 플라즈마는 플라즈마 발생 환경으로부터 웨이퍼의 완충물이 된다. 이러한 종류의 플라즈마는 결합이 해제된 플라즈마로 지칭된다.
RF 바이어스 공급원(118)은 기판(92)의 표면에 직각인 교차 전기장을 발생시킨다. 이러한 전기장은 웨이퍼의 표면을 이동하는, 이온 등의 플라즈마 종을 가속화시킨다. 상기 이동성 이온은 이방성 공정을 초래하기 위해 기판의 표면과 물리적으로 스퍼터링하거나 반응한다. 예를 들어, 선택적인 에칭 종은 RF 바이어스 없이 얻어질 수 있는 것보다 보다 높은 종횡비로 트렌치를 에칭하기 위해 편이될 수 있다.
바람직하게, 코일(90)은 다중 반경 형상으로 감겨져 있으나, 다른 형상을 추가하여 우측 원통형 형상 및 평면 형상 사이에서 변경될 수 있다. 바람직한 다중 반경의 코일은 실링(84)의 다중 반경 곡률과 등각이나, 비 등각일 수도 있다. 바람직한 실시예에서, 코일의 중심부(122)는 거의 편평하며, 실링의 중심부에 가장 근접해있다.
CVD 시스템(36)에서와 같이, 에칭(80) 시스템의 다양한 성분(예를 들어, 가스 차단 밸브 및 유동 제어기(도시되지 않음), 펌프(98), 플라즈마 전력 공급원(100), 및 RF 바이어스 전력원(118))은 시스템 제어기(도시되지 않음)에 의해 제어된다. 일부 실시예에서, 시스템 제어기(72)는 CVD 시스템(36) 및 에칭 시스템(80)을 제어할 수 있다.
에칭 및/또는 패턴 전달 에칭에 적합한 예에 대한 보다 완벽한 설명은 어플라이드 머티어리얼스, 인코포레이티드에 의해 계류중에 양도된 1998년 5월 19일 허여된 미국 특허 제 5,753,044호에 나타나 있으며, 본 명세서에 기술되어 있다. 물론, 다은 형태의 에칭 시스템 또는 공정이 정전결합된 에칭 시스템, 바이어스가 있건 없건간에 원격의 플라즈마 에칭 시스템, 또는 습식 에칭 시스템 등에서 이용될 수 있다.
Ⅴ. PPMS 필름을 PPTMS 및 PPDMS 필름과 비교한 실험 결과
도 6은 기판의 산화물 층(126) 상에 PPMS(130)의 전개 라인 및 노출된 주사 전자 현미경 사진("SEM")을 도시하고 있다. 상기 라인은 0.15㎛ 라인 간극 상에 형성된다. PPMS 층은 DxZ 챔버 내에서 대략 2000Å 두께로 증착되며, 개선 공정은 40% 초과 에칭 시간이 포함된다. 즉, PPMS가 개선 에칭 시간(t)중에 노출되지 않은 영역으로부터 제거된 이후에, 개선 에칭은 나머지 PPMS의 비 노출 영역을 없애기 위해 추가의 "과다에칭" 시간(0.4*t)이 계속된다. 전술한 바와 같이, 노출 변화는 일반적으로 의도된 패턴을 어느 정도로 복원시키는 과다에칭 기술을 이용하여 노출 및 비노출 영역 사이에서 개선된다. 그러나, SEM 에서 도시되어진 것과 가타이, 잔여 PPMOS 층의 두께는 다소 얇은 것으로 여겨진다. 이는 부분적으로 과다에칭이 행해지나, PPMS/PPMSO의 노출 및 저 선택도 개선 특성으로 인한 것이다. SEM은 PPMSO 라인(130)의 엣지(128)가 균일하지 않음을 도시하고 있다.
본 발명자는 플라즈마 중합 증착 공정 중에 MS의 수소 함량이 PPMS 필름의 안정성에 영향을 미치는 것으로 가정하였으며, 수소 함량이 감소하게 되면, 필름은 보다 안정화된다. 플라즈마를 수소 가스로 희석시킴으로써 PPMS 필름 내에 함유된 수소를 감소시키기 위한 첫번재 실험은 중합 공정중에 MS에 의해 방출된 수소와 결합하기 위해 보다 반응성을 갖는 종을 제공한다. 두번째 실험은 수소를 배출하고 중합을 증진시키기 위해 증착 온도를 증가시킨다. 두가지 실험은 PPMS 층 상에 표면 스킨의 형성을 초래하나, 재료는 공기중에서 산화된다.
본 발명자는 MS보다 더 메틸 군을 포함하는 전조 가스를 시도했으며, 실리콘 중합체는 수소 원자 대신에 메틸 군으로 종결되어, 공기중에서 보다 안정하게 되며, 메틸군은 종결 수소보다 공기에서 산소와 덜 반응하는 경향이 있다. 디메틸시레인 및 트리메틸시레인은 안정성을 위해 증착되고 측정된 각각의 PPDMS 및 PPTMS 층 및 전조 가스로서 선택된다.
안정성을 측정하기 위한 한 방법은 시간의 경과에 따라 중합된 실리콘 층의 굴절율("RI")을 측정하는 것이다. 안정한 재료의 RI는 일정하게 유지되는 반면에, 불안정한 층의 RI는 층이 산화(대기에 노출)됨에 따라 감소되는 경향이 있다. 도 7은 PPMS 공정 층(132)의 RI를 PPDMS 공정 층(134) 및 PPTMS 공정 층(136)의 RI 에 대해 비교한다.
층의 안정성은 단계식, 또는 단 및 주사, 노출 공정에서 특히 중요하다. 단계식 노출 공정은 전체 웨이퍼가 노출될 때까지 웨이퍼를 가로지른 마스크를 단을 이루는, 웨이퍼의 일부분을 한번에 노출시킨다. 8인치의 웨이퍼를 가로질러 단을 이루고 주사된 노출은 다이 크기 및 각각의 다이에 대한 요구되는 사용량에 따라 1 내지 2분이 소요된다. 이는 일반적으로 종래의 스펀-온 포토레지스트가 갖는 문제점이 아니다. 그러나, PPMS로, 전체 웨이퍼가 일반적으로 전체 단계식 노출 공정중에 공기에 노출됨으로 인해, 종래 단계에서 노출된 PPMSO는 계속되는 단계들이 농출됨에 따라 계속해서 산화된다. 따라서, 제 1 노출 단계는 15분에 이르도록 계속해서 산화되거나, 또는 최종 단계가 노출되기 이전에 장시간동안 계속될 것이다.
예시적인 PPMS 층에 대해 공기 산화 주기는 대략 20 mJ/cm2의 노출 사용량의 변화와 동일한것으로 측정되었다. 즉, 각각의 단계가 60 mJ/cm2의 DUV 광에 노출된다면, 노출된 최종 단계의 시간에 의해 제 1 단계는 80 mJ/cm2의 노출에 상응하는 산화도를 가질 것이다. 동시에, 노출되지 않은 PPMS는 노출된 PPMS보다 더 신속한 속도에서 산화되며, PPMS 층의 노출 부분과 비노출 부분 사이에 최종 대비를 감소시킨다. 일부 실시예에서, 노출 사용량을 웨이퍼의 단을 가로질러 변경시킴으로서 적어도 부분적으로 보상되도록 가능하다. 치종 또는 소정의 산화 정도가 근소한 노출 사용량, PPMS 층의 중합 정도, PPMS 증착 동정의 온도, 주위 대기로의 노출, 노출 파장, 총괄 단계식 노출 시간, 및 PPMS 층의 두께 들을 포함한, 일부 요소에 따라 달라지게 된다. 이는 노출의 조절이 다른 적용예에서 변경됨을 인지할 것이다.
도 7은 층이 공기에 노출될 때 PPDMS 층(134) 및 PPTMS 층(136)의 RI가 비교적 안정한 반면에, PPMS 층(132)의 RI는 시간이 경과함에 따라 감소됨을 되하고 있다. 임의의 특정 이론에 제한되는 것은 아니지만, PPDMS 및 PPTMS층은 PPMS 층의 수산화물 종결보다 주기 공기의 산화에 보다 저항적인 것으로 여겨진다.
본 발명이 PPDMS 및 PPTMS 층이 PPMS 층 보다 안정됨을 가정하고 있더라도, PPTMS 층은 PPDMS 및 PPTMS 층보다 더 작은 노출량을 갖는 것으로 여겨진다. 상기 확신은 수소에 의하여 PPOS 필름이 광산화 작용에 더욱 민감하게 된다는 적어도 공지된 부분에 의한 것이었다. 그러므로, 상기 필름으로부터 수소를 제거하는 것은, 상기 필름을 더욱 안정하게 하는 반면, 상기 필름의 광 산화 민감도를 또한 감소시킨다. 그러나, 놀랍게도, PPDMS 및 PPTMS 층은 감소된 광 민감도보다 증가된 광 민감도를 보여주었으며 유용한 에치 공정의 선택에 의하여 더 높은 에치 선택성으로 발전시킬 수 있었다.
도 8은 PPTMS 층이 PPMS 층보다 특별한 노출 파장(도 8의 193 mm)에서 대기중에서 더욱 안정할 뿐만 아니라 더욱 광에 민감하다는 것을 도시한다. 하나가 더욱 안정된, 더 적은 산화 경향, 층은 상기 노출 공정동안 더 적게 산화될 것이라고 생각될 수 있는 반면, 도 8은 이것은 TMS로부터 형성된 필름을 위한 케이스가 아니라는 것을 보여준다. 도 8은 TMS(140)로부터 형성된 필름의 일련의 노출 및 MS(142)로부터 형성된 필름의 일련의 노출에 대한 193nm(138)에서 노출된 저항 두께(136)(노출에 의하여 변환된 증착 두께의 %) 대 노출 조사량(exposure dose)을 보여준다. 두 개의 필름은 약 2,000 Å의 초기 두께에 증착되었다. PPTMS 곡선의 급한 경사(144)는 TMS 전구체로부터 제작된 필름이 더 많이 대비되는 PPMS 곡선의 완만한 경사(146)보다 노출 조사량에 더욱 민감하다는 것을 표시한다.
도 8에 도시된 PPTMS 필름의 또 다른 특징은 노출된 저항 두께의 주어진 정도에 대해 요구되는 노출 조사량이 PPTMS 필름에서 상당히 적다는 것이다. 예를 들면, PPTMS 필름에서 약 40 % 노출된 저항 두께를 달성하기 위하여, 약 20 mJ/㎠만이 요구된다. 비교시, 동일한 노출된 저항 두께에서 약 64 mJ/㎠의 노출 조사량이 든다. 요구된 노출 조사량을 낮출 수 있는 것이 바람직하며, 이는 전술된 것으로서 DUV 공급원, 특히 렌즈가 더 높은 에너지 수준에서 이용될 때 더 빨리 손상되기 때문이다. 낮은 노출 시간은 또한 공정 시간을 단축하며, 이는 8 인치 또는 12 인치 웨이퍼와 같은 큰 웨이퍼에 종종 이용되는 단계식 노출(stepped exposures)에 특히 중요하다.
그러므로, PPTMS 필름이 더욱 안정하며 더욱 광에 민감할 뿐 만 아니라 PPTMS 필름은 또한 공정 시간을 단축하며 노출 장치의 수명(웨이퍼의 손상 전)을 향상시킨다. 임의의 특별한 이론에 대한 결론을 제한하지 않는 반면, PPOS 층으로 부가 탄소를 혼합하는 것은 산소에 대한 층의 투과도를 증가시킨다. 상기 효과는 수소 함량의 낮춤의 민감도를 감소시키는 효과를 대등하게 하거나 능가하며 필름이 실제적으로 광 산화에 더욱 민감하게 한다.
Ⅵ. 푸리에 변환 적외선 분석의 결과
MS로부터 형성된 층에 대비된 TMS로부터 형성된 층의 메틸 그룹의 상대적인 증가는 도 9 및 도 10에 도시된다. 도 9 및 도 10은 다양한 처리 단계후 TMS로부터 증착된 층 및 MS로부터 증착된 층에 수행된 푸리에 변환 적외선 분석("FTIR")의 그래프이다. 상기 그래프의 x축은 파동수이며, 분석된 재료에서 존재하는 상이한 화학적 결합의 결합 강도에 일반적으로 관련되며, y축은 상대적인 진폭이며 피크가 높을 수록 결합의 특별한 형태의 더 많은 존재를 나타낸다.
도 9는 3개의 곡선(151, 153, 및 155)을 보여준다. 제 1 곡선(151)은 증착된 PPTMS 층을 나타내며 메틸 그룹의 존재를 표시하는 매우 높은 탄소-수소 피크(152) 및 층의 많은 수의 메틸 그룹과 일치하는 매우 높은 실리콘-탄소 피크(154)를 보여준다. 제 2 곡선(153)은 DUV 노출후 PPTMS 층을 나타낸다. 탄소-수소 피크(157) 및 실리콘-수소 피크(159)는 증착된 곡선(151)에 비하여 다소 감소될 수 있다. 제 3 곡선(155)은 ASP 챔버에서 산소 플라즈마 스크립 공정후 PPMSO 필름을 나타낸다. 임의의 결과는 O-H 피크(167)에 의하여 증명된 바와 같이 수산기 그룹이다. 현저한 C-H 피크의 부족은 대부분의 메틸 결과는 제거됨을 보여준다. 이 특정 예는 TMS 전구체로부터 발생된 반면, 다른 전구체는 안정적이고 광에 민감한 필름을 형성하기 위하여 이용될 수 있으며, 이 같은 필름은 전개 에치 조건(development etch conditions)에 따라 선택적인 대비를 보여준다.
도 10은 도 9에 도시된 것과 유사한 공정 후 MS로부터 형성된 층을 나타내는 3개의 곡선(172,173, 및 174)를 보여준다. 증착 필름을 도시한 제 1 곡선(172)은 매우 낮은 탄소-수소 피크(156)을 가지며 실리콘-수소 피크(158)이 매우 높은 반면, 상기 필름(도 9, 152에 비하여)에서 메틸 그룹의 저 농축을 표시한다. 제 2 곡선(173)은 공기내의 248 nm에서 50 mJ/㎠의 노출 후 동일한 필름을 보여준다. 실리콘-산소-실리콘 결합(164)을 나타내는 피크는 상승하며, 실리콘-수소 피크(166)는 제거되며, 폭이 넓은 피크(168)에 의하여 나타나는 산소 또는 수산기 그룹이 터미널 수소 원자(terminal hydrogen atoms)로 대체된다. 제 3 곡선(174)은 90 초 산소 플라즈마 스트립 공정후 층을 보여준다.
도 11은 기판의 산화물 층(178)상의 PPTMS(176)의 노출되고 전개 라인(developed lines)의 SEM이다. 상기 라인은 0.16 ㎛ 라인 간격 상에 형성되며, 이는 도 6에 도시된 PPMSO 라인으로서 비교의 목적을 위하여 필수적으로 동일하다. PPTMS 층은 DxZTM챔버에 약 2,000 Å의 두께로 증착된다. 두 개의 특징이 도 11 및 도 6을 비교할 때 주목할 만하다. 제 1 특징은 전개 PPTMS 라인의 평탄 에지(smooth edges)에 비하여 전개 PPMS 라인의 입도(granularity)이다. 제 2 특징은 전개 PPMS 라인의 두께(높이)에 비하여 PPTMS 라인의 두께이다.
임의의 특별한 이론에 대한 결과를 제한하지 않는 반면, MS가 더 긴 규소-규소 체인으로 더욱 중합되기 때문에 PPMS는 더한 입상 전개 층을 초래한다. 비교시, TMS는 더 짧은 실리콘-실리콘 결합의 체인을 형성하며 전개 및 산화 동안 더욱 비결정으로 남는다. 실리콘-실리콘 중합체의 실질적인 양은 중합 시레인 층의 노출 영역과 비노출 영역 사이에서 콘트라스트를 하는 것이 바람직하므로, 긴 규소-규소 체인으로 중합될 것이기 때문에 향상된 사진 석판의 결과는 DMS 및 TMS를 얻을 수 있다. PPTMS 라인의 더 많은 잔여 두께는 더 높은 대비에 기인한다.
도 12는 도 9에 도시된 것과 동일한 FTIR 곡선(151)을 보여주며 또한 증착된 PPMS(163) 및 PPDMS(165) 층에 대한 FTIR 곡선을 보여준다. 도 12에서 명백한 바와 같이, PPDMS 층(165) 및 PPTMS 층(151)은 PPMS 층(163)보다 더 높은 탄소 함량 및 더 낮은 수소 함량을 포함한다.
Ⅶ. 중합 메틸 시레인에 대한 전개 공정
증착 및 노출 단계에 부가하여, 전개 에치 공정은 또한 건조 사진 석판 공정을 위하여 최적화 될 수 있다. 특히, TMS로부터 형성한 중합 시레인 층의 노출부와 비노출부 사이에 전개 에치 선택은 전개 에치 플라즈마에서 존재하는 산소의 양을 제어함으로써 약 1:1과 약 1:1000 사이에서 변화될 수 있다. 챔버 압력, 플라즈마 공급 전력, 플라즈마 바이어스 전력, 및 플라즈마에서 다양한 다른 종류의 농축과 같은 다른 매개 변수는 도한 선택에 영향을 미친다는 것을 알 수 있다. 그러나, 선택은 플라즈마 에치 챔버로의 산소 가스(O2) 또는 오존, 수증기, 또는 헬륨, 또는 아르곤과 같은 불활성 가스로 회석된 산소다른 산소-공급 가스의 총 흐름에 민감하다. 산소 가스의 경우, 1:1의 선택은 임의의 에치 조건하에 전개 에치 플라즈마에서 무산소로 얻을 수 있다.
약 0-200 sccm HBr, 약 0-200 sccm Cl2, 및 약 2-20 % O2를 포함하는 챔버내로 유입된 전개 에치 가스는 특히 바람직하다. 일 실시예에서, 150 sccm HBr, 30 sccm Cl2, 및 8 sccm O2를 포함하는 에치 가스 유동률은 약 100의 콘트라스트를 발생한다. 챔버 압력은 약 4 내지 15 mTorr 사이가 바람직하며, RF 공급 전력은 8 인치 웨이퍼에 대해 약 100 내지 1,000 Watts 사이이며, RF 바이어스 전력은 약 5 내지 100 Watts 사이이며, 웨이퍼는 DPSTM챔버에서 약 5 내지 50℃ 사이의 온도로 가열된다. 이 에치 플라즈마는 도 1A 내지 도 1E에 도시된 바와 같이, 중합 TSM 층에서 (-)톤 프린트로 개선될 뿐만 아니라 하부에 놓이는 폴리실리콘 층을 효율적으로 에치한다.
또 다른 실시예에서, Cl2와 O2의 혼합물은 노출된 PPTMS 층을 에치하기 위하여 이용된다. O2함량은 총 유동률의 약 0 내지 50 % 사이에서 가변된다. 약 20 % O2흐름은 약 1:100의 콘트라스트를 발생하는 것으로 기대된다. 전술된 바와 같이, 오존 또는 수증기와 같은 2원자 산소 가스가 아닌 산소 공급 플라즈마 전구체는 전개 플라즈마의 산소 농축을 증가시키기 위하여 이용될 수 있으며, 유사하게, HCl 또는 F2와 같은 다른 할로겐 공급원이 다른 에치 공정에 이용될 수 있다.
PPDMS 필름은 PPMS 및/또는 PPTMS 필름보다 전개 조건의 더 넓은 범위를 제공한다는 것을 발명가는 발견하였다. 이것은 직접 회로 제작자를 위한 상당한 장점을 제공하는데, 이는 수개의 상이한 전개 조건가 에치 균일성을 최적화 하기 위하여 가변 및 최적화될 수 있으며 적절한 차원 균일성을 보정하거나 얻을 수 있을 때이다.
도 13은 두 개의 상이한 PPDMS 전개 공정에 대한 248 nm 노출 조사량(0의 조사량에서 비노출 필름)의 함수로서 전개 공정(초기 증착 1500 Å)후 잔여 필름의 양을 보여준다. 패턴된 PPDMS 필름의 성공적인 전개는 필름의 노출 영역과 비노출 영역 사이의 콘트라스트(잔여 필름 두께 차)와 같은 것을 생성하기 위하여 에치 공정의 능력에 의한다. 도 13에서, 곡선(250)은 낮은 노출 조사량에서 높은 콘트라스트를 제공하기 위하여 설계된 전개 공정을 나타낸다. 한편, 라인(252)은 매우 적은 콘트라스트를 가지는 공정이다.
폴리 실리콘 에칭을 위해 이용되는 전개 공정 평행 조건를 위해, 일반적으로 관찰된 경향은 압력을 증가시키며 HBr 대 Cl2율을 증가시키며 웨이퍼 척에 인가되는 바이어스 전력을 감소시킴으로써 개선된다. 선택은 또한 산소의 유동에 매우 민감한게 되는 것으로 알려져 있다. 산소의 작은 증가는 노출 재료에 대한 현저하게 증가되는 비노출 재료의 유동이지만, 수용할 수 없는 저 수준 및 실제적으로 감소된 선택에 대한 양 필름의 감소된 에치율은 임의의 역 증가 산소를 넘어 유동한다.
발명가는 패턴된 PPDMS 필름 전개가 공정 조건의 상대적으로 넓은 범위에서 달성될 수 있다는 것을 발견하였다. 에치 공정은 다양한 플라즈마 화학물, 즉 a) 염소만, b) 염소 및 산소, c) 염소 및 수소 브롬화물(현재 바람직한 공정), d) 염소, 수소 브롬화물 및 산소, 및 e) 헬륨 또는 아르곤 희석물을 가지는 상기 화합물중 임의의 화합물을 이용하여 필름의 노출 영역과 비노출 영역 사이에 콘트라스트를 생성할 수 있다. DPS 챔버에서, 대응 가스 유동의 범위는 1 내지 200 sccm 염소, 1 내지 400 sccm 수소 브롬화물, 1 내지 50 sccm 산소, 1 내지 200 sccm 헬륨, 1 내지 400 sccm 아르곤이다. 다른 에치 공정 조건는 또한 상대적으로 넓은 범위로 변할 수 있다. 즉 1 내지 100 mTorr, 100 내지 2000 Watt 유도적으로 결합되는 전력, 1 내지 200 Watt 바이어스 전력, 10 내지 70℃ 음극 온도의 범위이다.
PPDMS 필름 개선은 표면으로부터 산화 재료를 자발적으로 제거하기 위하여 낮은 선택(돌파) 단계의 이용을 요구하지 않는다는 것이 중요하다. 후자는 플라즈마 중합 메틸시레인(PPMS) 필름에 상대적으로 증가된(PPDMS) 필름 안정성에 기인한다. 더욱이, 전개 PPDMS 필름은 노출 조사량의 감소와 함께 PPMS에 적용된는 기준라인 공정에 상대적으로 라인 에지 거침의 감소를 보여준다.
Ⅷ. 트리메틸스레인 중합체 2층 패턴 전이 층
도 14는 2층 패턴 전이 공정에서 전개된 PPTMS 층의 이용을 보여주는 SEM이다. PPTMSO(180)의 층은 유기 재료(182)의 층에 전개된다. 이 예에서, 유기 재료는 약 5,000 Å 두께의 딱딱하게 구워진 포토리지스트(photoresist)이지만, 폴리아미드, 폴리아클릭 에테르("PAE"), 플레어(FLARE), 또는 실크 또는 다른 낮은 유전 상수 물질과 같은 다른 유기 재료일 수 있다. PPTMSO는 그때 기판(184) 하부로 유기층을 에치하기 위하여 이용되는 염소-브롬화물-산소-포함 플라즈마에서 전개되었다. 상기 패턴은 320 ㎛ 피치에서 0.10 ㎛ 라인 간격이다. PPTMS 층의 노출은 약 193 nm에서 약 28 mJ/㎠이다. 동일한 공정 순서에 의한 절연 라인은 약 6 nm에서 3-시그마 라인 에지 거침("LER")을 가진다.
도 15는 0.32 ㎛ 피치에서 0.16 ㎛ 라인 간격으로 딱딱하게 구워진 포토리지스트(188) 층상의 PPTMO(186) 층의 SEM이다. 이 예에서 PPOS 층은 32mJ/㎠의 조사량에서 노출되었다. 근접한 간격 라인은 6mm의 3 시그마 LER이다. PPTMS 층으로부터 특히 포토리지스트층인 유기층으로 패턴을 변환하는 것은 패턴, 표준, 포토리지스트 층을 가진 웨이퍼를 생산하기 위하여 이용된느 DUV 노출 기술을 허용한다. 많은 연속적 제조 공정은 높은 노출 파장에서 패턴된 포토리지스트 층을 이용하여 전개되며, 그러므로 비록 다른 공정은 패턴 PPTMSO의 층으로 작업하기 위하여 전개될 지라도, 이같은 패턴 층을 형성하는 것이 임의의 예에서 바람직하다.
도 16은 딱딱하게 구워진 포토리지스트(192) 층상의 패턴 PPTMSO 층의 SEM이다. 전술된 방법에 따라, PPTMSO는 노출 및 전개되었으며, 패턴은 포토리지스트로 변환되었다. 후속적으로, PPTMS0 및 포토리지스트의 패턴은 종래의 건조 에치 공정에 의하여 폴리실리콘 층(194)로 변환되었다. 폴리실리콘 에치 공정은 제조공정에서 게이트 유전층이 될 수 있는 산화 층(196)에서 중단된다. 산화 층은 기판(198)에 형성되었으며, 이 예에서 실리콘 웨이퍼이다.
도 17은 0.10 ㎛ 격리된 0.10 ㎛ 폭 라인을 보여주는 193 nm 패턴 PPDMS 2층 저항의 SEM이다. 이 예에서 PPDMS는 15mJ/㎠의 조사량에 노출된다.
도 17에 도시된 바와 같이, 본 발명의 방법에 따라 증착된 PPDMS 필름은 0.10 ㎛의 선명도를 가지는 특징을 형성하기 위하여 성공적으로 이용된다.
전술된 것이 본 발명의 선택적이고 바람직한 실시예의 상세한 설명을 제공하지만, 본 기술분야의 기술자는 본 발명으로부터 이탈됨이 없이 본 발명을 다양하게 변형할 수 있다. 예를 들면, 비록 실시예들이 두개의 통상적인 DUV 파장을 위한 것이지만, 다른 파장이 이용될 수 잇다. 유사하게, PPOS 층은 실리콘 반도체 웨이퍼가 아닌 기판에 적용할 수 있다. 이와 같이, 전술된 상세한 설명은 설명되었지만 제한되지는 않는다. 전술된 공정에 리스트된 매개변수는 여기의 청구 범위에 제한되지 않아야 하며, 공정 매개변수는 임의의 예에서 상호 관련될 수 있다. 그러므로 본 발명의 범주는 첨부된 청구범위에 관하여, 등가물의 완전한 범주를 따라결정된다.
본 발명에 의하여, 0.18μ이하의 최소배선폭을 갖는 집적회로의 형성에 특히 유용한 신규하고 개선된 건식 사진 석판술이 제공된다.

Claims (32)

  1. 기판 상에 무늬형 저항층을 형성하는 방법으로서,
    a) 규소 원자가 두 개 이상의 탄소 원자와 한 개 이상의 수소 원자에 결합되어 있는 유기실란 전구체를 상기 기판을 수용하는 처리 챔버에 유입시키는 단계와,
    b) 상기 기판 상에 플라즈마-중합 유기실란의 층을 형성하도록 상기 유기실란 전구체로부터 플라즈마를 형성하는 단계와,
    c) 상기 플라즈마-중합 층 중에 노출부 및 비노출부를 형성하기 위하여 상기 층의 선택부를 적어도 부분적으로 산화시키도록, 산화 환경 내에서 상기 층 중의 선택부를 50 mJ/cm2이하의 양의 방사선에 노출시키는 단계와, 그리고
    d) 상기 노출부 또는 비노출부를 선택적으로 제거하도록 에칭법을 이용하여 상기 층을 발달시키는 단계를 포함하는 방법.
  2. 제 1 항에 있어서, 상기 산화 환경이 공기인 방법.
  3. 제 1 항에 있어서, 상기 방사선이 약 248 nm 이하의 파장을 갖는 방법.
  4. 제 1 항에 있어서, 상기 에칭법이 플라즈마 에칭법인 방법.
  5. 제 4 항에 있어서, 상기 플라즈마 에칭법이 산소 함유 플라즈마와 할로겐을 사용하는 방법.
  6. 제 5 항에 있어서, 상기 할로겐이 염소인 방법.
  7. 제 4 항에 있어서, 상기 플라즈마 에칭법이 분리식 플라즈마법인 방법.
  8. 제 1 항에 있어서, 상기 유기실란 전구체가 트리메틸실란인 방법.
  9. 제 8 항에 있어서, 상기 방사선이 약 193 nm의 파장을 가지며, 그리고 상기 플라즈마-중합 유기실란 층이 약 20 mJ/cm2이하의 상기 193 nm 방사선에 노출되는 방법.
  10. 제 1 항에 있어서, 상기 유기실란 전구체가 디메틸실란인 방법.
  11. 제 10 항에 있어서, 상기 방사선이 약 193 nm의 파장을 가지며, 그리고 상기 플라즈마-중합 유기실란 층이 약 20 mJ/cm2이하의 상기 193 nm 방사선에 노출되는 방법.
  12. 제 10 항에 있어서, 상기 방사선이 약 248 nm의 파장을 가지며, 그리고 상기 플라즈마-중합 유기실란 층이 약 20 mJ/cm2이상의 상기 248 nm 방사선에 노출되는 방법.
  13. 제 8 항에 있어서, 상기 트리메틸실란 전구체가 약 10 내지 500 sccm의 비율로 상기 처리 챔버 내에 유입되고, 상기 플라즈마는 약 2 내지 10 Watts/in2의 동력에서 형성되고, 그리고 상기 플라즈마의 형성 동안에, 상기 처리 챔버의 압력이 약 1.0 내지 5.0 Torr로 유지되고 상기 기판이 약 50 내지 150 ℃의 온도에서 유지되는 방법.
  14. 제 1 항에 있어서, 상기 챔버가 상기 저항층의 증착 동안에 1.0 내지 5.0 Torr의 압력으로 유지되는 방법.
  15. 제 1 항에 있어서, 상기 플라즈마의 형성 동안에, 상기 유기실란 전구체에 더하여 수소가 상기 챔버 내로 유입되는 방법.
  16. 기판 상에 무늬형 저항층을 형성하는 방법으로서,
    a) 규소 원자가 두 개 이상의 탄소 원자와 한 개 이상의 수소 원자에 결합되어 있는 유기실란 전구체를 상기 기판을 수용하는 처리 챔버에 유입시키는 단계와,
    b) 상기 기판 상에 플라즈마-중합 유기실란의 층을 형성하도록 상기 유기실란 전구체로부터 플라즈마를 형성하는 단계와,
    c) 상기 플라즈마-중합 층 중에 노출부 및 비노출부를 형성하기 위하여 상기 층의 선택부를 적어도 부분적으로 산화시키도록, 산화 환경 내에서 상기 층 중의 선택부를 약 193 nm 이하의 파장을 갖는 약 20 mJ/cm2이하의 양의 방사선에 노출시키는 단계와, 그리고
    d) 상기 노출부 또는 비노출부를 선택적으로 제거하도록 에칭법을 이용하여 상기 층을 발달시키는 단계를 포함하는 방법.
  17. 제 16 항에 있어서, 상기 유기실란 전구체가 디메틸실란인 방법.
  18. 제 16 항에 있어서, 상기 유기실란 전구체가 트리메틸실란인 방법.
  19. 제 16 항에 있어서, 상기 방사선의 양이 약 5 mJ/cm2이상인 방법.
  20. 제 19 항에 있어서, 상기 방사선이 약 193 nm의 파장을 가지는 방법.
  21. 제 16 항에 있어서, 상기 플라즈마-중합 유기실란 층의 형성 동안에, 수소가 상기 챔버 내로 유입되는 방법.
  22. 기판 상에 무늬형 저항층을 형성하는 방법으로서,
    a) 상기 기판을 수용하는 제 1 처리 챔버에 트리메틸실란을 유입시키는 단계와,
    b) 상기 기판 상에 플라즈마-중합 트리메틸실란의 층을 형성하도록 상기 트리메틸실란으로부터 플라즈마를 형성하는 단계와,
    c) 상기 제 1 처리 챔버로부터 상기 기판을 제거하는 단계와,
    d) 산화 환경 내에서, 상기 플라즈마-중합 트리메틸실란 층 중의 선택부를 약 248 nm 이하의 파장을 갖는 방사선에 노출시키는 단계와,
    e) 상기 기판을 제 2 처리 챔버 내에 위치시키는 단계와, 그리고
    d) 상기 기판 상에 상기 층의 노출부 중의 적어도 일부를 남기고 상기 층의 비노출부를 에칭하도록 상기 제 2 처리 챔버 내에 플라즈마를 형성하는 단계를 포함하는 방법.
  23. 제 22 항에 있어서, 상기 제 2 처리 챔버 내의 플라즈마가 염소 및 산소 종을 포함하는 방법.
  24. 기판 상의 플라즈마-중합 유기실란 층의 노출부와 비노출부 사이의 대비를 선택하는 방법으로서,
    a) 상기 기판을 플라즈마 처리 챔버 내에 위치시키는 단계와,
    b) 제 1 선택 비율로 염소 함유 플라즈마 전구체를 상기 챔버 내에 유입시키는 단계와,
    c) 상기 플라즈마 중합 유기실란 층의 노출부와 비노출부 사이의 희망 대비에 따라서 선택되는 제 2 선택 비율로 산소 함유 플라즈마 전구체를 상기 챔버 내에 유입시키는 단계와,
    d) 상기 염소 함유 전구체 및 상기 산소 함유 전구체로부터 플라즈마를 형성하는 단계와, 그리고
    e) 상기 플라즈마로 상기 플라즈마-중합 유기실란 층을 에칭하는 단계를 포함하는 방법.
  25. 제 24 항에 있어서, 상기 플라즈마-중합 유기실란 층이 플라즈마-중합 트리메틸실란 층인 방법.
  26. 제 24 항에 있어서, 상기 제 2 선택 비율이 상기 챔버 내로 유입되는 전체 유량의 약 0 내지 50%인 방법.
  27. 제 24 항에 있어서, 상기 수소 브롬화물의 유동을 더 포함하며, 상기 제 2 선택 비율이 약 0 내지 12 sccm이고, 상기 제 1 선택 비율이 약 0 내지 200 sccm이고, 그리고 상기 수소 브롬화물의 유동이 약 0 내지 200 sccm인 방법.
  28. 제 24 항에 있어서, 상기 희망 대비가 약 1:1 내지 약 1:100인 방법.
  29. 제 24 항에 있어서, 상기 에칭 단계(e)가 약 2 mTorr 내지 70 mTorr의 압력에서 수행되는 방법.
  30. 제 24 항에 있어서, 상기 플라즈마 처리 챔버가 RF 소스 전력공급기 및 RF 바이어스 전력공급기를 구비하며, 그리고 상기 에칭 단계(e)가 약 2 내지 20 Watts/in2의 RF 소스 전력 및 약 0.1 내지 2 Watts/in2의 RF 바이어스 전력에서 수행되는 방법.
  31. 기판 상의 플라즈마-중합 유기실란 층의 노출부와 비노출부 사이의 대비를 선택하는 방법으로서,
    a) 상기 기판을 플라즈마 처리 챔버 내에 위치시키는 단계와,
    b) 약 1 내지 200 sccm의 제 1 선택 비율로 염소 가스를 상기 챔버 내에 유입시키는 단계와,
    c) 상기 플라즈마 중합 유기실란 층의 노출부와 비노출부 사이의 희망 대비에 따라서 선택되는 약 1 내지 12 sccm의 제 2 선택 비율로 산소 가스를 상기 챔버 내에 유입시키는 단계와,
    d) 약 0 내지 200 sccm의 제 3 선택 비율로 수소 브롬화물을 상기 챔버 내에 유입시키는 단계와,
    e) 상기 기판을 약 5 내지 50 ℃로 가열하는 단계와,
    f) 약 2 내지 20 Watts/in2의 소스 RF 소스 전력과 약 0.1 내지 2 Watts/in2의 RF 바이어스 전력으로 약 2 내지 70 mTorr의 챔버 압력에서 상기 챔버 내에 플라즈마를 형성하는 단계와, 그리고
    g) 상기 플라즈마로 상기 플라즈마-중합 유기실란 층을 에칭하는 단계를 포함하는 방법.
  32. 기판 상의 플라즈마-중합 유기실란 층을 노출시키는 방법으로서,
    a) 산소 함유 환경 내에서 광차폐물을 통한 제 1 선택량으로 심층 자외선에 상기 플라즈마-중합 유기실란 층의 제 1 부분을 노출시키는 단계와,
    b) 상기 플라즈마-중합 유기실란 층의 제 2 부분에 광차폐물을 세우는 단계와, 그리고
    c) 상기 산소 함유 환경 내의 상기 플라즈마-중합 유기실란 층의 안정성에 따라 선택되는 제 2 선택량으로 심층 자외선에 상기 제 2 부분을 노출시키는 단계를 포함하는 방법.
KR10-2000-0009427A 1999-02-26 2000-02-25 심층 자외선 노출용 개선된 건식 사진평판 공정 처리방법 KR100477386B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12183799P 1999-02-26 1999-02-26
US60121837 1999-02-26
US50717300A 2000-02-18 2000-02-18
US9507173 2000-02-18
US09507173 2000-02-18

Publications (2)

Publication Number Publication Date
KR20000076738A true KR20000076738A (ko) 2000-12-26
KR100477386B1 KR100477386B1 (ko) 2005-03-18

Family

ID=26819866

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0009427A KR100477386B1 (ko) 1999-02-26 2000-02-25 심층 자외선 노출용 개선된 건식 사진평판 공정 처리방법

Country Status (4)

Country Link
EP (1) EP1033744A3 (ko)
JP (1) JP2000347421A (ko)
KR (1) KR100477386B1 (ko)
TW (1) TW478033B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030027413A1 (en) * 2001-08-01 2003-02-06 Ting Tsui Method to improve the adhesion of dielectric layers to copper
KR100523839B1 (ko) * 2002-10-07 2005-10-27 한국전자통신연구원 건식 리소그라피 방법 및 이를 이용한 게이트 패턴 형성방법
US7049052B2 (en) * 2003-05-09 2006-05-23 Lam Research Corporation Method providing an improved bi-layer photoresist pattern
JP5818340B2 (ja) * 2010-10-25 2015-11-18 富士フイルム株式会社 撥水膜の形成方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5439780A (en) * 1992-04-29 1995-08-08 At&T Corp. Energy sensitive materials and methods for their use

Also Published As

Publication number Publication date
EP1033744A3 (en) 2009-07-15
KR100477386B1 (ko) 2005-03-18
JP2000347421A (ja) 2000-12-15
TW478033B (en) 2002-03-01
EP1033744A2 (en) 2000-09-06

Similar Documents

Publication Publication Date Title
US6853043B2 (en) Nitrogen-free antireflective coating for use with photolithographic patterning
KR101556574B1 (ko) 이중 패터닝 식각 프로세스
US5925494A (en) Vapor deposition of polymer films for photolithography
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
US7795148B2 (en) Method for removing damaged dielectric material
US8465903B2 (en) Radiation patternable CVD film
US7227244B2 (en) Integrated low k dielectrics and etch stops
US6541164B1 (en) Method for etching an anti-reflective coating
US7642195B2 (en) Hydrogen treatment to improve photoresist adhesion and rework consistency
US20070117050A1 (en) Maintenance of photoresist activity on the surface of dielectric arcs for 90 nm feature sizes
US20090197086A1 (en) Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
US20020155386A1 (en) Fluorine-containing layers for damascene structures
US7288483B1 (en) Method and system for patterning a dielectric film
US20040185674A1 (en) Nitrogen-free hard mask over low K dielectric
US6238844B1 (en) Process for depositing a plasma polymerized organosilicon photoresist film
US20040161927A1 (en) Method of preventing resist poisoning in dual damascene structures
KR100477386B1 (ko) 심층 자외선 노출용 개선된 건식 사진평판 공정 처리방법
JP2000305273A (ja) 遠紫外線ドライフォトリソグラフィー
US7604908B2 (en) Fine pattern forming method
WO2001009683A1 (en) Reduction of resist poisoning

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E801 Decision on dismissal of amendment
J801 Dismissal of trial

Free format text: REJECTION OF TRIAL FOR APPEAL AGAINST DECISION TO DECLINE AMENDMENT REQUESTED 20030501

Effective date: 20030830

J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE AMENDMENT REQUESTED 20030501

Effective date: 20030830

S901 Examination by remand of revocation
E902 Notification of reason for refusal
E902 Notification of reason for refusal
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee