KR20000024755A - Method for forming gate electrode of semiconductor device - Google Patents

Method for forming gate electrode of semiconductor device Download PDF

Info

Publication number
KR20000024755A
KR20000024755A KR1019980041431A KR19980041431A KR20000024755A KR 20000024755 A KR20000024755 A KR 20000024755A KR 1019980041431 A KR1019980041431 A KR 1019980041431A KR 19980041431 A KR19980041431 A KR 19980041431A KR 20000024755 A KR20000024755 A KR 20000024755A
Authority
KR
South Korea
Prior art keywords
film
gate electrode
insulating film
forming
etching
Prior art date
Application number
KR1019980041431A
Other languages
Korean (ko)
Inventor
이강윤
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019980041431A priority Critical patent/KR20000024755A/en
Publication of KR20000024755A publication Critical patent/KR20000024755A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material

Abstract

PURPOSE: A method for forming gate electrode of semiconductor device is provided to prevent an increase of a parasitic resistance by vertically forming a gate electrode using a damascene process. CONSTITUTION: A dummy oxidation film(106), a silicon nitration film(105), and a pad oxidation film(104) are successively etched using a mask for defining a gate electrode forming region and then an opening(108) for a gate electrode forming is vertically formed. The dummy oxidation film(106) is performed by an anisotropy etching, and an etching of the silicon nitration film(105) and the pad oxidation film(104) is performed by the anisotropy etching or an isotropy etching. An ion injection process is performed when forming the opening(108). The dummy oxidation film(106) is etched and the silicon nitration film(105) is exposed. The dummy oxidation film(106) and the silicon nitration film(105) are successively etched, and then the pad oxidation film(104) is exposed.

Description

반도체 장치의 게이트 전극 형성 방법(METHOD OF FORMING GATE ELECTRODE FOR SEMICONDUCTOR DEVICE)METHOD OF FORMING GATE ELECTRODE FOR SEMICONDUCTOR DEVICE

본 발명은 반도체 장치의 제조 방법에 관한 것으로, 좀 더 구체적으로는 반도체 장치의 게이트 전극 형성 방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for forming a gate electrode of a semiconductor device.

반도체 장치의 집적도가 높아짐에 따라 크기가 축소되고, 디자인 룰(design rule)이 계속 작아져 왔다. 그 결과 트랜지스터의 게이트 전극 형성은 더욱 어려워지고 있다. 특히, 게이트 전극과 게이트 전극 사이의 좁은 공간을 유지하면서 반복적으로 게이트 라인이 형성되어 있는 셀 영역 및 게이트 전극의 크기가 작고 공간은 넓은 게이트 라인이 랜덤(random)하게 형성되어 있는 주변 영역이 혼재하는 DRAM의 경우, 게이트 라인을 형성하기 위한 이방성 식각시 랜딩 효과(landing effect) 때문에 CD(critical dimension)의 제어(control) 및 특히 게이트 전극의 기울기(slope)를 버티컬(vertical)하게 유지하기가 어렵게 된다.As the degree of integration of semiconductor devices has increased, the size has been reduced, and the design rule has been kept smaller. As a result, the gate electrode formation of the transistor becomes more difficult. In particular, the cell region in which the gate lines are repeatedly formed while maintaining a narrow space between the gate electrode and the gate electrode and the peripheral region in which the gate electrode is small in size and a large gate line is randomly formed are mixed. In the case of DRAM, it is difficult to vertically control the control of the critical dimension (CD) and in particular the slope of the gate electrode due to the landing effect in the anisotropic etching for forming the gate line. .

또한, 게이트 라인의 저항을 감소시키기 위해 게이트 전극의 도전막으로 폴리실리콘막(polysilicon layer)과 실리사이드막(silicide layer)이 적층된 폴리사이드(polycide) 구조 및 게이트 폴리사이드의 상부에 실리콘 질화막(SiN)과 같은 절연막을 덮는 구조를 사용하고 있다. 그리고, 셀 콘택의 마진을 확보하기 위해 자기 정렬 콘택(self-aligned contact:이하 SAC) 구조를 적용하고 있다. 그 결과, 게이트 전극을 형성할 때 절연막, 실리사이드, 폴리실리콘의 적층된 스택(stack) 구조에서 식각률이 다른 막들을 식각하는 공정은 더욱 어려워지고 있다.In addition, to reduce the resistance of the gate line, a polycide structure in which a polysilicon layer and a silicide layer are stacked as a conductive layer of the gate electrode and a silicon nitride layer (SiN) on the gate polyside Is used to cover the insulating film. In addition, a self-aligned contact (SAC) structure is applied to secure a margin of the cell contact. As a result, when forming the gate electrode, the process of etching the films having different etching rates in the stacked stack structure of the insulating film, the silicide, and the polysilicon becomes more difficult.

도 1a 내지 도 1b는 종래의 반도체 장치의 게이트 전극 형성 방법의 공정들을 순차적으로 보여주는 흐름도이다.1A to 1B are flowcharts sequentially illustrating processes of a method of forming a gate electrode of a conventional semiconductor device.

도 1a를 참조하면, 종래의 반도체 장치의 게이트 전극 형성 방법은, 먼저 반도체 기판(10)에 활성 영역과 비활성 영역을 정의하기 위한 소자 격리 영역(12)이 형성된다. 이어, 상기 반도체 기판(10) 상에 게이트 산화막(14), 폴리실리콘막(16), 실리사이드막(17), 그리고, 게이트 마스크(18)가 차례로 형성된다.Referring to FIG. 1A, in the conventional method of forming a gate electrode of a semiconductor device, a device isolation region 12 for defining an active region and an inactive region is first formed in a semiconductor substrate 10. Subsequently, a gate oxide film 14, a polysilicon film 16, a silicide film 17, and a gate mask 18 are sequentially formed on the semiconductor substrate 10.

게이트 전극 형성용 마스크를 사용하여 상기 게이트 마스크(18), 실리사이드막(17), 그리고 폴리실리콘막(16)을 차례로 식각함으로써 게이트 전극(19)이 형성된다.The gate electrode 19 is formed by sequentially etching the gate mask 18, the silicide layer 17, and the polysilicon layer 16 using a gate electrode forming mask.

상기 게이트 전극(19) 형성을 위한 식각 공정은 주로 RIE(reactive ion etching)를 이용하여 수행되는데, 일반적으로 상기 게이트 전극(19)의 프로파일(profile)은 버티컬하게 형성되고, 식각시 게이트 산화막(14)을 식각 정지층으로 하기 위해 높은 식각 선택비가 갖도록 해야 한다. 그러나, RIE의 특성상 상기 게이트 산화막(14)과 높은 식각 선택비를 유지하려면 식각시 직진성이 떨어져 필연적으로 게이트 전극(19)의 프로파일이 기울기를 가지게 된다.An etching process for forming the gate electrode 19 is mainly performed by using reactive ion etching (RIE). In general, a profile of the gate electrode 19 is vertically formed, and a gate oxide layer 14 during etching is performed. ) Should have a high etch selectivity to etch stop layer. However, in order to maintain a high etching selectivity with respect to the gate oxide layer 14 due to the characteristics of the RIE, the linearity of the gate electrode 19 is inevitably inclined during etching.

특히, 게이트 산화막(14)의 두께가 수 nm 정도로 얇아지고 복잡한 구조의 적층 구조를 가져감에 따라 이는 더욱 어렵게 된다. 또한, 게이트 전극 형성을 위한 식각시 게이트 전극(19) 양측의 게이트 산화막(14)이 RIE에 의해 손상(damage)을 받게 되어 게이트 산화막(14)이 열화되기 쉽다. 이를 방지하기 위해서는 산화(oxidation) 공정이나 열(thermal) 공정이 필요한데 상기 폴리사이드 구조에서는 산화 공정시 상기 폴리사이드가 산화될 수 있다.In particular, this becomes more difficult as the thickness of the gate oxide film 14 becomes thinner by a few nm and has a laminated structure of a complicated structure. In addition, the gate oxide layer 14 on both sides of the gate electrode 19 may be damaged by RIE during etching to form the gate electrode, and thus the gate oxide layer 14 may be degraded. In order to prevent this, an oxidation process or a thermal process is required. In the polyside structure, the polyside may be oxidized during the oxidation process.

그리고, 게이트 라인의 패턴 밀도(pattern density)가 다른 DRAM과 같은 메모리에서는 패턴 밀도가 높은 곳의 패턴 간격이 좁아 식각시 조밀한 패턴에 도달하는 에천트(etchant) 양이 상대적으로 적어 넓은 패턴에 비해 식각되는 양이 적어지는 랜딩 효과 때문에 패턴 밀도가 가장 높은 셀 영역에 비하여 밀도가 떨어지는 셀 주변 영역의 게이트는 도 1a와 같이 더욱 완만한 기울기를 가지게 된다.Also, in a memory such as a DRAM having a different pattern density of gate lines, a pattern gap at a high pattern density is narrow, so that the amount of etchant reaching a dense pattern during etching is relatively small, compared to a wide pattern. Due to the landing effect of reducing the amount of etching, the gate of the cell surrounding area having a lower density than the cell area having the highest pattern density has a more gentle slope as shown in FIG. 1A.

다음에, 상기 게이트 전극(19)을 포함하여 상기 반도체 기판(10) 상에 질화막이 형성된다. 상기 질화막을 에치 백 공정으로 식각함으로써 상기 게이트 전극(19)의 양측벽에 절연막 스페이서(22)가 형성된다.Next, a nitride film is formed on the semiconductor substrate 10 including the gate electrode 19. The insulating film spacers 22 are formed on both sidewalls of the gate electrode 19 by etching the nitride film by an etch back process.

그러나, 상기 게이트 전극(19)의 양측벽에 형성된 절연막 스페이서(22)는 상기 게이트 전극(19)이 기울기를 갖고 있기 때문에 도 1b와 같이 형성된다. 결과적으로, 게이트 전극(19)과 소자 격리 영역(12) 사이의 액티브 폭이 작아져 기생(parasitic) 저항이 증가되고, 후속 콘택 형성 공정시 오정렬 마진(misalign margin)이 취약해지는 문제가 발생될 수 있다. 그리고, 셀 영역에서는 게이트와 게이트 사이에 비트 라인 콘택 및 스토리지 노드 콘택을 형성하여야 하는데 상기 기울기를 갖는 게이트 전극(19)에 의해 오픈(open)되는 크기가 감소되어 콘택 저항이 증가되는 문제가 생긴다.However, the insulating film spacer 22 formed on both side walls of the gate electrode 19 is formed as shown in FIG. 1B because the gate electrode 19 has a slope. As a result, the active width between the gate electrode 19 and the device isolation region 12 may be reduced to increase parasitic resistance, and may cause a problem of weak misalign margin during subsequent contact forming processes. have. In the cell region, a bit line contact and a storage node contact should be formed between the gate and the gate, and the size of the opening opened by the gate electrode 19 having the slope is reduced, resulting in an increase in contact resistance.

본 발명은 상술한 제반 문제점을 해결하기 위해 제안된 것으로서, 게이트 전극을 버티컬하게 형성할 수 있고, 게이트 전극 양측의 게이트 산화막의 열화를 방지할 수 있는 반도체 장치의 게이트 전극 형성 방법을 제공함에 그 목적이 있다.SUMMARY OF THE INVENTION The present invention has been proposed to solve the above-mentioned problems, and provides a gate electrode forming method of a semiconductor device capable of vertically forming gate electrodes and preventing deterioration of gate oxide films on both sides of the gate electrodes. There is this.

도 1a 내지 도 1b는 종래의 반도체 장치의 게이트 전극 형성 방법의 공정들을 순차적으로 보여주는 흐름도; 그리고1A to 1B are flowcharts sequentially showing processes of a method of forming a gate electrode of a conventional semiconductor device; And

도 2a 내지 도 2e는 본 발명의 실시예에 따른 반도체 장치의 게이트 전극 형성 방법의 공정들을 순차적으로 보여주는 흐름도이다.2A through 2E are flowcharts sequentially illustrating processes of a method of forming a gate electrode of a semiconductor device according to an embodiment of the present invention.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

10, 100 : 반도체 기판 12, 102 : 소자 격리 영역10, 100: semiconductor substrate 12, 102: device isolation region

14 : 게이트 산화막 106 : 더미 산화막14 gate oxide film 106 dummy oxide film

19, 117 : 게이트 전극 22, 118 : 절연막 스페이서19, 117: gate electrodes 22, 118: insulating film spacer

(구성)(Configuration)

상술한 목적을 달성하기 위한 본 발명에 의하면, 반도체 장치의 게이트 전극 형성 방법은, 활성 영역과 비활성 영역이 정의된 반도체 기판 상에 제 1 절연막, 물질막, 그리고 제 2 절연막을 차례로 적층하는 단계와; 게이트 전극 형성 영역을 정의하기 위한 마스크를 사용하여 상기 반도체 기판의 표면이 노출될 때까지 상기 제 2 절연막, 물질막, 그리고 제 1 절연막을 차례로 식각하여 게이트 전극 형성용 오프닝을 형성하되, 버티컬하게 식각하는 단계와; 상기 오프닝에 제 3 절연막, 도전막, 그리고 게이트 마스크를 차례로 적층하여 게이트 전극을 형성하는 단계와; 상기 게이트 전극 양측의 제 2 절연막을 제거하는 단계 및; 상기 게이트 전극의 양측벽에 절연막 스페이서를 형성하는 단계를 포함한다.According to the present invention for achieving the above object, a method of forming a gate electrode of a semiconductor device comprises the steps of sequentially stacking a first insulating film, a material film, and a second insulating film on a semiconductor substrate in which an active region and an inactive region are defined; ; The opening for forming the gate electrode is formed by sequentially etching the second insulating film, the material film, and the first insulating film until the surface of the semiconductor substrate is exposed using a mask for defining a gate electrode forming region, but vertically etching Making a step; Forming a gate electrode by sequentially stacking a third insulating film, a conductive film, and a gate mask on the opening; Removing second insulating films on both sides of the gate electrode; Forming insulating film spacers on both sidewalls of the gate electrode.

상술한 목적을 달성하기 위한 본 발명에 의하면, 반도체 장치의 게이트 전극 형성 방법은, 게이트 전극 형성 영역을 정의하기 위한 마스크를 사용하여 반도체 기판 상에 형성된 제 2 절연막, 물질막, 그리고 제 1 절연막을 차례로 식각하여 게이트 전극 형성용 오프닝을 형성하되, 버티컬하게 식각하는 단계와; 상기 오프닝을 제 1 도전막으로 채우는 단계와; 상기 제 2 절연막과 나란하도록 상기 제 1 도전막을 평탄하게 식각하는 단계와; 상기 제 2 절연막을 마스크로 사용하여 상기 제 1 도전막의 일부 두께가 남도록 식각하는 단계와; 상기 제 1 도전막 상에 제 2 도전막 및 상기 제 2 절연막과 나란한 표면을 갖는 게이트 마스크를 차례로 형성하는 단계를 포함한다.According to the present invention for achieving the above object, a gate electrode forming method of a semiconductor device comprises a second insulating film, a material film, and a first insulating film formed on a semiconductor substrate using a mask for defining a gate electrode forming region. Etching sequentially to form an opening for forming a gate electrode, but vertically etching; Filling the opening with a first conductive film; Etching the first conductive film evenly so as to be parallel to the second insulating film; Etching using the second insulating film as a mask so that a part of the thickness of the first conductive film remains; And sequentially forming a gate mask having a surface parallel to the second conductive film and the second insulating film on the first conductive film.

(작용)(Action)

도 2b를 참조하면, 본 발명의 실시예에 따른 신규한 반도체 장치의 게이트 전극 형성 방법은, 활성 영역과 비활성 영역이 정의된 반도체 기판 상에 차례로 적층된 제 1 절연막, 물질막, 그리고 제 2 절연막을 게이트 전극 형성 영역을 정의하기 위한 마스크를 사용하여 반도체 기판의 표면이 노출될 때까지 식각함으로써 게이트 전극 형성용 오프닝이 형성된다. 이때, 상기 오프닝은 버티컬하게 식각된다. 상기 오프닝을 제 3 절연막, 도전막, 그리고 게이트 마스크를 차례로 적층하여 게이트 전극을 형성한 후, 상기 게이트 전극 양측의 제 2 절연막이 제거된다. 이어, 상기 게이트 전극의 양측벽에 절연막 스페이서가 형성된다. 이와 같은 반도체 장치의 게이트 전극 형성 방법에 의해서, 게이트 전극을 다마신(damascene) 공정을 이용하여 버티컬하게 형성함으로써 기생 저항의 증가 및 오정렬 마진이 취약해 지는 것을 방지할 수 있고, 셀 영역의 콘택 저항이 증가되는 것을 방지할 수 있다. 또한, 게이트 전극 양측 아래의 게이트 산화막이 식각 손상 등에 의해 손상되는 것을 방지할 수 있고, 게이트 전극 하부의 채널 영역에 이온 주입을 함으로써 트랜지스터의 숏 채널 효과를 억제할 수 있다.Referring to FIG. 2B, a method of forming a gate electrode of a novel semiconductor device according to an embodiment of the present invention includes a first insulating film, a material film, and a second insulating film sequentially stacked on a semiconductor substrate in which active regions and inactive regions are defined. Is etched using a mask for defining the gate electrode formation region until the surface of the semiconductor substrate is exposed to form an opening for forming the gate electrode. At this time, the opening is vertically etched. After the opening is formed by stacking the third insulating film, the conductive film, and the gate mask in order, a gate electrode is formed, and the second insulating films on both sides of the gate electrode are removed. Subsequently, insulating film spacers are formed on both sidewalls of the gate electrode. By the gate electrode formation method of such a semiconductor device, the gate electrode is vertically formed by using a damascene process, thereby preventing parasitic resistance increase and misalignment margin from becoming weak, and contact resistance in the cell region. This can be prevented from increasing. In addition, the gate oxide films on both sides of the gate electrode can be prevented from being damaged by etching damage or the like, and the short channel effect of the transistor can be suppressed by ion implantation into the channel region under the gate electrode.

(실시예)(Example)

이하, 도 2a 내지 도 2e를 참조하여 본 발명의 실시예를 상세히 설명한다.Hereinafter, embodiments of the present invention will be described in detail with reference to FIGS. 2A to 2E.

도 2a 내지 도 2e는 본 발명의 실시예에 따른 반도체 장치의 게이트 전극 형성 방법의 공정들을 순차적으로 보여주는 흐름도이다.2A through 2E are flowcharts sequentially illustrating processes of a method of forming a gate electrode of a semiconductor device according to an embodiment of the present invention.

도 2a를 참조하면, 본 발명의 반도체 장치의 게이트 전극 형성 방법은, 먼저 반도체 기판(100)에 활성 영역과 비활성 영역을 정의하기 위한 소자 격리 영역(102)이 형성된다. 상기 소자 격리 영역(102)은 STI(shallow trench isolation)로 형성된다. 이어, 상기 반도체 기판(100) 상에 패드 산화막(104), 실리콘 질화막(105), 그리고 더미(dummy) 산화막(106)이 차례로 적층된다. 상기 실리콘 질화막(105)은 후속 게이트 전극 형성을 위한 식각시 패드 산화막(104)의 식각되어 열화되는 것을 방지하기 위한 막으로 사용된다. 후속 콘택 형성 공정을 SAC(self-aligned contact) 공정으로 수행하지 않을 경우, 상기 더미 산화막(106) 대신 더미 실리콘 질화막으로 형성할 수 있다.Referring to FIG. 2A, in the gate electrode forming method of the semiconductor device of the present invention, an element isolation region 102 for defining an active region and an inactive region is first formed in the semiconductor substrate 100. The device isolation region 102 is formed of shallow trench isolation (STI). Subsequently, a pad oxide film 104, a silicon nitride film 105, and a dummy oxide film 106 are sequentially stacked on the semiconductor substrate 100. The silicon nitride layer 105 is used as a layer to prevent the pad oxide layer 104 from being etched and deteriorated during the subsequent etching of the gate electrode. When the subsequent contact forming process is not performed by the self-aligned contact (SAC) process, the dummy silicon nitride layer may be formed instead of the dummy oxide layer 106.

상기 패드 산화막(104)은 5nm 내지 20nm의 두께 범위 내로 형성되고, 상기 실리콘 질화막(105)은 10nm 내지 30nm의 두께 범위 내로 형성된다. 그리고, 상기 더미 산화막(106)은 150nm 내지 400nm의 두께 범위 내로 형성된다.The pad oxide film 104 is formed in a thickness range of 5 nm to 20 nm, and the silicon nitride film 105 is formed in a thickness range of 10 nm to 30 nm. The dummy oxide film 106 is formed in a thickness range of 150 nm to 400 nm.

도 2b에 있어서, 게이트 전극 형성 영역을 정의하기 위한 마스크를 사용하여 상기 더미 산화막(106), 실리콘 질화막(105), 그리고, 패드 산화막(104)을 차례로 식각함으로써 버티컬한 게이트 전극 형성용 오프닝(108)이 형성된다. 상기 더미 산화막(106)의 식각은 이방성 식각으로 수행되고, 실리콘 질화막(105) 및 패드 산화막(104)의 식각은 이방성 식각과 등방성 식각 중 어느 하나로 수행된다. 이때, 상기 오프닝(108)의 형성시에 이온 주입 공정이 수행되는데 이는, 상기 더미 산화막(106)을 식각한 후, 상기 실리콘 질화막(105)이 노출된 상태에서 수행되거나, 상기 더미 산화막(106)과 실리콘 질화막(105)을 차례로 식각한 후, 상기 패드 산화막(104)이 노출된 상태에서 수행될 수 있다.In FIG. 2B, an opening 108 for vertical gate electrode formation is formed by sequentially etching the dummy oxide film 106, the silicon nitride film 105, and the pad oxide film 104 using a mask for defining a gate electrode formation region. ) Is formed. The dummy oxide layer 106 may be etched by anisotropic etching, and the silicon nitride layer 105 and the pad oxide layer 104 may be etched by anisotropic etching or isotropic etching. At this time, an ion implantation process is performed when the opening 108 is formed, which is performed after the dummy oxide film 106 is etched and the silicon nitride film 105 is exposed, or the dummy oxide film 106 is exposed. And the silicon nitride layer 105 are sequentially etched, and then the pad oxide layer 104 is exposed.

또는, 패드 산화막(104)까지 식각한 후 다시 산화막을 형성하고 나서 이온 주입 공정을 수행할 수 있다(도면에 미도시).Alternatively, after the etching to the pad oxide film 104, an oxide film may be formed again, and then an ion implantation process may be performed (not shown).

상기 이온 주입 공정은 트랜지스터의 문턱 전압을 조절하거나 또는 트랜지스터의 숏 채널 효과(short channel effect)를 방지하기 위한 펀치쓰루 스탑퍼(punchthrough stopper)를 형성하기 위한 공정이며, 채널 아래 부분에만 이온이 주입되고 접합(junction) 아래에는 이온이 주입되지 않는다. 따라서, 접합 부분의 웰 도핑(well doping)이 높아져 접합 부분의 누설 전류가 증가되고 접합 브레이크다운(breakdown) 전압이 떨어지며 접합 커패시턴스(capacitance)가 증가되어 소자의 특성을 열화시키는 현상 등을 방지하면서 효과적으로 트랜지스터의 숏 채널 효과를 방지할 수 있다.The ion implantation process is a process for forming a punchthrough stopper to adjust the threshold voltage of the transistor or to prevent the short channel effect of the transistor. No ions are implanted under the junction. As a result, the well doping of the junction part is increased to increase leakage current at the junction part, the junction breakdown voltage is lowered, and the junction capacitance is increased to effectively prevent the phenomenon of deterioration of device characteristics and the like. The short channel effect of the transistor can be prevented.

도 2c를 참조하면, 상기 오프닝(108)의 반도체 기판(100) 상에 게이트 산화막(110)이 형성된다. 상기 게이트 산화막(110)은 3nm 내지 10nm의 두께 범위로 형성된다. 이어, 상기 오프닝(108)을 채우도록 도핑된 폴리실리콘막(112)이 형성된다. 계속해서 상기 폴리실리콘막(112)을 상기 더미 산화막(106)의 표면이 노출될 때까지 CMP(chemical mechanical polishing) 공정과 에치 백(etch back) 공정 중 어느 하나로 평탄화한 후, 상기 더미 산화막(106)을 마스크로 사용하여 50nm 내지 300nm의 두께 범위가 남도록 상기 폴리실리콘막(112)이 에치 백 공정으로 식각된다.Referring to FIG. 2C, a gate oxide layer 110 is formed on the semiconductor substrate 100 of the opening 108. The gate oxide film 110 is formed in a thickness range of 3nm to 10nm. A polysilicon film 112 doped to fill the opening 108 is then formed. Subsequently, the polysilicon layer 112 is planarized by one of a chemical mechanical polishing (CMP) process and an etch back process until the surface of the dummy oxide layer 106 is exposed, and then the dummy oxide layer 106 is formed. The polysilicon film 112 is etched by an etch back process so that a thickness range of 50 nm to 300 nm remains.

여기서, 상기 오프닝(108) 형성을 위한 식각시 상기 패드 산화막(104)을 식각하지 않고, 상기 패드 산화막(104)을 게이트 산화막으로 사용할 수 있고, 또는 상기 패드 산화막(104)이 남아있는 상태에서 게이트 산화막을 다시 기를 수 있다. 그러나, 게이트 산화막의 두께를 조절하거나, 게이트 산화막의 내성을 위해서는 패드 산화막을 완전히 제거한 후, 게이트 산화막을 형성하는 것이 좋다.Here, the pad oxide layer 104 may be used as a gate oxide layer without etching the pad oxide layer 104 when the opening 108 is formed. Alternatively, the pad oxide layer 104 may be gated while the pad oxide layer 104 remains. The oxide film can be grown again. However, in order to adjust the thickness of the gate oxide film or to resist the gate oxide film, it is preferable to form the gate oxide film after removing the pad oxide film completely.

다음에, 상기 폴리실리콘막(112) 상에 실리사이드막(114)이 형성된다. 구체적으로 설명하면, 상기 실리사이드막(114)은 상기 폴리실리콘막(112) 상에 Ti막, Co막, Ni막 중 어느 하나의 막을 실리사이데이션(silicidation) 공정을 수행한 후, 반응하지 않은 막을 제거함으로써 형성된다. 또 다른 방법으로, 상기 폴리실리콘막(112) 형성 방법과 같이 실리사이드막을 덮고 CMP 공정과 에치 백 공정 중 어느 하나로 평탄하게 식각하여 형성할 수 있다. 상기 실리사이드막(114)은 50nm 내지 150nm의 두께 범위 내로 형성된다.Next, a silicide film 114 is formed on the polysilicon film 112. In detail, the silicide layer 114 may perform a silicidation process on any one of a Ti layer, a Co layer, and a Ni layer on the polysilicon layer 112, and then may not react with the silicide layer 114. It is formed by removing. As another method, the method may be formed by covering the silicide layer and etching the same by either the CMP process or the etch back process as in the method of forming the polysilicon layer 112. The silicide layer 114 is formed in a thickness range of 50 nm to 150 nm.

이어, 상기 오프닝(108)을 완전히 채우도록 실리콘 질화막으로 게이트 마스크(116)를 형성한 후, 상기 더미 산화막(106)의 표면이 노출될 때까지 상기 게이트 마스크(116)를 평탄하게 식각함으로써 게이트 전극(117)이 형성된다. 여기서, 상기 게이트 마스크(116)는 후속 콘택홀 형성을 위한 층간 절연막의 식각시 상기 실리사이드막(114)이 노출되는 것을 방지하기 위한 마스크로 사용된다.Subsequently, the gate mask 116 is formed of a silicon nitride film to completely fill the opening 108, and then the gate mask 116 is flatly etched until the surface of the dummy oxide film 106 is exposed. 117 is formed. Here, the gate mask 116 is used as a mask to prevent the silicide layer 114 from being exposed during the etching of the interlayer insulating layer for subsequent contact hole formation.

상기 언급한 바와 같이, 더미 실리콘 질화막을 이용하여 게이트 전극 형성용 오프닝을 형성할 경우에 상기 게이트 마스크(116)는 산화막으로 형성된다.As mentioned above, the gate mask 116 is formed of an oxide film when the opening for forming the gate electrode is formed using the dummy silicon nitride film.

계속해서, 도 2d에 있어서, 상기 게이트 전극(117) 양측의 실리콘 질화막(105)의 표면이 노출될 때까지 상기 더미 산화막(106)이 이방성 식각과 등방성 식각 중 어느 하나로 식각된다. 이때, 상기 더미 산화막(106) 대신 더미 실리콘 질화막을 사용할 경우에는 패드 산화막이 노출될 때까지 상기 더미 실리콘 질화막이 식각된다. 또한, 반도체 기판(100)이 노출될 때까지 상기 절연막들을 식각할 수도 있다.Subsequently, in FIG. 2D, the dummy oxide film 106 is etched by either anisotropic etching or isotropic etching until the surface of the silicon nitride film 105 on both sides of the gate electrode 117 is exposed. In this case, when the dummy silicon nitride layer is used instead of the dummy oxide layer 106, the dummy silicon nitride layer is etched until the pad oxide layer is exposed. In addition, the insulating layers may be etched until the semiconductor substrate 100 is exposed.

그런 후, 상기 게이트 전극(117)을 포함하여 상기 반도체 기판(100) 상에 실리콘 질화막 또는 산화막을 형성한 후, 에치 백 공정으로 식각함으로써 도 2e에 도시된 바와 같이, 상기 게이트 전극(117)의 양측벽에 절연막 스페이서(118)가 형성된다.Thereafter, a silicon nitride film or an oxide film is formed on the semiconductor substrate 100 including the gate electrode 117 and then etched by an etch back process, thereby as shown in FIG. 2E. An insulating film spacer 118 is formed on both side walls.

본 발명은 게이트 전극을 다마신(damascene) 공정을 이용하여 버티컬하게 형성함으로써 기생 저항의 증가 및 오정렬 마진이 취약해 지는 것을 방지할 수 있고, 셀 영역의 콘택 저항이 증가되는 것을 방지할 수 있는 효과가 있다. 또한, 게이트 전극 양측 아래의 게이트 산화막이 식각 손상 등에 의해 손상되는 것을 방지할 수 있고, 게이트 전극 하부의 채널 영역에 이온 주입을 함으로써 트랜지스터의 숏 채널 효과를 억제할 수 있는 효과가 있다.According to the present invention, the gate electrode is vertically formed using a damascene process to prevent an increase in parasitic resistance and a weak margin of misalignment, and to prevent an increase in contact resistance in a cell region. There is. In addition, the gate oxide films on both sides of the gate electrode can be prevented from being damaged by etching damage, or the like, and ion implantation into the channel region under the gate electrode can suppress the short channel effect of the transistor.

Claims (11)

활성 영역과 비활성 영역이 정의된 반도체 기판 상에 제 1 절연막, 물질막, 그리고 제 2 절연막을 차례로 적층하는 단계와;Sequentially stacking a first insulating film, a material film, and a second insulating film on a semiconductor substrate in which an active region and an inactive region are defined; 게이트 전극 형성 영역을 정의하기 위한 마스크를 사용하여 상기 반도체 기판의 표면이 노출될 때까지 상기 제 2 절연막, 물질막, 그리고 제 1 절연막을 차례로 식각하여 게이트 전극 형성용 오프닝을 형성하되, 버티컬하게 식각하는 단계와;The opening for forming the gate electrode is formed by sequentially etching the second insulating film, the material film, and the first insulating film until the surface of the semiconductor substrate is exposed using a mask for defining a gate electrode forming region, but vertically etching Making a step; 상기 오프닝에 제 3 절연막, 도전막, 그리고 게이트 마스크를 차례로 적층하여 게이트 전극을 형성하는 단계와;Forming a gate electrode by sequentially stacking a third insulating film, a conductive film, and a gate mask on the opening; 상기 게이트 전극 양측의 제 2 절연막을 제거하는 단계 및;Removing second insulating films on both sides of the gate electrode; 상기 게이트 전극의 양측벽에 절연막 스페이서를 형성하는 단계를 포함하는 반도체 장치의 게이트 전극 형성 방법.Forming an insulating film spacer on both sidewalls of the gate electrode. 제 1 항에 있어서,The method of claim 1, 상기 게이트 전극은 다마신(damascene) 공정으로 형성되는 반도체 장치의 게이트 전극 형성 방법.And the gate electrode is formed by a damascene process. 제 1 항에 있어서,The method of claim 1, 상기 제 1 절연막은 산화막이고, 물질막은 실리콘 질화막이고, 제 2 절연막은 산화막과 실리콘 질화막 중 어느 하나인 반도체 장치의 게이트 전극 형성 방법.Wherein the first insulating film is an oxide film, the material film is a silicon nitride film, and the second insulating film is one of an oxide film and a silicon nitride film. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 제 1 절연막은 5nm 내지 20nm, 상기 제 2 절연막은 150nm 내지 400nm, 그리고 상기 물질막은 10nm 내지 30nm의 두께 범위 내로 형성되는 반도체 장치의 게이트 전극 형성 방법.The first insulating film is 5nm to 20nm, the second insulating film is 150nm to 400nm, and the material film is a gate electrode forming method of the semiconductor device is formed in a thickness range of 10nm to 30nm. 제 1 항에 있어서,The method of claim 1, 상기 제 3 절연막은 게이트 산화막이고, 게이트 마스크는 실리콘 질화막과 산화막 중 어느 하나인 반도체 장치의 게이트 전극 형성 방법.And the third insulating film is a gate oxide film, and the gate mask is any one of a silicon nitride film and an oxide film. 제 1 항에 있어서,The method of claim 1, 상기 제 3 절연막은 3nm 내지 10nm의 두께 범위 내로 형성되는 반도체 장치의 게이트 전극 형성 방법.The third insulating film is a gate electrode forming method of a semiconductor device formed in a thickness range of 3nm to 10nm. 제 1 항에 있어서,The method of claim 1, 상기 도전막은 폴리실리콘막과 실리사이드막이 적층된 구조를 갖는 반도체 장치의 게이트 전극 형성 방법.The conductive film has a structure in which a polysilicon film and a silicide film are laminated. 제 7 항에 있어서,The method of claim 7, wherein 상기 실리사이드막은 TiSix, CoSix, NiSix 중 어느 하나로 형성되며, 50nm 내지 150nm의 두께 범위 내로 형성되는 반도체 장치의 게이트 전극 형성 방법.The silicide layer is formed of any one of TiSix, CoSix, and NiSix, and formed in a thickness range of 50 nm to 150 nm. 게이트 전극 형성 영역을 정의하기 위한 마스크를 사용하여 반도체 기판 상에 형성된 제 2 절연막, 물질막, 그리고 제 1 절연막을 차례로 식각하여 게이트 전극 형성용 오프닝을 형성하되, 버티컬하게 식각하는 단계와;Etching the second insulating film, the material film, and the first insulating film formed on the semiconductor substrate in order using a mask for defining the gate electrode forming region, thereby forming an opening for forming the gate electrode, but vertically etching; 상기 오프닝을 제 1 도전막으로 채우는 단계와;Filling the opening with a first conductive film; 상기 제 2 절연막과 나란하도록 상기 제 1 도전막을 평탄하게 식각하는 단계와;Etching the first conductive film evenly so as to be parallel to the second insulating film; 상기 제 2 절연막을 마스크로 사용하여 상기 제 1 도전막의 일부 두께가 남도록 식각하는 단계와;Etching using the second insulating film as a mask so that a part of the thickness of the first conductive film remains; 상기 제 1 도전막 상에 제 2 도전막 및 상기 제 2 절연막과 나란한 표면을 갖는 게이트 마스크를 차례로 형성하는 단계를 포함하는 반도체 장치의 게이트 전극 형성 방법.And sequentially forming a gate mask having a surface parallel to the second conductive film and the second insulating film on the first conductive film. 제 9 항에 있어서,The method of claim 9, 상기 제 1 도전막은 폴리실리콘막이고, 제 2 도전막은 실리사이드막인 반도체 장치의 게이트 전극 형성 방법.The first conductive film is a polysilicon film, and the second conductive film is a silicide film. 제 9 항에 있어서,The method of claim 9, 상기 일부 두께는 50nm 내지 300nm의 범위 내로 형성되는 반도체 장치의 게이트 전극 형성 방법.The partial thickness is a gate electrode forming method of a semiconductor device is formed in the range of 50nm to 300nm.
KR1019980041431A 1998-10-01 1998-10-01 Method for forming gate electrode of semiconductor device KR20000024755A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019980041431A KR20000024755A (en) 1998-10-01 1998-10-01 Method for forming gate electrode of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980041431A KR20000024755A (en) 1998-10-01 1998-10-01 Method for forming gate electrode of semiconductor device

Publications (1)

Publication Number Publication Date
KR20000024755A true KR20000024755A (en) 2000-05-06

Family

ID=19552987

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980041431A KR20000024755A (en) 1998-10-01 1998-10-01 Method for forming gate electrode of semiconductor device

Country Status (1)

Country Link
KR (1) KR20000024755A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100351055B1 (en) * 2000-06-27 2002-09-05 삼성전자 주식회사 Method of manufacturing semiconductor memory device using mask pattern for channel ion implantation
KR100442780B1 (en) * 2001-12-24 2004-08-04 동부전자 주식회사 Method of manufacturing short-channel transistor in semiconductor device
KR100449324B1 (en) * 2001-12-26 2004-09-18 동부전자 주식회사 Method of manufacturing short-channel transistor in semiconductor device
KR100449323B1 (en) * 2001-12-26 2004-09-18 동부전자 주식회사 Method of manufacturing short-channel transistor in semiconductor device
KR100525114B1 (en) * 1998-12-15 2006-01-12 주식회사 하이닉스반도체 Manufacturing method of semiconductor device
KR100785862B1 (en) * 2001-06-29 2007-12-13 매그나칩 반도체 유한회사 Method for forming gate electrode and sidewall spacer using damascene

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100525114B1 (en) * 1998-12-15 2006-01-12 주식회사 하이닉스반도체 Manufacturing method of semiconductor device
KR100351055B1 (en) * 2000-06-27 2002-09-05 삼성전자 주식회사 Method of manufacturing semiconductor memory device using mask pattern for channel ion implantation
KR100785862B1 (en) * 2001-06-29 2007-12-13 매그나칩 반도체 유한회사 Method for forming gate electrode and sidewall spacer using damascene
KR100442780B1 (en) * 2001-12-24 2004-08-04 동부전자 주식회사 Method of manufacturing short-channel transistor in semiconductor device
KR100449324B1 (en) * 2001-12-26 2004-09-18 동부전자 주식회사 Method of manufacturing short-channel transistor in semiconductor device
KR100449323B1 (en) * 2001-12-26 2004-09-18 동부전자 주식회사 Method of manufacturing short-channel transistor in semiconductor device

Similar Documents

Publication Publication Date Title
US7163865B2 (en) Method of forming transistor having recess channel in semiconductor memory, and structure thereof
KR100712989B1 (en) Method for manufacturing the semiconductor device with a recess channel and asymmetric junction
USRE46890E1 (en) Method of forming semiconductor device having contact pad on source/drain region in peripheral circuit area
KR100285701B1 (en) Manufacturing method and structure of trench isolation
US20060138477A1 (en) Asymmetric recessed gate MOSFET and method for manufacturing the same
KR100668862B1 (en) Recess channel transistor and method for forming the same
US6335248B1 (en) Dual workfunction MOSFETs with borderless diffusion contacts for high-performance embedded DRAM technology
US7265011B2 (en) Method of manufacturing a transistor
US20070128805A1 (en) Semiconductor memory device and method for fabricating the same
US20070077715A1 (en) Semiconductor device and method of fabricating the same
US8658491B2 (en) Manufacturing method of transistor structure having a recessed channel
KR20000024755A (en) Method for forming gate electrode of semiconductor device
KR100384062B1 (en) Method for fabricating selective silicide film of MDL
CN114373718A (en) Semiconductor device and method for manufacturing the same
KR100586553B1 (en) Gate of semiconductor device and method thereof
KR100623591B1 (en) Memory device and fabricating method for the same
KR20060119354A (en) Method for forming a recess gate electrode
KR100647481B1 (en) Method of manufacturing semiconductor device using self-aligned contact process
KR100811248B1 (en) Method for manufacturing a semiconductor device
KR100995329B1 (en) Method of manufacturing a semiconductor device
KR100293715B1 (en) Manufacturing method of highly integrated semiconductor memory device
KR100314478B1 (en) A method for forming a gate electrode of a semiconductor device
KR20060001158A (en) Method of forming a gate electrode
KR20050118548A (en) Method for manufacturing self-aligned recess channel mosfet
GB2362756A (en) Semiconductive device having a self-aligned contact and landing pad structure and method of making same

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination