KR20000000858A - Self-aligned silicide transistor and method therof - Google Patents

Self-aligned silicide transistor and method therof Download PDF

Info

Publication number
KR20000000858A
KR20000000858A KR1019980020758A KR19980020758A KR20000000858A KR 20000000858 A KR20000000858 A KR 20000000858A KR 1019980020758 A KR1019980020758 A KR 1019980020758A KR 19980020758 A KR19980020758 A KR 19980020758A KR 20000000858 A KR20000000858 A KR 20000000858A
Authority
KR
South Korea
Prior art keywords
spacer
semiconductor substrate
gate electrode
semiconductor device
conductivity type
Prior art date
Application number
KR1019980020758A
Other languages
Korean (ko)
Inventor
강우탁
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019980020758A priority Critical patent/KR20000000858A/en
Publication of KR20000000858A publication Critical patent/KR20000000858A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

PURPOSE: A self-aligned silicide transistor having a shallow junction depth and method thereof are provided to reduce a drain parasitic resistance and a junction leakage current using double gate spacer. CONSTITUTION: The self-aligned silicide transistor comprises a gate electrode(10) formed on a semiconductor substrate(50); a gate oxide layer(18) formed at lower part of the gate electrode; a first spacer(21,22) formed at both sidewalls of the gate electrode; a second spacer(23,24) formed at both sidewalls of the first spacer; heavily doped source and drain regions(41,42) formed in the semiconductor substrate and self-aligned to the first spacer(21,22); and a silicide layer(71,72) formed on the heavily doped source and drain regions and self-aligned to the second spacer(23,24).

Description

이중 스페이서를 이용한 자기 정렬 실리사이드 공정Self-aligned silicide process using double spacer

본 발명은 반도체 장치 및 그 제조 방법에 관한 것으로, 특히 얕은 접합(shallow junction)을 갖는 반도체 장치 및 그 제조 공정에 적용 가능한 반도체 장치 및 그 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a semiconductor device having a shallow junction, a semiconductor device applicable to the manufacturing process thereof, and a method of manufacturing the same.

반도체 집적 회로 공정에 적용되는 최소 선폭 길이(minimum feature size)가 축소됨에 따라, 미세 게이트 길이를 갖는 MOSFET(metal oxide semiconductor field effect transistor)는 인가된 드레인 전압이 증가함에 따라, 소스와 드레인 공핍 영역(depletion region)의 길이가 상대적으로 증가하게 되어 서로 근접하게 된다. 그 결과, 소스와 채널 사이의 전위 장벽(potential barrier)이 낮아져서, FET는 펀치쓰루(punchthrough)현상을 나타내게 되며, 이와 동시에 소스-드레인 항복 전압의 감소, 임계 전압(VT)의 감소, 임계전 스윙(subthreshold swing)의 증가 등의 전형적인 쇼트 채널 효과(short channel effect)를 보이게 된다.As the minimum feature size applied to a semiconductor integrated circuit process is reduced, a metal oxide semiconductor field effect transistor (MOSFET) having a fine gate length may have a source and drain depletion region (A) as an applied drain voltage increases. The length of the depletion region increases relative to each other. As a result, the potential barrier between the source and the channel is lowered, causing the FET to exhibit punchthrough, while at the same time reducing the source-drain breakdown voltage, reducing the threshold voltage (V T ), and threshold power. Typical short channel effects, such as increased subthreshold swing, are seen.

이와 같은 쇼트 채널 효과를 억제하기 위한 해결책으로, MOSFET의 소스/드레인 N+/P+접합의 깊이(junction depth)를 얕게 유지하는 얕은 접합(shallow junction) 형성 기술이 사용되고 있다. 상기 얕은 접합의 소스/드레인을 사용할 경우 소스/드레인의 영역 점유율이 상대적으로 감소하게 되므로, 소스/드레인의 공핍 길이가 축소되게 되고, 쇼트 채널 효과가 개선되어 펀치쓰루 내압이 증가하게 된다.As a solution for suppressing such a short channel effect, a shallow junction forming technique is used, which keeps the depth of the source / drain N + / P + junction of the MOSFET shallow. When the source / drain of the shallow junction is used, the area occupancy of the source / drain is relatively reduced, so that the depletion length of the source / drain is reduced, and the short channel effect is improved to increase the punch-through breakdown voltage.

그러나, 상기 얕은 접합 기술은 쇼트 채널 효과를 억제하기 위하여 필수적으로 사용되어야 하지만 양호한 소자 성능을 발휘하기 위해서는 부수적으로 해결하여야 할 기술적 과제가 다수 존재한다. 즉, 얕은 접합은 접합의 깊이가 작으므로 오믹 접촉(ohmic contact)이 용이하지 않으며, 면저항(sheet resistance)이 급격히 증가하게 된다. 특히, SOI (semiconductor on insulator) 소자의 경우에는 활성 실리콘의 두께가 400Å 밖에 되지 않는 경우, 상기 문제점은 더욱 심각하게 부각된다. 더욱이, 양호한 콘택 홀(contact hole) 프로파일을 형성하기 위해 일반적으로 에치(etch)량을 증가시키게 되는데, 이 경우 실리콘을 과다하게 식각할 수도 있으며, 이 때에 양질의 오믹 접촉을 기대하기 어렵게 된다.However, the shallow junction technique must be used to suppress the short channel effect, but there are a number of technical problems that must be solved in order to exhibit good device performance. That is, a shallow junction has a small depth of the junction, so ohmic contact is not easy, and sheet resistance increases rapidly. In particular, in the case of a semiconductor on insulator (SOI) device, the problem becomes more serious when the thickness of the active silicon is only 400 mW. Moreover, the amount of etch is generally increased to form a good contact hole profile, in which case the silicon may be excessively etched, which makes it difficult to expect good ohmic contact.

이와 같은 오믹 접촉(ohmic contact) 문제를 개선하기 위하여, 주기율표의 제 Ⅷ족의 금속 또는 내화 금속(refractory metal)을 적용한 금속 실리사이드 공정이 이용되고 있다. 즉, 티타늄 또는 코발트와 같은 금속을 실리콘 표면 위에 증착하고 특정 온도에서 열처리를 수행하면 수μΩ·cm의 양호한 실리콘과 금속물질의 화합물인 실리사이드 오믹 접촉을 얻을 수 있다. 이와 같은 실리사이드 공정 기술들 중의 하나의 방법이 미합중국 특허번호 제4,949,136호에 개시되어 있으며, S. Wolf에 의해 집필되어 Lattice Press사가 1990년 출간한 "Silicon Processing for the VLSI Era"의 제2권 제143쪽 내지 제177쪽에 실리사이드 공정에 관한 기술이 상술되어 있다.In order to improve the ohmic contact problem, a metal silicide process using a metal of Group VIII or refractory metal of the periodic table is used. That is, by depositing a metal such as titanium or cobalt on the silicon surface and performing a heat treatment at a specific temperature, a good suicide ohmic contact, which is a compound of several μΩ · cm of silicon and a metal material, can be obtained. One method of such silicide process techniques is disclosed in U.S. Patent No. 4,949,136, Volume 2 of "Silicon Processing for the VLSI Era" by S. Wolf, published in 1990 by Lattice Press. Pages 177 to 177 describe techniques related to silicide processes.

그러나, 얕은 접합 기술은 후속 공정에서도 얕은 접합 깊이를 유지하여야 하기 때문에 열적 예산(thermal budget)이 매우 작다. 즉, 소스와 드레인 접합을 형성하기 위해 수행한 이온 주입 공정에서 발생한 이온 주입 손상(implantation damage)을 치유하기에는 낮은 온도에서 실리사이드 공정이 진행되므로 손상된 실리콘은 충분히 어닐링 될 수 없게 되며, 그 결과 접합 누설 전류(junction leakage current)가 증가하게 된다. 또한, 실리사이데이션 공정 진행동안 금속과 실리콘은 화학적 작용에 의해 새로운 화합물이 생성되므로 필연적으로 실리콘이 소모된다.However, the shallow bonding technique has a very small thermal budget since it must maintain a shallow junction depth in subsequent processes. That is, since the silicide process proceeds at a low temperature to heal the implantation damage caused by the ion implantation process performed to form the source and drain junctions, the damaged silicon cannot be sufficiently annealed, resulting in junction leakage current. Junction leakage current is increased. In addition, during the silicidation process, metals and silicon are inevitably consumed because new compounds are formed by chemical action.

따라서, 얕은 접합 기술이 적용된 딥-서브-하프-마이크론(deep sub-half- micron)집적회로 공정에서는 접합의 깊이가 얕아 N+/P+의 확산층의 깊이가 수백Å 정도로 매우 작으므로 소스/드레인 실리사이데이션은 채널 쪽으로 침투하면서 N+/P+확산층 영역을 모두 점유 잠식하여 드레인 영역 경계에서 트랜지스터의 기생 저항 값을 크게 증가시키는 결과를 초래하게 된다. 즉, 얕은 접합에 대한 실리사이데이션으로 인한 실리콘의 과다 소모는 접합의 누설 전류 증가, 드레인 기생 저항 증가, 드레인 접촉 저항 증가와 동시에 드레인 전류 구동 능력을 저하시키게 된다.Therefore, in deep sub-half-micron integrated circuit processes with shallow junction technology, the depth of junction is shallow and the depth of the diffusion layer of N + / P + is very small, such as hundreds of microns, so that the source / drain Silicide penetrates into the channel and occupies all of the N + / P + diffusion layer regions, resulting in a significant increase in the parasitic resistance of the transistor at the drain region boundary. In other words, excessive consumption of silicon due to silicidation for shallow junctions leads to an increase in leakage current, drain parasitic resistance, and drain contact resistance of the junction, and at the same time, the drain current driving ability.

특히, SOI 소자의 소스/드레인 활성 실리콘의 두께가 400Å 정도 이하로 얇은 경우 실리사이드화된 두께가 N+/P+확산층 모두를 잠식하여 점유하게 되고, 하단의 매몰 절연막(buried oxide)과 만나게 되는 동시에, 증착된 금속 층으로 확산된 실리콘 원자는 수평 방향으로도 실리사이데이션이 진행되어 사이드월(sidewall)의 측면 방향으로 침투하게 된다. 그 한 예로서, 600℃ 티타늄 실리사이드(titanium silicide) 형성 공정 중 실리콘 원자는 티타늄 층으로 확산 침투하여 산화막 스페이서(spacer)의 측면에 티타늄 실리사이드를 수평 방향으로 형성하여, 게이트와 소스/드레인 사이의 누설 전류를 발생하는 문제점을 S. Wolf 는 상기 저서 "Silicon Processing for the VLSI Era"의 제2권 제146쪽 제37행 내지 제42행에서 지적하여 설명하고 있다.In particular, when the thickness of the source / drain active silicon of the SOI device is about 400 GPa or less, the silicided thickness occupies both N + / P + diffusion layers and meets the buried oxide at the bottom. In addition, the silicon atoms diffused into the deposited metal layer may be silicidated in the horizontal direction to penetrate the sidewall of the sidewall. As an example, during the 600 ° C. titanium silicide forming process, silicon atoms diffuse into and penetrate into the titanium layer to form titanium silicide in the horizontal direction on the side of the oxide spacer, thereby preventing leakage between the gate and the source / drain. S. Wolf points out the problem of current generation in the book "Silicon Processing for the VLSI Era", point 37, line 42 to line 42.

따라서, 본 발명의 제1 목적은 MOSFET의 소스 및 드레인 확산층의 깊이가 얕은 경우에도, 실리사이드화된 오믹 접촉 영역이 상기 소스 및 드레인 확산 영역 내에 존재하도록 하는 반도체 장치를 제공하는데 있다.Accordingly, a first object of the present invention is to provide a semiconductor device in which a silicided ohmic contact region exists in the source and drain diffusion regions even when the source and drain diffusion layers of the MOSFET are shallow.

본 발명의 제2 목적은 상기 제1 목적에 부가하여, 얕은 접합 깊이를 확보하기 위해 낮은 온도에서 실리사이데이션 공정을 수행하는 경우에도, 양호한 소스/드레인 오믹 접촉 및 낮은 누설 전류 특성을 갖는 반도체 장치를 제공하는데 있다.The second object of the present invention is, in addition to the first object, a semiconductor device having good source / drain ohmic contact and low leakage current characteristics even when the silicidation process is performed at a low temperature to secure a shallow junction depth. To provide.

본 발명의 제3 목적은 상기 제1 목적에 부가하여, LDD (lightly doped drain) MOSFET의 N+/P+소스 및 드레인의 확산층 깊이가 얕은 경우에도, 수평 방향으로 진행된 금속 실리사이드층이 LDD영역으로 침투되는 것을 방지하는 특성을 갖는 반도체 장치를 제공하는데 있다.The third object of the present invention is that in addition to the first object, even when the depth of the diffusion layer of the N + / P + source and drain of the lightly doped drain (LDD) MOSFET is shallow, the metal silicide layer advanced in the horizontal direction is moved to the LDD region. It is to provide a semiconductor device having a property of preventing penetration.

본 발명의 제4 목적은 상기 제1 목적에 부가하여, 활성 실리콘 층의 두께가 작은 절연체 상의 반도체(SOI) 기판에 제조하는 MOSFET의 경우에, 실리사이드화 된 오믹 접촉이 상기 소스 및 드레인 확산 영역 내에 존재하도록 하는 반도체 장치를 제공하는 데 있다.A fourth object of the present invention is, in addition to the first object, in the case of a MOSFET fabricated on a semiconductor (SOI) substrate on an insulator having a small thickness of an active silicon layer, a silicided ohmic contact is formed in the source and drain diffusion regions. It is to provide a semiconductor device to be present.

본 발명의 제5 목적은 상기 양호한 실리사이드 오믹 접촉과 기생 저항 성분이 작아 양호한 드레인 전류 구동 능력을 구비한 반도체 장치의 효율적인 제조 방법을 제공하는데 있다.A fifth object of the present invention is to provide an efficient manufacturing method of a semiconductor device having the good silicide ohmic contact and the parasitic resistance component having a good drain current driving capability.

제1도는 본 발명의 제1 실시예에 따른 반도체 장치의 주요부를 도시한 단면도.1 is a cross sectional view showing a main part of a semiconductor device according to a first embodiment of the present invention;

제2a도 내지 제2g도는 본 발명의 제1 실시예에 따른 반도체 장치의 형성 방법을 나타낸 공정 순서도.2A to 2G are process flowcharts showing a method of forming a semiconductor device according to a first embodiment of the present invention.

제3a도 내지 제3f도는 본 발명의 제2 실시예에 따른 반도체 장치의 형성 방법을 나타낸 공정 순서도.3A to 3F are process flowcharts showing a method of forming a semiconductor device according to a second embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for main parts of the drawings>

10, 20 : 다결정 실리콘 게이트 전극10, 20: polycrystalline silicon gate electrode

11, 12, 21, 22 : 제1 스페이서11, 12, 21, 22: first spacer

18 : 게이트 산화막18: gate oxide film

23, 24 : 제2 스페이서23, 24: second spacer

30 : 제1 절연막30: first insulating film

41, 42 : 제2 도전형 고농도 불순물 확산층41, 42: high conductivity impurity diffusion layer of the second conductivity type

50 : 제1 도전형 반도체 기판50: first conductivity type semiconductor substrate

71, 72 : 실리사이드층71, 72: silicide layer

76, 77 : 질화막층76, 77: nitride film layer

80 : 얕은 트렌치 분리(shallow trench isolation; STI)80: shallow trench isolation (STI)

90 : 포토레지스트 마스크90 photoresist mask

170 : 제2 실시예에 따른 게이트 상부에 형성된 실리사이드층170: a silicide layer formed on the gate according to the second embodiment

183, 184 : 제2 실시예에 따른 저농도 확산층 영역183 and 184: low concentration diffusion layer region according to the second embodiment

185, 186 : 제2 실시예에 따른 고농도 확산층 영역185 and 186: high concentration diffusion layer region according to the second embodiment

A : 메모리 셀 코아(core) 영역A: memory cell core area

B : 주변 회로 영역B: peripheral circuit area

상기 목적을 달성하기 위하여 본 발명은 제1 도전형 반도체 기판 상에 형성된 고집적 소자의 트랜지스터에 있어서, 상기 반도체 기판 상에 형성된 게이트 전극과; 상기 게이트 전극 하부에 소정의 두께를 갖는 게이트 절연막과; 상기 게이트 양 측벽에 형성된 제1 두께의 폭을 갖는 제1 스페이서와; 상기 제1 스페이서와 인접하며 양 측벽에 형성된 제2 두께의 폭을 갖는 제2 스페이서와; 상기 제1 스페이서에 자기 정렬되고 상기 반도체 기판 상에 형성된 제2 도전형 불순물 확산층과; 상기 제2 스페이서에 자기 정렬되고 상기 제2 도전형 불순물 확산층의 상부에 형성된 실리사이드층과; 상기 게이트 전극의 상부에 형성된 실리사이드층으로 구성됨을 특징으로 하는 반도체 장치를 제공한다.In order to achieve the above object, the present invention provides a transistor of a high integration device formed on a first conductivity type semiconductor substrate, comprising: a gate electrode formed on the semiconductor substrate; A gate insulating film having a predetermined thickness under the gate electrode; First spacers having a width of a first thickness formed on both sidewalls of the gate; A second spacer adjacent to the first spacer and having a width of a second thickness formed on both sidewalls; A second conductivity type impurity diffusion layer self-aligned with the first spacer and formed on the semiconductor substrate; A silicide layer self-aligned with the second spacer and formed on the second conductive impurity diffusion layer; Provided is a semiconductor device comprising a silicide layer formed on an upper portion of the gate electrode.

상기 또 다른 목적을 달성하기 위하여, 본 발명은 제1 도전형 기판 상에 게이트 산화막을 형성하는 단계; 상기 게이트 산화막 상부에 게이트 전극을 형성하는 단계; 상기 게이트 양 측벽에 제1 스페이서를 형성하는 단계; 상기 제1 스페이서를 마스크로 하여 제2 도전형 불순물 확산층을 형성하는 단계; 상기 제1 도전형 기판의 전면에 제2 절연막을 도포 하는 단계; 상기 제2 절연막으로부터 제1 스페이서 양 측벽에 제2 스페이서를 이중으로 형성하는 단계; 상기 제2 스페이서를 마스크로 하여 자기 정렬 방식으로 상기 제2 도전형 고농도 불순물 확산층의 상부 및 게이트 전극 상부에 실리사이드층을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법을 제공한다.In order to achieve the above another object, the present invention comprises the steps of forming a gate oxide film on the first conductive substrate; Forming a gate electrode on the gate oxide layer; Forming first spacers on both sidewalls of the gate; Forming a second conductivity type impurity diffusion layer using the first spacer as a mask; Applying a second insulating film to the entire surface of the first conductive substrate; Forming a second spacer on both sidewalls of the first spacer from the second insulating layer; And forming a silicide layer on the second conductive type high concentration impurity diffusion layer and on the gate electrode using the second spacer as a mask in a self-aligned manner.

이하, 본 발명에 따른 반도체 장치 및 그 제조 방법의 양호한 실시예를 첨부 도면을 참조하여 상세히 설명한다.DESCRIPTION OF THE PREFERRED EMBODIMENTS Preferred embodiments of a semiconductor device and a method of manufacturing the same according to the present invention will now be described in detail with reference to the accompanying drawings.

제1도는 본 발명의 제1 실시예에 의한 반도체 장치를 나타낸 도면이다. 제1도를 참조하면, 먼저 제1 도전형 반도체 기판 상에 게이트 절연막(18)이 형성되어 있으며, 상기 게이트 절연막 상부에 게이트 전극(10)이 형성되어 있다. 그리고, 상기 게이트 절연막의 하부 반도체 기판 내에는 제1 도전형 채널 영역이 형성되어 있고, 상기 게이트 전극을 중심으로 양측의 측벽에 제1 스페이서(21, 22)와 제2 스페이서(23, 24)가 이중으로 인접하여 형성되어 있다.1 is a diagram showing a semiconductor device according to a first embodiment of the present invention. Referring to FIG. 1, first, a gate insulating film 18 is formed on a first conductive semiconductor substrate, and a gate electrode 10 is formed on the gate insulating film. The first conductive channel region is formed in the lower semiconductor substrate of the gate insulating layer, and the first spacers 21 and 22 and the second spacers 23 and 24 are formed on both sidewalls of the gate electrode. It is formed adjacent to a double.

또한, 상기 제1 스페이서(21, 22) 하부의 실리콘 기판에는 자기 정렬된 제2 도전형 고농도로 도우핑된 소스(41) 및 드레인(42)층이 형성되어 있으며, 상기 제2 스페이서(23, 24) 하부의 실리콘 기판에는 자기 정렬된 실리사이드층(71, 72)이 형성되어 있다. 또한, 상기 게이트 전극 상부(76)에는 질화막층이 형성되어 있다.In addition, the silicon substrate under the first spacers 21 and 22 is formed with a self-aligned second conductive type doped source 41 and drain 42 layers, and the second spacers 23, 24) Self-aligned silicide layers 71 and 72 are formed on the lower silicon substrate. In addition, a nitride film layer is formed on the gate electrode 76.

제2a도 내지 제2g도는 제1 실시예에 따른 반도체 장치의 제조 방법을 나타내는 공정 순서도이다. 본원 발명의 제1 실시예는 디램 제조 공정을 위한 바람직한 실시 예로서, 도면 좌측 A 부분에 도시된 반도체 제조 공정은 메모리 셀의 코아용 제조 단면을 보이고 있고, 우측 B 부분에 도시된 공정 단면도는 주변 회로용 트랜지스터의 제조 순서를 보여주는 도면이다. 한편, 각각의 반도체 소자 분리를 위한 바람직한 실시 예로 얕은 트렌치 분리(shallow trench isolation; STI) 또는 국부 산화 (local oxidation of silicon; LOCOS) 등이 사용될 수 있다.2A to 2G are process flowcharts showing a method for manufacturing a semiconductor device according to the first embodiment. The first embodiment of the present invention is a preferred embodiment for the DRAM manufacturing process, the semiconductor manufacturing process shown in the left A portion of the drawing shows a cross-sectional manufacturing for the core of the memory cell, the cross-sectional view shown in the right B portion A diagram showing a manufacturing procedure of a transistor for a circuit. Meanwhile, a shallow trench isolation (STI) or local oxidation of silicon (LOCOS) may be used as a preferred embodiment for the isolation of each semiconductor device.

제2a도는 게이트 산화막(18), 게이트 전극(10, 20) 형성 공정을 도시한 것으로, 먼저 제1 도전형 기판(50) 위에 소정의 두께의 게이트 산화막(18), 실리콘 게이트(10, 20) 형성을 위한 도우핑된 다결정 실리콘 및 질화막층(76, 77)을 형성한 후, 포토레지스트 도포, 마스크 노광 및 현상 등의 공정을 거쳐 원하는 크기의 게이트 전극을 형성한다. 이어서, 상기 게이트 전극에 자기 정렬시켜 제2 도전형 저농도 불순물을 반도체 웨이퍼 전면에 주입한다.2A illustrates a process of forming the gate oxide film 18 and the gate electrodes 10 and 20. First, the gate oxide film 18 and the silicon gates 10 and 20 having a predetermined thickness are formed on the first conductive substrate 50. After forming the doped polycrystalline silicon and nitride film layers 76 and 77 for formation, a gate electrode of a desired size is formed through a process such as photoresist coating, mask exposure and development. Subsequently, the second conductive type low concentration impurity is implanted on the entire surface of the semiconductor wafer by self-alignment with the gate electrode.

제2b도는 상기 제2a도 공정 후 결과물 전면에 제1 절연막(30) 예컨대 질화막을 형성하는 공정을 나타낸다.FIG. 2B illustrates a process of forming the first insulating film 30, for example, a nitride film, on the entire surface of the resultant after the process of FIG. 2A.

제2c도는 상기 제1 절연막의 에치백(etch back) 공정 결과 제1 스페이서(11, 12, 21, 22)를 형성하는 공정을 도시한 것으로, 상기 게이트 전극(10, 20)의 양측 측벽에 소정의 두께의 폭을 갖는 스페이서를 형성한다. 바람직한 실시예로서, 제1 스페이서(11, 12, 21, 22)를 형성하기 위하여 상기 제2b도에 도시한 제1 절연막(30) 증착 공정에서 질화막을 500Å 정도로 도포할 수 있다.FIG. 2C illustrates a process of forming the first spacers 11, 12, 21, and 22 as a result of the etch back process of the first insulating layer, and is formed on both sidewalls of the gate electrodes 10 and 20. To form a spacer having a width of. As a preferred embodiment, in order to form the first spacers 11, 12, 21, and 22, the nitride film may be coated at about 500 kPa in the deposition process of the first insulating film 30 shown in FIG. 2B.

제2d도는 상기 제2c도 공정 후 제2 도전형 고농도 확산층을 형성하는 공정을 도시한 것으로서, 먼저 메모리 셀 코아 부분은 포토레지스트(90) 마스크를 한 상태에서, 주변 회로(periphery)를 위한 트랜지스터의 소스(41)와 드레인(42)을 상기 제2c도 공정에서 형성한 제1 스페이서(11, 12, 21, 22)에 자기 정렬시켜 고농도의 제2 도전형 불순물로 이온 주입 시킴으로써 형성한다. 바람직한 실시예로서, N형 MOSFET의 소스 및 드레인으로서 상기 제2 도전형 불순물은 비소(As)를 5 ∼ 20 KeV의 에너지로 이온 주입 할 수 있다. 여기서, 디램(dynamic random access memory; DRAM) 셀의 리프레시(refresh) 특성을 개선하기 위해서는, 주변 영역(periphery) 소자만의 소스 및 드레인에 실리사이드 공정을 적용하기 위하여 상기 포토레지스트(90)으로 셀코아 부분("A"영역)을 마스킹하는 것이 바람직하다.FIG. 2D illustrates a process of forming the second conductivity-type high concentration diffusion layer after the process of FIG. 2C. First, the memory cell core portion of the transistor for a peripheral circuit is formed with the photoresist 90 masked. The source 41 and the drain 42 are formed by self-aligning the first spacers 11, 12, 21, and 22 formed in the above-mentioned second c process by ion implantation with a high concentration of the second conductivity type impurity. In a preferred embodiment, the second conductivity type impurity as a source and a drain of an N-type MOSFET can ion implant arsenic (As) with an energy of 5 to 20 KeV. Here, in order to improve the refresh characteristics of a DRAM (dynamic random access memory (DRAM) cell), a cell core is applied to the photoresist 90 to apply a silicide process to a source and a drain of only a peripheral region device. It is desirable to mask the portion ("A" region).

제2e도는 상기 제2d도 공정 후 결과물 전면에 제2 절연막(60) 예컨대 질화막을 도포하는 공정을 나타낸다. 바람직한 실시 예로서, 상기 제2 절연막은 질화막을 100∼300Å 정도 도포함으로써 제2f도에 도시한 제2 스페이서(23, 24)의 폭을 100∼300Å 정도로 제어할 수 있다.FIG. 2E illustrates a process of applying a second insulating film 60, for example, a nitride film, to the entire surface of the resultant after the process of FIG. 2D. As a preferred embodiment, the second insulating film may be coated with about 100 to 300 microseconds of nitride film to control the width of the second spacers 23 and 24 shown in FIG. 2f to about 100 to 300 microseconds.

제2f도는 상기 제2e도 공정 후 "B" 영역에만 제2 스페이서를 에치백 공정을 통하여 형성하는 공정으로서, "A"영역은 포토레지스트(90)에 의해 마스킹되어 있으므로 제2 스페이서 형성이 되지 않는다.FIG. 2F is a step of forming the second spacer only through the etch back process in the region "B" after the process of FIG. 2E. Since the region "A" is masked by the photoresist 90, the second spacer is not formed. .

제2g도는 소스(41) 및 드레인(42) 영역에 실리사이드(71, 72)를 형성하는 공정을 도시한 것으로서, 먼저 메모리 셀 코아 부분 ("A" 영역)은 제2 질화막(60)으로 마스크를 한 상태에서, 주변회로(periphery)를 위한 트랜지스터 ("B" 영역)의 소스(41)와 드레인(42)을 실리사이드막을 형성하기 위한 소정의 금속을 결과물 전면에 도포한다. 상기 도포하는 실리사이드용 금속의 바람직한 실시 예로서, 백금(Pt), 플라디뮴(Pd), 코발트(Co), 니켈(Ni), 탈륨(Ta), 텅스텐(W), 몰리브덴(Mo), 티타늄(Ti)등을 사용할 수 있다.FIG. 2G illustrates a process of forming silicides 71 and 72 in the source 41 and drain 42 regions, in which a memory cell core portion (“A” region) is formed by masking the second nitride layer 60. In one state, a predetermined metal for forming the silicide film is applied to the entire surface of the resultant source 41 and the drain 42 of the transistor ("B" region) for the peripheral circuit (periphery). Preferred examples of the silicide metal to be applied include platinum (Pt), platium (Pd), cobalt (Co), nickel (Ni), thallium (Ta), tungsten (W), molybdenum (Mo), titanium ( Ti) can be used.

이어서, 소정의 온도로 열처리 공정을 거치면 실리콘과 접하여 있는 상기 금속은 화학 반응을 일으켜 실리사이드막(71, 72)이 형성된다. 이때에, 실리사이드 반응을 거치지 않은 절연막 위의 금속들은 소정의 용액에 의해 제거된다.Subsequently, when the heat treatment is performed at a predetermined temperature, the metal in contact with silicon causes a chemical reaction to form silicide films 71 and 72. At this time, the metals on the insulating film not subjected to the silicide reaction are removed by a predetermined solution.

여기서, DRAM 셀의 경우 메모리 리프레시 문제 때문에 셀 트랜지스터의 소스(43) 및 드레인(44)에는 실리사이드 공정이 바람직하지 않다. 따라서, 본 발명에서는 제2e도의 제2 절연막 도포 후 포토레지스트(90)를 사용하여, 셀 트랜지스터를 덮고 있는 제2 절연막은 에칭을 하지 않고 주변 회로를 위한 트랜지스터의 소스(41) 및 드레인(42)에만 실리사이드 공정이 진행된다. 또한, 본원 발명의 제1 실시예에 있어서, 게이트 전극의 상부에는 질화막이 형성되어 있으므로, 상기 제2g도의 실리사이드 공정 중에 게이트 전극 상부에는 실리사이드층이 형성되지 않게 된다.Here, in the case of DRAM cells, silicide processes are not preferable for the source 43 and the drain 44 of the cell transistor because of memory refresh problems. Therefore, in the present invention, the second insulating film covering the cell transistor using the photoresist 90 after application of the second insulating film of FIG. 2e is not etched, and the source 41 and the drain 42 of the transistor for the peripheral circuit are not etched. Only the silicide process proceeds. In the first embodiment of the present invention, since the nitride film is formed on the gate electrode, the silicide layer is not formed on the gate electrode during the silicide process of FIG.

제3a도 내지 제3f도는 본 발명의 제2 실시예에 따른 반도체 장치의 제조 방법을 나타내는 공정 순서도이다. 본 발명의 제2 실시예에 따르면, 상기 제1 실시 예의 기술적 특징을 확장하여 게이트 전극에 자기 정렬된 저농도로 도우핑된 드레인(LDD; 181, 182, 183, 184)과 제1 스페이서에 자기 정렬된 고농도로 도우핑된 드레인(185, 186, 187, 188)을 갖는 LDD(lightly doped drain) 반도체 제조 장치에 있어서 양호한 실리사이드 오믹 접촉을 소스 및 드레인뿐 아니라 게이트 전극에도 형성하는 기술을 제공한다.3A to 3F are process flowcharts showing a method of manufacturing a semiconductor device according to the second embodiment of the present invention. According to the second embodiment of the present invention, the technical features of the first embodiment may be extended to self-align the lightly doped drain LDDs 181, 182, 183, and 184 self-aligned to the gate electrode and the first spacer. In a lightly doped drain (LDD) semiconductor fabrication apparatus having a heavily doped drain (185, 186, 187, 188), a technique is provided for forming good silicide ohmic contact at the gate electrode as well as the source and drain.

본 발명의 제2 실시예는 중앙 프로세스 유닛 (central process unit; CPU) 등의 시스템 로직 제조 공정에 적용될 수 있는 반도체 장치의 제조 방법을 개시하고 있다.A second embodiment of the present invention discloses a method for manufacturing a semiconductor device that can be applied to a system logic manufacturing process such as a central process unit (CPU).

제3a도는 게이트 산화막(118), 게이트 전극(120) 및 저농도로 도우핑된 확산층(183, 184)을 형성하는 공정을 도시한 것으로, 먼저 제1 도전형 기판(150) 위에 소정의 두께의 게이트 산화막(118) 및 실리콘 게이트(120)용 도우핑된 다결정실리콘을 형성한 후, 포토레지스트 도포, 마스크 노광 및 현상 등의 공정을 거쳐 원하는 크기의 게이트 전극(120)을 형성한다. 이어서, 상기 공정 결과물 전면에 저농도 도우스의 제2 도전형 불순물 예컨대 비소(As)를 주입하여 저농도 드레인(LDD; 183, 184)을 형성한다.3A illustrates a process of forming the gate oxide film 118, the gate electrode 120, and the lightly doped diffusion layers 183 and 184. First, a gate having a predetermined thickness on the first conductive substrate 150 is formed. After the doped polycrystalline silicon is formed for the oxide film 118 and the silicon gate 120, a gate electrode 120 having a desired size is formed by performing photoresist coating, mask exposure, and development. Subsequently, a low concentration drain (LDD) 183 and 184 may be formed by injecting a low-concentration second conductivity type impurity such as arsenic (As) onto the entire surface of the process resultant.

제3b도는 상기 제3a도 공정 후 결과물 전면에 제1 절연막(130) 예컨대 질화막을 형성하는 공정을 나타낸다. 제3c도는 상기 제1 절연막의 에치백(etch back) 공정 결과 제1 스페이서(121, 122)를 형성하는 공정을 도시한 것으로, 상기 게이트 전극(120)의 양 측벽에 소정의 두께의 폭을 갖는 스페이서를 형성한다. 바람직한 실시예로서 제1 스페이서(121, 122)를 형성하기 위하여 상기 제3b도에 도시한 제1 절연막(130) 증착 공정에서 질화막을 500Å 정도 도포할 수 있다.3B illustrates a process of forming the first insulating layer 130, for example, a nitride film, on the entire surface of the resultant after the process of FIG. 3A. FIG. 3C illustrates a process of forming the first spacers 121 and 122 as a result of the etch back process of the first insulating layer, and has a width of a predetermined thickness on both sidewalls of the gate electrode 120. Form a spacer. In an embodiment, in order to form the first spacers 121 and 122, the nitride film may be coated with about 500 GPa in the deposition process of the first insulating film 130 shown in FIG. 3B.

제3d도는 상기 제3c도 공정 후 제2 도전형 고농도 도우핑 확산층을 형성하는 공정을 도시한 것으로서, 상기 제3c도 공정에서 형성한 제1 스페이서(121, 122)에 자기 정렬시켜 고농도의 불순물을 이온 주입 시킴으로써 형성한다.FIG. 3d illustrates a process of forming a second conductivity-type high-doped diffusion layer after the process of FIG. 3c, and self-alignment with the first spacers 121 and 122 formed in the process of FIG. It is formed by ion implantation.

상기 제3d도의 공정 이후에 진행되는 제3e도 및 제3f도의 반도체 제조 공정 순서는 이미 상술한 본원 발명의 제1 실시예를 나타낸 제2e도 및 제2f도가 개시하고 있는 반도체 제조 단계와 동일하므로 상세한 설명은 제1 실시예의 기술적 내용으로 갈음한다. 단지, 본원 발명의 제2 실시예는 게이트 전극(120) 상부에 상기 제1 실시예에서 적용되었던 질화막층이 존재하지 않으므로 실리사이드 공정 수행 시에 게이트 전극 상부에도 실리사이드막(170)이 형성된다.The semiconductor fabrication process sequence of FIGS. 3e and 3f proceeding after the process of FIG. 3d is the same as the semiconductor fabrication step disclosed in FIGS. 2e and 2f showing the first embodiment of the present invention described above. The description replaces the technical content of the first embodiment. However, in the second embodiment of the present invention, since the nitride layer applied in the first embodiment does not exist on the gate electrode 120, the silicide layer 170 is formed on the gate electrode when the silicide process is performed.

한편 제3f도를 참조하면, 자기 정렬된 실리사이드층(171, 172)은 고농도 확산층(185, 186)내에 충분히 오버랩 되어 있으므로, 실리사이드 형성 공정 진행 중의 수평 방향으로의 침투로 저농도 확산층(183, 184)으로의 오버랩을 방지할 수 있다.Meanwhile, referring to FIG. 3F, since the self-aligned silicide layers 171 and 172 are sufficiently overlapped in the high concentration diffusion layers 185 and 186, the low concentration diffusion layers 183 and 184 due to penetration in the horizontal direction during the silicide formation process are performed. Overlap can be prevented.

전술한 내용은 후술할 발명의 특허 청구 범위를 보다 잘 이해할 수 있도록 본 발명의 특징과 기술적 장점을 다소 폭넓게 개설하였다. 본 발명의 특허 청구 범위를 구성하는 부가적인 특징과 장점들이 이하에서 상술될 것이다. 개시된 본 발명의 개념과 특정 실시예는 본 발명과 유사 목적을 수행하기 위한 다른 구조의 설계나 수정의 기본으로서 즉시 사용될 수 있음이 당해 기술 분야의 숙련된 사람들에 의해 인식되어야 한다.The foregoing has outlined rather broadly the features and technical advantages of the present invention to better understand the claims of the invention which will be described later. Additional features and advantages that make up the claims of the present invention will be described below. It should be appreciated by those skilled in the art that the conception and specific embodiments of the invention disclosed may be readily used as a basis for designing or modifying other structures for carrying out similar purposes to the invention.

또한, 본 발명에서 개시된 발명 개념과 실시예가 본 발명의 동일 목적을 수행하기 위하여 다른 구조로 수정하거나 설계하기 위한 기초로서 당해 기술 분야의 숙련된 사람들에 의해 사용되어질 수 있을 것이다. 또한, 당해 기술 분야의 숙련된 사람에 의한 그와 같은 수정 또는 변경된 등가 구조는 특허 청구 범위에서 기술한 발명의 사상이나 범위를 벗어나지 않는 한도 내에서 다양한 변화, 치환 및 변경이 가능하다.In addition, the inventive concepts and embodiments disclosed herein may be used by those skilled in the art as a basis for modifying or designing other structures for carrying out the same purposes of the present invention. In addition, such modifications or altered equivalent structures by those skilled in the art may be variously changed, substituted, and changed without departing from the spirit or scope of the invention described in the claims.

이상과 같이 본 발명에 따른 반도체 장치는 종래의 얕은 접합 트랜지스터의 실리사이데이션 공정이 지니는 문제점을 해결하기 위한 구조로, 본 발명은 이중 게이트 스페이서를 사용하여 소스 및 드레인의 고농도 확산층이 실리사이드층을 충분히 오버랩할 수 있도록 보장함으로써, 실리사이드층이 채널 쪽으로 침투하는 것을 방지하고, 고농도 소스 및 드레인이 실리사이드층에 의해 모두 잠식되어서 기생 저항 성분이 증가하는 것을 방지할 수 있다.As described above, the semiconductor device according to the present invention has a structure for solving the problem of the conventional silicidation process of the shallow junction transistor, and the present invention uses a double gate spacer, and the high concentration diffusion layer of the source and drain sufficiently fills the silicide layer. By ensuring that it can overlap, it is possible to prevent the silicide layer from penetrating into the channel and to prevent the high concentration of source and drain from being encroached by the silicide layer to increase the parasitic resistance component.

또한, 본 발명의 또 다른 효과는 수평 방향 실리사이드 형성을 억제함으로써 게이트 전극과의 브리지 현상으로 인한 누설 전류를 차단할 수 있으며, 특히 SOI 소자의 경우 실리사이드화 된 소스 및 드레인 영역이 매몰 절연막 층(buried oxide)에 근접하는 것을 방지할 수 있다.In addition, another effect of the present invention is to suppress the leakage of the current due to the bridge phenomenon with the gate electrode by suppressing the formation of the horizontal silicide, and in the case of SOI devices, the silicided source and drain regions are buried oxide layer (buried oxide layer) ) Can be prevented.

따라서, 본 발명의 이중 게이트 스페이서를 이용하여 소스 및 드레인 실리사이드를 형성하는 경우, 자기 정렬 방식으로 매우 낮은 접촉 저항을 갖는 게이트, 소스 및 드레인 전극을 형성할 수 있으며, 얕은 접합의 트랜지스터의 경우에도 확산층 잠식으로 인한 트랜스컨덕턴스(gm), 드레인 구동 전류(Idsat), 드레인 기생저항(Rd)의 열화 없이 양호한 딥-서브-하프-마이크로미터 소자의 제작이 가능하게 된다.Therefore, when the source and drain silicides are formed using the double gate spacer of the present invention, gate, source and drain electrodes having a very low contact resistance can be formed in a self-aligned manner, and in the case of a transistor having a shallow junction, the diffusion layer It is possible to manufacture a good deep-sub-half-micrometer device without deterioration of transconductance g m , drain drive current Idsat, and drain parasitic resistance R d due to erosion.

Claims (14)

제1 도전형 반도체 기판 상에 형성된 고집적 소자의 트랜지스터에 있어서,In the transistor of the highly integrated element formed on the first conductivity type semiconductor substrate, 상기 반도체 기판 상에 형성된 게이트 전극과;A gate electrode formed on the semiconductor substrate; 상기 게이트 전극 하부에 소정의 두께를 갖는 게이트 절연막과;A gate insulating film having a predetermined thickness under the gate electrode; 상기 게이트 양 측벽에 형성된 소정의 폭을 갖는 제1 스페이서와;First spacers having a predetermined width formed on both sidewalls of the gate; 상기 제1 스페이서와 인접하며 양 측벽에 형성된 소정의 폭을 갖는 제2 스페이서와;A second spacer adjacent to the first spacer and having a predetermined width formed on both sidewalls; 상기 제1 스페이서에 자기 정렬되고 상기 반도체 기판 상에 형성된 제2 도전형 소스 및 드레인 영역과;A second conductivity type source and drain region self-aligned with the first spacer and formed on the semiconductor substrate; 상기 제2 스페이서에 자기 정렬되고 상기 제2 도전형 소스 및 드레인 영역의 상부에 형성된 실리사이드층으로 구성됨Comprising a silicide layer self-aligned to the second spacer and formed on the second conductive source and drain region 을 특징으로 하는 반도체 장치.A semiconductor device, characterized in that. 제1항에 있어서, 상기 게이트 전극에 자기 정렬되고 상기 제1 도전형 반도체 기판 상에 형성된 제2 도전형 저농도 소스 및 드레인 영역을 더 구비하는 것을 특징으로 하는 반도체 장치.2. The semiconductor device of claim 1, further comprising a second conductivity type low concentration source and drain region self-aligned to the gate electrode and formed on the first conductivity type semiconductor substrate. 제1항에 있어서, 상기 게이트 전극의 상부에 실리사이드층을 더 구비하는 것을 특징으로 하는 반도체 장치.The semiconductor device according to claim 1, further comprising a silicide layer on the gate electrode. 제1항에 있어서, 상기 게이트 전극의 상부에 질화막층을 더 구비하는 것을 특징으로 하는 반도체 장치.The semiconductor device according to claim 1, further comprising a nitride film layer on the gate electrode. 제1항에 있어서, 상기 반도체 기판은 절연체 상의 반도체 기판(SOI) 상에 형성된 기판을 포함하는 것을 특징으로 하는 반도체 장치.The semiconductor device according to claim 1, wherein the semiconductor substrate comprises a substrate formed on a semiconductor substrate (SOI) on an insulator. 제1 도전형 반도체 기판 상에 게이트 산화막을 형성하는 단계;Forming a gate oxide film on the first conductivity type semiconductor substrate; 상기 게이트 산화막 상부에 게이트 전극을 형성하는 단계;Forming a gate electrode on the gate oxide layer; 상기 반도체 기판의 전면에 제1 절연막을 도포하는 단계;Applying a first insulating film to the entire surface of the semiconductor substrate; 상기 제1 절연막으로부터 상기 게이트 양 측벽에 제1 스페이서를 형성하는 단계;Forming first spacers on both sidewalls of the gate from the first insulating layer; 상기 제1 스페이서를 마스크로 하여 제2 도전형 소스 및 드레인 영역을 형성하는 단계;Forming a second conductivity type source and drain region using the first spacer as a mask; 상기 반도체 기판의 전면에 제2 절연막을 도포하는 단계;Applying a second insulating film to the entire surface of the semiconductor substrate; 상기 제2 절연막으로부터 상기 제1 스페이서 양 측벽에 제2 스페이서를 이중으로 형성하는 단계;Forming a second spacer on both sidewalls of the first spacer from the second insulating layer; 상기 제2 스페이서에 자기 정렬하여 반도체 기판 상의 노출된 실리콘 영역의 상부에 소정의 실리사이드층을 형성하는 단계Self-aligning to the second spacer to form a predetermined silicide layer on top of the exposed silicon region on the semiconductor substrate 를 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.Method for manufacturing a semiconductor device comprising a. 제6항에 있어서, 상기 제2 절연막을 도포하는 공정 후 상기 반도체 기판 상의 소정의 부위를 마스킹 함으로써 상기 제2 스페이서 및 상기 실리사이드층이 형성되는 것을 방지하는 단계를 더 구비하는 것을 특징으로 하는 반도체 장치의 제조 방법.7. The semiconductor device according to claim 6, further comprising preventing the formation of the second spacer and the silicide layer by masking a predetermined portion on the semiconductor substrate after applying the second insulating film. Method of preparation. 제6항에 있어서, 상기 게이트 전극에 자기 정렬하여 제2 도전형 저농도 소스 및 드레인 영역을 형성하는 단계를 더 구비하는 것을 특징으로 하는 반도체 장치의 제조 방법.7. The method of claim 6, further comprising self-aligning the gate electrode to form a second conductivity type low concentration source and drain region. 제6항에 있어서, 상기 제1 스페이서의 두께가 500Å인 것을 특징으로 하는 반도체 장치의 제조 방법.7. The method of manufacturing a semiconductor device according to claim 6, wherein the thickness of the first spacer is 500 microseconds. 제6항에 있어서, 상기 제2 스페이서의 두께가 100 ∼ 300Å인 것을 특징으로 하는 반도체 장치의 제조 방법.The method of manufacturing a semiconductor device according to claim 6, wherein the second spacer has a thickness of 100 to 300 GPa. 제6항에 있어서, 상기 제2 도전형 불순물은 5 ∼ 20 KeV의 에너지로 주입되는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of manufacturing a semiconductor device according to claim 6, wherein the second conductivity type impurity is implanted at an energy of 5 to 20 KeV. 제6항에 있어서, 상기 실리사이드층은 백금(Pt), 플라디뮴(Pd), 코발트(Co), 니켈(Ni), 탈륨(Ta), 텅스텐(W), 몰리브덴(Mo), 티타늄(Ti), 들 중 어느 하나를 사용하여 실리사이드막을 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of claim 6, wherein the silicide layer is platinum (Pt), platinum (Pd), cobalt (Co), nickel (Ni), thallium (Ta), tungsten (W), molybdenum (Mo), titanium (Ti) Or a silicide film is formed using any one of the methods. 제6항에 있어서, 상기 게이트 전극 상부에 질화막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of claim 6, further comprising forming a nitride film on the gate electrode. 제7항에 있어서, 상기 제1 도전형 반도체 기판 상의 소정의 마스킹 부위는 디램 반도체 제조 공정에 있어서 메모리 셀 부위로 구성됨을 특징으로 하는 반도체 장치의 제조 방법.8. The method of claim 7, wherein the predetermined masking portion on the first conductivity type semiconductor substrate comprises memory cell portions in a DRAM semiconductor manufacturing process.
KR1019980020758A 1998-06-05 1998-06-05 Self-aligned silicide transistor and method therof KR20000000858A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019980020758A KR20000000858A (en) 1998-06-05 1998-06-05 Self-aligned silicide transistor and method therof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980020758A KR20000000858A (en) 1998-06-05 1998-06-05 Self-aligned silicide transistor and method therof

Publications (1)

Publication Number Publication Date
KR20000000858A true KR20000000858A (en) 2000-01-15

Family

ID=19538388

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980020758A KR20000000858A (en) 1998-06-05 1998-06-05 Self-aligned silicide transistor and method therof

Country Status (1)

Country Link
KR (1) KR20000000858A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040001275A (en) * 2002-06-27 2004-01-07 삼성전자주식회사 Manufacturing method of a semiconductor device comprising salicide forming process
KR100423904B1 (en) * 2002-03-26 2004-03-22 삼성전자주식회사 Method of forming semiconductor device having a contact connected with mos transistor
KR100707088B1 (en) * 2005-12-28 2007-04-13 동부일렉트로닉스 주식회사 Method of fabricating semiconductor device
KR20160018985A (en) * 2014-08-08 2016-02-18 삼성전자주식회사 semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100423904B1 (en) * 2002-03-26 2004-03-22 삼성전자주식회사 Method of forming semiconductor device having a contact connected with mos transistor
KR20040001275A (en) * 2002-06-27 2004-01-07 삼성전자주식회사 Manufacturing method of a semiconductor device comprising salicide forming process
KR100707088B1 (en) * 2005-12-28 2007-04-13 동부일렉트로닉스 주식회사 Method of fabricating semiconductor device
KR20160018985A (en) * 2014-08-08 2016-02-18 삼성전자주식회사 semiconductor device

Similar Documents

Publication Publication Date Title
US5929483A (en) Semiconductor device having spacer and method of making same
KR100568854B1 (en) Method for forming transistor with recess channel for use in semiconductor memory
US6888176B1 (en) Thyrister semiconductor device
US7804107B1 (en) Thyristor semiconductor device and method of manufacture
KR19980029024A (en) MOSFET and manufacturing method
KR20030045633A (en) Semiconductor device and method for manufacturing the same
US20010002058A1 (en) Semiconductor apparatus and method of manufacture
KR100568077B1 (en) Method for manufacturing semiconductor device
US5849622A (en) Method of forming a source implant at a contact masking step of a process flow
KR100574172B1 (en) Method for fabricating semiconductor device
KR100255135B1 (en) Method for manufacturing a semiconductor device
US6333220B1 (en) Method and apparatus for providing low-GIDL dual workfunction gate doping with borderless diffusion contact
KR100255134B1 (en) Semiconductor device and method for manufacturing the same
KR20000000858A (en) Self-aligned silicide transistor and method therof
KR100341182B1 (en) Method of forming mos transistor in semiconductor device
US6232208B1 (en) Semiconductor device and method of manufacturing a semiconductor device having an improved gate electrode profile
US6274448B1 (en) Method of suppressing junction capacitance of source/drain regions
KR0144413B1 (en) Semiconductor device and manufacturing method
US20020113278A1 (en) Stacked semiconductor integrated circuit device and manufacturing method thereof
KR20030013624A (en) Semiconductor device having notched gate electrode and method for manufacturing the same
KR100552848B1 (en) Method for fabricating the MOSFET using selective silicidation
KR100269280B1 (en) Manufacture method of ldd type mos transistor
KR100451318B1 (en) Semiconductor fabrication method for enhancing reliability by minimizing channeling phenomenon in ion implantation process
KR20010036179A (en) Method of forming a silicide simultaneously in a cell region and a peripheral region using a silicon epitaxi
KR20000046960A (en) Fabrication method of transistor of semiconductor device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination