KR102691905B1 - Methods of operating the spatial deposition tool - Google Patents

Methods of operating the spatial deposition tool Download PDF

Info

Publication number
KR102691905B1
KR102691905B1 KR1020217016393A KR20217016393A KR102691905B1 KR 102691905 B1 KR102691905 B1 KR 102691905B1 KR 1020217016393 A KR1020217016393 A KR 1020217016393A KR 20217016393 A KR20217016393 A KR 20217016393A KR 102691905 B1 KR102691905 B1 KR 102691905B1
Authority
KR
South Korea
Prior art keywords
substrate support
processing
wafer
heater
support assembly
Prior art date
Application number
KR1020217016393A
Other languages
Korean (ko)
Other versions
KR20210070383A (en
Inventor
조셉 오부촌
산지브 발루자
마이클 라이스
아르카프라바 댄
한홍 첸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020247025914A priority Critical patent/KR20240121354A/en
Publication of KR20210070383A publication Critical patent/KR20210070383A/en
Application granted granted Critical
Publication of KR102691905B1 publication Critical patent/KR102691905B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Image-Pickup Tubes, Image-Amplification Tubes, And Storage Tubes (AREA)

Abstract

하나 이상의 웨이퍼를 처리하기 위한 장치 및 방법들이 설명된다. 공간적 증착 툴은, 기판 지지 조립체 상의 복수의 기판 지지 표면들, 및 복수의 공간적으로 분리되고 격리된 처리 스테이션들을 포함한다. 공간적으로 분리된 격리된 처리 스테이션들은 독립적으로 제어된 온도, 처리 가스 유형들, 및 가스 유동들을 갖는다. 일부 실시예들에서, 하나 또는 다수의 처리 스테이션들에 대한 처리 가스들은 플라즈마 소스들을 사용하여 활성화된다. 공간적 툴의 동작은, 기판 조립체를 제1 방향으로 회전시키는 것, 및 기판 조립체를 제2 방향으로 회전시키는 것, 및 미리 결정된 두께가 기판 표면(들) 상에 증착될 때까지 제1 방향 및 제2 방향으로의 회전들을 반복하는 것을 포함한다.Apparatus and methods for processing one or more wafers are described. A spatial deposition tool includes a plurality of substrate support surfaces on a substrate support assembly, and a plurality of spatially separated and isolated processing stations. Spatially separated and isolated processing stations have independently controlled temperatures, process gas types, and gas flows. In some embodiments, process gases for one or multiple process stations are activated using plasma sources. Operation of the spatial tool includes rotating the substrate assembly in a first direction, and rotating the substrate assembly in a second direction, and rotating the substrate assembly in the first direction and the second direction until a predetermined thickness is deposited on the substrate surface(s). It involves repeating rotations in two directions.

Description

공간적 증착 툴을 동작시키는 방법들Methods of operating the spatial deposition tool

본 개시내용은 일반적으로, 박막들을 증착하기 위한 장치 및 웨이퍼를 처리하기 위한 방법들에 관한 것이다. 특히, 본 개시내용은, 복수의 이동가능한 가열 웨이퍼 지지부들 및 공간적으로 분리된 처리 스테이션들, 및 공간적으로 분리된 격리된 처리 스테이션들을 갖는 처리 챔버에 관한 것이다.This disclosure relates generally to apparatus for depositing thin films and methods for processing wafers. In particular, the present disclosure relates to a processing chamber having a plurality of movable heated wafer supports and spatially separated processing stations, and spatially separated isolated processing stations.

현재의 원자 층 증착(ALD) 프로세스들에는 다수의 잠재적 문제들 및 어려움들이 있다. 많은 ALD 화학물질들(예컨대, 전구체들 및 반응물들)은 "비-상용성(incompatible)"이며, 이는, 화학물질들이 함께 혼합될 수 없다는 것을 의미한다. 비-상용성 화학물질들이 혼합되는 경우, ALD 프로세스 대신 화학 기상 증착(CVD) 프로세스가 발생할 수 있다. CVD 프로세스는 일반적으로 ALD 프로세스보다 더 적은 두께 제어를 가지고/거나 결과적인 디바이스에서 결함들을 야기할 수 있는 가스 상 입자들의 생성을 초래할 수 있다. 한 번에 단일 반응성 가스가 처리 챔버 내로 유동되는 통상적인 시간-도메인 ALD 프로세스의 경우, 화학물질들이 가스 상으로 혼합되지 않도록 긴 퍼지/펌핑 배출 시간이 발생한다. 공간적 ALD 챔버는 시간-도메인 ALD 챔버가 펌핑/퍼지할 수 있는 것보다 빠르게 하나 이상의 웨이퍼(들)를 하나의 환경으로부터 제2 환경으로 이동시킬 수 있으며, 그 결과, 처리량이 더 높아지게 된다.There are a number of potential problems and difficulties with current atomic layer deposition (ALD) processes. Many ALD chemicals (eg, precursors and reactants) are “incompatible,” meaning that they cannot be mixed together. When incompatible chemicals are mixed, a chemical vapor deposition (CVD) process may occur instead of an ALD process. CVD processes generally have less thickness control than ALD processes and/or can result in the generation of gaseous particles that can cause defects in the resulting device. For a typical time-domain ALD process where a single reactive gas is flowed into the processing chamber at a time, long purge/pump discharge times occur to prevent chemicals from mixing into the gas phase. A spatial ALD chamber can move one or more wafer(s) from one environment to a second environment faster than a time-domain ALD chamber can pump/purge, resulting in higher throughput.

반도체 산업은, 더 낮은 온도들(예컨대, 350 ℃ 미만)에서 증착될 수 있는 고품질 막들을 요구한다. 열 전용 프로세스로 막이 증착될 온도 미만의 온도들에서 고품질 막들을 증착하기 위해, 대안적인 에너지 소스들이 필요하다. 이온들 및 라디칼들의 형태로 ALD 막에 부가적인 에너지를 제공하기 위해 플라즈마 솔루션들이 사용될 수 있다. 수직 측벽 ALD 막 상에서 충분한 에너지를 얻는 것이 난제이다. 이온들은 전형적으로, 웨이퍼 표면에 수직인 방향으로 웨이퍼 위의 시스(sheath)를 통해 가속된다. 따라서, 수직 표면들에 평행하게 이동하는 이온들 때문에, 이온들은 수평 ALD 막 표면들에 에너지를 제공하지만 수직 표면들에는 불충분한 양의 에너지를 제공한다.The semiconductor industry requires high quality films that can be deposited at lower temperatures (eg, below 350° C.). To deposit high quality films at temperatures below those at which films would be deposited in a heat-only process, alternative energy sources are needed. Plasma solutions can be used to provide additional energy to the ALD film in the form of ions and radicals. Obtaining sufficient energy on vertical sidewall ALD films is a challenge. Ions are typically accelerated through a sheath above the wafer in a direction perpendicular to the wafer surface. Therefore, due to the ions moving parallel to the vertical surfaces, the ions provide energy to the horizontal ALD film surfaces but an insufficient amount of energy to the vertical surfaces.

일부 프로세스 챔버들은 용량성 결합된 플라즈마(CCP)를 포함한다. CCP는 최상부 전극과 웨이퍼 사이에서 생성되며, 이는 통상적으로 CCP 평행 판 플라즈마로 알려져 있다. CCP 평행 판 플라즈마는, 2개의 시스에 걸쳐 매우 높은 이온 에너지들을 생성하며, 따라서, 수직 측벽 표면들에 대해 매우 불량한 작업을 수행한다. 웨이퍼 표면에 대한 높은 라디칼 플럭스 및 더 낮은 에너지들을 갖는 이온 플럭스 및 더 넓은 각도 분포를 생성하는 데 최적화된 환경으로 웨이퍼를 공간적으로 이동시킴으로써, 더 양호한 수직 ALD 막 특성들이 달성될 수 있다. 그러한 플라즈마 소스들은, 마이크로파, 유도성 결합된 플라즈마(ICP), 또는 제3 전극들을 갖는 더 높은 주파수 CCP 솔루션들(즉, 웨이퍼를 주 전극으로서 사용하지 않고 웨이퍼 위의 2개의 전극 사이에 플라즈마가 생성됨)을 포함한다.Some process chambers include capacitively coupled plasma (CCP). CCP is generated between the top electrode and the wafer, commonly known as CCP parallel plate plasma. CCP parallel plate plasma produces very high ion energies across the two sheaths and therefore performs very poorly on vertical sidewall surfaces. By spatially moving the wafer into an environment optimized to produce a wider angular distribution and ion flux with lower energies and higher radical flux to the wafer surface, better vertical ALD film properties can be achieved. Such plasma sources include microwaves, inductively coupled plasma (ICP), or higher frequency CCP solutions with third electrodes (i.e., the plasma is generated between two electrodes on the wafer without using the wafer as the main electrode). ) includes.

현재의 공간적 ALD 처리 챔버들은, 복수의 웨이퍼들을, 웨이퍼들을 하나의 처리 환경으로부터 인접한 환경으로 이동시키는 가열된 원형 플래튼 상에서 일정한 속도로 회전시킨다. 상이한 처리 환경들은 비-상용성 가스들의 분리를 생성한다. 그러나, 현재의 공간적 ALD 처리 챔버들은 플라즈마 환경을 플라즈마 노출에 최적화되게 할 수 없어서, 불균일성, 플라즈마 손상, 및/또는 처리 유연성 문제들을 초래한다.Current spatial ALD processing chambers rotate multiple wafers at a constant speed on heated circular platens that move the wafers from one processing environment to an adjacent environment. Different processing environments create separation of incompatible gases. However, current spatial ALD processing chambers are unable to optimize the plasma environment for plasma exposure, resulting in non-uniformity, plasma damage, and/or processing flexibility issues.

예컨대, 프로세스 가스들은 웨이퍼 표면에 걸쳐 유동한다. 웨이퍼가 오프셋 축을 중심으로 회전하기 때문에, 웨이퍼의 선단 가장자리 및 후단 가장자리는 상이한 유동 스트림라인들을 갖는다. 부가적으로, 웨이퍼의 내경 가장자리와 외경 가장자리 사이에, 외측 가장자리에서 더 빠르고 내측 가장자리에서 더 느린 속도에 의해 야기되는 유동 차이가 또한 존재한다. 이러한 유동 불균일성들은 최적화될 수 있지만 제거되지는 않는다. 웨이퍼를 불균일한 플라즈마에 노출시킬 때 플라즈마 손상이 야기될 수 있다. 이러한 공간적 처리 챔버들의 일정한 속도 회전은 웨이퍼들이 플라즈마 안팎으로 이동하는 것을 요구하며, 따라서, 웨이퍼의 일부가 플라즈마에 노출되는 동안 다른 영역들은 플라즈마 외부에 있다. 또한, 일정한 회전율로 인해 공간적 처리 챔버에서의 노출 시간들을 변경하는 것이 어려울 수 있다. 예로서, 프로세스는, 가스 A에 대한 0.5 초 노출 및 그에 후속되는 1.5 초 플라즈마 처리를 사용한다. 툴이 일정한 회전 속도로 실행되기 때문에, 이를 행하기 위한 유일한 방식은 플라즈마 환경을 가스 A 투입 환경보다 3배 더 크게 만드는 것이다. 가스 A 및 플라즈마 시간들이 동일한 다른 프로세스가 수행되어야 하는 경우, 하드웨어에 대한 변경이 필요할 것이다. 현재의 공간적 ALD 챔버들은 회전 속도를 감속 또는 가속시킬 수만 있고, 챔버 하드웨어를 더 작거나 더 큰 영역들로 변경하지 않고는 단계들 사이의 시간 차이들을 조정할 수 없다.For example, process gases flow across the wafer surface. Because the wafer rotates about an offset axis, the leading and trailing edges of the wafer have different flow streamlines. Additionally, there is also a flow difference between the inner and outer diameter edges of the wafer, caused by faster velocities at the outer edge and slower at the inner edge. These flow irregularities can be optimized but not eliminated. Plasma damage can occur when a wafer is exposed to non-uniform plasma. The constant speed rotation of these spatial processing chambers requires the wafers to move in and out of the plasma, so that while some portions of the wafer are exposed to the plasma, other areas are outside the plasma. Additionally, it may be difficult to vary exposure times in the spatial processing chamber due to the constant rotation rate. As an example, the process uses a 0.5 second exposure to gas A followed by a 1.5 second plasma treatment. Since the tool runs at a constant rotational speed, the only way to do this is to make the plasma environment three times larger than the gas A input environment. If another process with identical gas A and plasma times is to be performed, changes to the hardware will be required. Current spatial ALD chambers can only slow down or accelerate rotation speed and cannot adjust time differences between steps without changing the chamber hardware to smaller or larger regions.

단일 웨이퍼 챔버를 모의하는 처리 스테이션에서 웨이퍼가 정지상태일 때 주 증착 단계들이 발생하는 현재의 공간적 ALD 증착 툴들(또는 다른 공간적 처리 챔버들)에서, 동작 방법은 종종, 하나 초과의 동일한 스테이션 유형으로 웨이퍼를 이동시키는 것을 수반하며, 이는, 웨이퍼의 상이한 부분들이 상이한 환경들에 노출되는 것으로 인해 웨이퍼들 상의 선단 및 후단 가장자리 차이들을 초래한다. 따라서, 개선된 증착 장치 및 방법들에 대한 필요성이 관련 기술분야에 존재한다.In current spatial ALD deposition tools (or other spatial processing chambers) where the main deposition steps occur while the wafer is at rest in a processing station simulating a single wafer chamber, the method of operation is often to process the wafer with more than one of the same station type. This involves moving the wafer, resulting in leading and trailing edge differences on the wafers due to different parts of the wafer being exposed to different environments. Accordingly, a need exists in the art for improved deposition apparatus and methods.

본 개시내용의 하나 이상의 실시예는 처리 챔버를 동작시키는 방법에 관한 것이다. 하나 이상의 실시예에서, 방법은, x개의 공간적으로 분리된 격리된 처리 스테이션을 포함하는 처리 챔버를 제공하는 단계 ― 처리 챔버는 처리 챔버 온도를 갖고, 각각의 처리 스테이션은 독립적으로 처리 스테이션 온도를 갖고, 처리 챔버 온도는 처리 스테이션 온도들과 상이함 ―; x개의 공간적으로 분리된 격리된 처리 스테이션과 정렬된 복수의 기판 지지 표면들을 갖는 기판 지지 조립체를, 각각의 기판 지지 표면이 인접한 기판 지지 표면에 대해 제1 방향으로 (360/x) 도 회전하도록 (rx-1) 회 회전시키는 단계 ― r은 1 이상의 정수임 ―; 및 기판 지지 조립체를, 각각의 기판 지지 표면이 인접한 기판 지지 표면에 대해 제2 방향으로 (360/x) 도 회전하도록 (rx-1) 회 회전시키는 단계를 포함한다.One or more embodiments of the present disclosure relate to a method of operating a processing chamber. In one or more embodiments, the method includes providing a processing chamber comprising x spatially separated and isolated processing stations, wherein the processing chambers have a processing chamber temperature, and each processing station independently has a processing station temperature. , the processing chamber temperature is different from the processing station temperatures; A substrate support assembly having a plurality of substrate support surfaces aligned with x spatially separated isolated processing stations, such that each substrate support surface rotates (360/x) degrees in a first direction relative to the adjacent substrate support surface. Step of rotating rx-1) times - r is an integer of 1 or more -; and rotating the substrate support assembly (rx-1) times such that each substrate support surface rotates (360/x) degrees in the second direction with respect to the adjacent substrate support surface.

하나 이상의 실시예에서, 방법은, 적어도 2개의 상이한 처리 스테이션과 제1 기판 지지 표면, 제2 기판 지지 표면, 제3 기판 지지 표면, 및 제4 기판 지지 표면을 포함하는 기판 지지 조립체를 갖는 처리 챔버를 제공하는 단계 ― 각각의 기판 지지 표면은 초기 위치에서 처리 스테이션과 정렬됨 ―; 제1 기판 지지 표면 상의 제1 웨이퍼를 제1 프로세스 조건에 노출시키는 단계; 제1 웨이퍼를 제2 기판 지지 표면의 초기 위치로 이동시키도록 기판 지지 조립체를 제1 방향으로 회전시키는 단계; 제1 웨이퍼를 제2 프로세스 조건에 노출시키는 단계; 제1 웨이퍼를 제3 기판 지지 표면의 초기 위치로 이동시키도록 기판 지지 조립체를 제1 방향으로 회전시키는 단계; 제1 웨이퍼를 제3 프로세스 조건에 노출시키는 단계; 제1 웨이퍼를 제4 기판 지지 표면의 초기 위치로 이동시키도록 기판 지지 조립체를 제1 방향으로 회전시키는 단계; 제1 웨이퍼를 제4 프로세스 조건에 노출시키는 단계; 제1 웨이퍼를 제3 기판 지지 표면의 초기 위치로 이동시키도록 기판 지지 조립체를 제2 방향으로 회전시키는 단계; 제1 웨이퍼를 제3 프로세스 조건에 노출시키는 단계; 제1 웨이퍼를 제2 기판 지지 표면의 초기 위치로 이동시키도록 기판 지지 조립체를 제2 방향으로 회전시키는 단계; 제1 웨이퍼를 제2 프로세스 조건에 노출시키는 단계; 제1 웨이퍼를 제1 기판 지지 표면의 초기 위치로 이동시키도록 기판 지지 조립체를 제2 방향으로 회전시키는 단계; 및 제1 웨이퍼를 제1 프로세스 조건에 노출시키는 단계를 포함한다.In one or more embodiments, the method includes a processing chamber having at least two different processing stations and a substrate support assembly including a first substrate support surface, a second substrate support surface, a third substrate support surface, and a fourth substrate support surface. providing: each substrate support surface aligned with the processing station in an initial position; exposing a first wafer on a first substrate support surface to first process conditions; rotating the substrate support assembly in a first direction to move the first wafer to an initial position on the second substrate support surface; exposing the first wafer to second process conditions; rotating the substrate support assembly in a first direction to move the first wafer to an initial position on the third substrate support surface; exposing the first wafer to third process conditions; rotating the substrate support assembly in a first direction to move the first wafer to an initial position on the fourth substrate support surface; exposing the first wafer to fourth process conditions; rotating the substrate support assembly in a second direction to move the first wafer to an initial position on the third substrate support surface; exposing the first wafer to third process conditions; rotating the substrate support assembly in a second direction to move the first wafer to an initial position on the second substrate support surface; exposing the first wafer to second process conditions; rotating the substrate support assembly in a second direction to move the first wafer to an initial position on the first substrate support surface; and exposing the first wafer to first process conditions.

본 개시내용의 부가적인 실시예들은 막을 형성하는 방법들에 관한 것이다. 하나 이상의 실시예에서, 막을 형성하는 방법은, 기판 지지 조립체의 x개의 기판 지지 표면 상에 적어도 하나의 웨이퍼를 적재하는 단계 ― 기판 지지 표면들 각각은 x개의 공간적으로 분리된 격리된 처리 스테이션과 정렬됨 ―; 기판 지지 조립체를, 각각의 기판 지지 표면이 인접한 기판 지지 표면에 대해 (360/x) 도 회전하도록 제1 방향으로 (rx-1) 회 회전시키는 단계 ― r은 1 이상의 정수임 ―; 기판 지지 조립체를, 각각의 기판 지지 표면이 인접한 기판 지지 표면에 대해 (360/x) 도 회전하도록 제2 방향으로 (rx-1) 회 회전시키는 단계; 및 각각의 처리 스테이션에서, 실질적으로 균일한 두께를 갖는 막을 형성하도록 적어도 하나의 웨이퍼의 최상부 표면을 프로세스 조건에 노출시키는 단계를 포함한다.Additional embodiments of the present disclosure relate to methods of forming films. In one or more embodiments, a method of forming a film includes loading at least one wafer onto x substrate support surfaces of a substrate support assembly, each of the substrate support surfaces aligned with x spatially separated isolated processing stations. became -; rotating the substrate support assembly (rx-1) times in a first direction such that each substrate support surface rotates (360/x) degrees relative to the adjacent substrate support surface, where r is an integer greater than or equal to 1; rotating the substrate support assembly (rx-1) times in a second direction such that each substrate support surface rotates (360/x) degrees relative to the adjacent substrate support surface; and at each processing station, exposing the top surface of at least one wafer to process conditions to form a film having a substantially uniform thickness.

본 개시내용의 하나 이상의 실시예는 처리 챔버를 동작시키는 방법에 관한 것이다. 하나 이상의 실시예에서, 방법은, x개의 공간적으로 분리된 격리된 처리 스테이션을 포함하는 처리 챔버를 제공하는 단계 ― 처리 챔버는 처리 챔버 온도를 갖고, 각각의 처리 스테이션은 독립적으로 처리 스테이션 온도를 갖고, 처리 챔버 온도는 처리 스테이션 온도들과 상이함 ―; x개의 공간적으로 분리된 격리된 처리 스테이션과 정렬된 복수의 기판 지지 표면들을 갖는 기판 지지 조립체를, 각각의 기판 지지 표면이 인접한 기판 지지 표면에 대해 제1 방향으로 (360/x) 도 회전하도록 rx 회 회전시키는 단계 ― r은 1 이상의 정수임 ―; 및 기판 지지 조립체를, 각각의 기판 지지 표면이 인접한 기판 지지 표면에 대해 제2 방향으로 (360/x) 도 회전하도록 rx 회 회전시키는 단계를 포함한다.One or more embodiments of the present disclosure relate to a method of operating a processing chamber. In one or more embodiments, the method includes providing a processing chamber comprising x spatially separated and isolated processing stations, wherein the processing chambers have a processing chamber temperature, and each processing station independently has a processing station temperature. , the processing chamber temperature is different from the processing station temperatures; A substrate support assembly having a plurality of substrate support surfaces aligned with x spatially separated isolated processing stations, each substrate support surface being rotated (360/x) degrees in a first direction relative to the adjacent substrate support surface. Step of rotating times - r is an integer greater than or equal to 1; and rotating the substrate support assembly rx times such that each substrate support surface rotates (360/x) degrees in the second direction with respect to the adjacent substrate support surface.

본 개시내용의 부가적인 실시예들은 처리 챔버를 동작시키는 방법에 관한 것이다. 하나 이상의 실시예에서, 방법은, x개의 공간적으로 분리된 격리된 처리 스테이션을 포함하는 처리 챔버를 제공하는 단계 ― 처리 챔버는 처리 챔버 온도를 갖고, 각각의 처리 스테이션은 독립적으로 처리 스테이션 온도를 갖고, 처리 챔버 온도는 처리 스테이션 온도들과 상이함 ―; x개의 공간적으로 분리된 격리된 처리 스테이션과 정렬된 복수의 기판 지지 표면들을 갖는 기판 지지 조립체를 인접한 기판 지지 표면에 대해 제1 방향으로 (360/x) 도 회전시키는 단계; 기판 지지 조립체를 인접한 기판 표면에 대해 제2 방향으로 (360/x) 도 회전시키는 단계 ― 제1 방향 및 제2 방향으로의 회전들은 n 회 반복되고, n은 1 이상의 정수임 ―; 기판 지지 조립체를 제1 방향으로 2 회 (360/x) 도 회전시키는 단계; 기판 지지 조립체를 제1 방향으로 (360/x) 도 회전시키고 이어서 기판 지지 조립체를 제2 방향으로 (360/x) 도 회전시키는 단계 ― 제1 방향 및 제2 방향으로의 회전들은 m 회 반복되고, m은 1 이상의 정수임 ―; 및 기판 지지 조립체를 제2 방향으로 (360/x) 도 회전시키는 단계를 포함한다.Additional embodiments of the present disclosure relate to methods of operating a processing chamber. In one or more embodiments, the method includes providing a processing chamber comprising x spatially separated and isolated processing stations, wherein the processing chambers have a processing chamber temperature, and each processing station independently has a processing station temperature. , the processing chamber temperature is different from the processing station temperatures; rotating a substrate support assembly having a plurality of substrate support surfaces aligned with x spatially separated isolated processing stations in a first direction (360/x) degrees relative to adjacent substrate support surfaces; rotating the substrate support assembly (360/x) degrees in a second direction relative to the adjacent substrate surface, the rotations in the first and second directions being repeated n times, n being an integer greater than or equal to 1; rotating the substrate support assembly two times (360/x) degrees in a first direction; rotating the substrate support assembly (360/x) degrees in a first direction and then rotating the substrate support assembly (360/x) degrees in a second direction—the rotations in the first and second directions are repeated m times; , m is an integer greater than or equal to 1 -; and rotating the substrate support assembly (360/x) degrees in the second direction.

본 개시내용의 상기 언급된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안된다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 단면 등각도를 도시한다.
도 2는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 단면도를 도시한다.
도 3은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 하부 평행 투영도를 도시한다.
도 4는 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 상부 평행 투영도를 도시한다.
도 5는 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 상부 평행 투영도를 도시한다.
도 6은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 측단면도를 도시한다.
도 7은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 부분 측단면도를 도시한다.
도 8은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 부분 측단면도를 도시한다.
도 9는 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 부분 측단면도를 도시한다.
도 10a는 본 개시내용의 하나 이상의 실시예에 따른 지지 판의 상부 등각도이다.
도 10b는 선(10B-10B')을 따라 취해진 도 10a의 지지 판의 측단면도이다.
도 11a는 본 개시내용의 하나 이상의 실시예에 따른 지지 판의 하부 등각도이다.
도 11b는 선(11B-11B')을 따라 취해진 도 11a의 지지 판의 측단면도이다.
도 12a는 본 개시내용의 하나 이상의 실시예에 따른 지지 판의 하부 등각도이다.
도 12b는 선(12B-12B')을 따라 취해진 도 12a의 지지 판의 측단면도이다.
도 13은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 최상부 판의 단면 등각도이다.
도 14는 본 개시내용의 하나 이상의 실시예에 따른 프로세스 스테이션의 분해 단면도이다.
도 15는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버에 대한 최상부 판의 개략적인 측단면도이다.
도 16은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 프로세스 스테이션의 부분 측단면도이다.
도 17은 본 개시내용의 하나 이상의 실시예에 따른 처리 플랫폼의 개략적인 표현이다.
도 18a 내지 도 18i는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버의 프로세스 스테이션 구성들의 개략도들을 도시한다.
도 19a 및 도 19b는 본 개시내용의 하나 이상의 실시예에 따른 프로세스의 개략적인 표현들을 도시한다.
도 20은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 단면의 개략적인 표현을 도시한다.
도 21은 본원에 설명된 실시예들에 따른, 박막을 형성하는 방법의 일 실시예의 프로세스 흐름도를 도시한다.
도 22는 본 개시내용의 하나 이상의 실시예에 따른, 프로세스 챔버 및 프로세스 흐름의 개략적인 표현을 도시한다.
도 23은 본원에 설명된 실시예들에 따른, 박막을 형성하는 방법의 일 실시예의 프로세스 흐름도를 도시한다.
도 24는 본 개시내용의 하나 이상의 실시예에 따른, 프로세스 챔버 및 프로세스 흐름의 개략적인 표현을 도시한다.
도 25는 본원에 설명된 실시예들에 따른, 박막을 형성하는 방법의 일 실시예의 프로세스 흐름도를 도시한다.
도 26은 본 개시내용의 하나 이상의 실시예에 따른, 프로세스 챔버 및 프로세스 흐름의 개략적인 표현을 도시한다.
In such a way that the above-mentioned features of the present disclosure can be understood in detail, a more specific description of the present disclosure briefly summarized above may be made with reference to the embodiments, some of which are shown in the accompanying drawings. It is exemplified in the fields. However, it should be noted that the accompanying drawings illustrate only exemplary embodiments of the present disclosure and should not be considered to limit the scope of the present disclosure, as the present disclosure may permit other equally effective embodiments. Because you can.
1 depicts a cross-sectional isometric view of a processing chamber according to one or more embodiments of the present disclosure.
2 shows a cross-sectional view of a processing chamber according to one or more embodiments of the present disclosure.
3 shows a bottom parallel projection of a support assembly according to one or more embodiments of the present disclosure.
4 shows a top parallel projection view of a support assembly according to one or more embodiments of the present disclosure.
5 shows a top parallel projection view of a support assembly according to one or more embodiments of the present disclosure.
Figure 6 shows a side cross-sectional view of a support assembly according to one or more embodiments of the present disclosure.
7 shows a partial side cross-sectional view of a support assembly according to one or more embodiments of the present disclosure.
8 shows a partial side cross-sectional view of a support assembly according to one or more embodiments of the present disclosure.
9 shows a partial side cross-sectional view of a support assembly according to one or more embodiments of the present disclosure.
Figure 10A is a top isometric view of a support plate according to one or more embodiments of the present disclosure.
Figure 10B is a side cross-sectional view of the support plate of Figure 10A taken along line 10B-10B'.
Figure 11A is a bottom isometric view of a support plate according to one or more embodiments of the present disclosure.
Figure 11B is a side cross-sectional view of the support plate of Figure 11A taken along line 11B-11B'.
Figure 12A is a bottom isometric view of a support plate according to one or more embodiments of the present disclosure.
Figure 12B is a side cross-sectional view of the support plate of Figure 12A taken along line 12B-12B'.
Figure 13 is a cross-sectional isometric view of a top plate of a processing chamber according to one or more embodiments of the present disclosure.
14 is an exploded cross-sectional view of a process station according to one or more embodiments of the present disclosure.
15 is a schematic cross-sectional side view of a top plate for a processing chamber according to one or more embodiments of the present disclosure.
Figure 16 is a partial side cross-sectional view of a process station in a processing chamber according to one or more embodiments of the present disclosure.
17 is a schematic representation of a processing platform according to one or more embodiments of the present disclosure.
18A-18I show schematic diagrams of process station configurations of a processing chamber according to one or more embodiments of the present disclosure.
19A and 19B show schematic representations of a process according to one or more embodiments of the present disclosure.
Figure 20 shows a schematic representation of a cross-section of a support assembly according to one or more embodiments of the present disclosure.
Figure 21 shows a process flow diagram of one embodiment of a method of forming a thin film, according to embodiments described herein.
Figure 22 shows a schematic representation of a process chamber and process flow, according to one or more embodiments of the present disclosure.
Figure 23 shows a process flow diagram of one embodiment of a method of forming a thin film, according to embodiments described herein.
Figure 24 shows a schematic representation of a process chamber and process flow, according to one or more embodiments of the present disclosure.
Figure 25 shows a process flow diagram of one embodiment of a method of forming a thin film, according to embodiments described herein.
Figure 26 shows a schematic representation of a process chamber and process flow, according to one or more embodiments of the present disclosure.

본 개시내용의 몇몇 예시적인 실시예들을 설명하기 전에, 본 개시내용은 하기의 설명에서 기술되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않음이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행되는 것이 가능하다.Before describing some example embodiments of the present disclosure, it should be understood that the disclosure is not limited to the details of construction or process steps described in the description below. The present disclosure is capable of other embodiments and of being practiced or carried out in various ways.

본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 그 위에서 막 처리가 수행되는 임의의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예컨대, 처리가 수행될 수 있는 기판 표면은, 응용에 따라, 규소, 산화규소, 응력가해진 규소(strained silicon), 절연체상 규소(SOI; silicon on insulator), 탄소 도핑된 산화규소들, 비정질 규소, 도핑된 규소, 게르마늄, 갈륨 비소화물, 유리, 사파이어와 같은 물질들, 및 임의의 다른 물질들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판들은, 비-제한적으로, 반도체 웨이퍼들을 포함한다. 기판들은, 기판 표면을 연마, 식각, 환원, 산화, 히드록실화, 어닐링, 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 개시내용에서, 기판 자체의 표면 상에 직접적으로 막 처리를 하는 것에 부가하여, 개시되는 막 처리 단계들 중 임의의 막 처리 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층 상에 수행될 수 있으며, "기판 표면"이라는 용어는 맥락이 나타내는 바에 따라 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.As used herein, “substrate” refers to any substrate or material surface formed on a substrate on which a film treatment is performed during the manufacturing process. For example, substrate surfaces on which processing can be performed include, depending on the application, silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, Doped materials such as silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials. Substrates include, but are not limited to, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, and/or bake the substrate surface. In the present disclosure, in addition to film processing directly on the surface of the substrate itself, any of the film processing steps disclosed may also include an underlying layer formed on the substrate, as disclosed in more detail below. The term “substrate surface” is intended to include such underlying layers as the context indicates. Thus, for example, when a film/layer or partial film/layer is deposited on a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.

본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등의 용어들은, 기판 표면 또는 기판 표면 상에 형성된 막과 반응할 수 있는 임의의 가스상 종들을 지칭하도록 상호교환가능하게 사용된다.As used in this specification and the appended claims, the terms “precursor,” “reactant,” “reactive gas,” and the like refer to any gaseous species capable of reacting with a substrate surface or a film formed on the substrate surface. are used interchangeably.

본 개시내용의 하나 이상의 실시예는 2개 이상의 처리 환경 사이의 공간적 분리를 사용한다. 일부 실시예들은 유리하게, 비-상용성 가스들의 분리를 유지하기 위한 장치 및 방법들을 제공한다. 일부 실시예들은 유리하게, 최적화가능한 플라즈마 처리를 포함하는 장치 및 방법들을 제공한다. 일부 실시예들은 유리하게, 차별화된 열 투입 환경, 차별화된 플라즈마 처리 환경, 및 다른 환경들을 허용하는 장치 및 방법들을 제공한다.One or more embodiments of the present disclosure utilize spatial separation between two or more processing environments. Some embodiments advantageously provide devices and methods for maintaining separation of incompatible gases. Some embodiments advantageously provide apparatus and methods including optimizable plasma processing. Some embodiments advantageously provide devices and methods that allow for differentiated heat input environments, differentiated plasma processing environments, and other environments.

본 개시내용의 하나 이상의 실시예는, 처리 스테이션들로 또한 지칭되는, 4개의 공간적으로 분리된 처리 환경을 갖는 처리 챔버들에 관한 것이다. 일부 실시예들은 4개 초과를 갖고, 일부 실시예들은 4개 미만을 갖는다. 처리 환경들은 수평 평면으로 이동하는 웨이퍼(들)에 대해 동일 평면 상에 장착될 수 있다. 프로세스 환경들은 원형 배열로 배치된다. 상부에 장착된 1개 내지 4개(또는 그 초과)의 개별 웨이퍼 가열기를 갖는 회전가능 구조가 프로세스 환경들과 유사한 직경을 갖는 원형 경로로 웨이퍼들을 이동시킨다. 각각의 가열기는 온도 제어될 수 있고 하나 또는 다수의 동심 구역들을 가질 수 있다. 웨이퍼 적재를 위해, 회전가능 구조는, 진공 로봇이 마감된 웨이퍼들을 피킹하고 (더 낮은 Z 위치에서) 각각의 웨이퍼 가열기 위에 위치된 리프트 핀들 상에 처리되지 않은 웨이퍼들을 배치할 수 있도록, 하강될 수 있다. 동작 시, 각각의 웨이퍼는 프로세스가 종료될 때까지 독립적인 환경 하에 있을 수 있고, 이어서, 회전가능 구조가 회전(4개의 스테이션의 경우 90° 회전, 3개의 스테이션의 경우 120° 회전)하여 가열기들 상의 웨이퍼들을 처리를 위한 다음 환경으로 이동시킬 수 있다.One or more embodiments of the present disclosure relate to processing chambers having four spatially separated processing environments, also referred to as processing stations. Some embodiments have more than 4, and some embodiments have less than 4. The processing environments may be mounted on the same plane with the wafer(s) moving in a horizontal plane. Process environments are arranged in a circular arrangement. A rotatable structure with one to four (or more) individual wafer heaters mounted on top moves the wafers in a circular path with a diameter similar to the process environments. Each heater may be temperature controlled and may have one or multiple concentric zones. For wafer loading, the rotatable structure can be lowered to allow the vacuum robot to pick the finished wafers and place the unprocessed wafers (at the lower Z position) on lift pins positioned above each wafer heater. there is. In operation, each wafer can be in an independent environment until the end of the process, and then the rotatable structure is rotated (90° for 4 stations, 120° for 3 stations) to accommodate the heaters. The wafers on the wafers can be moved to the next environment for processing.

본 개시내용의 일부 실시예들은 유리하게, 비-상용성 가스들을 이용하는 ALD에 대한 공간적 분리를 제공한다. 일부 실시예들은, 통상적인 시간-도메인 또는 공간적 프로세스 챔버보다 더 높은 처리량 및 툴 리소스 활용률을 허용한다. 각각의 프로세스 환경은 상이한 압력에서 동작할 수 있다. 가열기 회전은, 각각의 가열기는 챔버에 밀봉될 수 있도록 Z 방향 운동을 갖는다.Some embodiments of the present disclosure advantageously provide spatial separation for ALD using incompatible gases. Some embodiments allow for higher throughput and tool resource utilization than traditional time-domain or spatial process chambers. Each process environment may operate at different pressures. As the heaters rotate, each heater has Z-direction movement so that it can be sealed in the chamber.

일부 실시예들은 유리하게, 마이크로파, ICP, 평행 판 CCP, 또는 3-전극 CCP 중 하나 이상을 포함할 수 있는 플라즈마 환경들을 제공한다. 전체 웨이퍼가 플라즈마에 침지될 수 있어서, 웨이퍼에 걸친 불균일한 플라즈마로부터의 플라즈마 손상이 제거된다.Some embodiments advantageously provide plasma environments that may include one or more of microwaves, ICP, parallel plate CCP, or three-electrode CCP. The entire wafer can be immersed in the plasma, eliminating plasma damage from uneven plasma across the wafer.

일부 실시예들에서, 투입 가스 활용률 및 사이클 시간 속도를 증가시키기 위해 샤워헤드와 웨이퍼 사이의 작은 갭이 사용될 수 있다. 정확한 샤워헤드 온도 제어 및 높은 동작 범위(최대 230 ℃). 이론에 의해 얽매임이 없이, 샤워헤드 온도가 웨이퍼 온도에 가까울수록 웨이퍼 온도 균일성이 양호한 것으로 여겨진다.In some embodiments, a small gap between the showerhead and the wafer may be used to increase input gas utilization and cycle time rates. Precise showerhead temperature control and high operating range (up to 230 °C). Without being bound by theory, it is believed that the closer the showerhead temperature is to the wafer temperature, the better the wafer temperature uniformity.

샤워헤드들은, 작은 가스 홀들(< 200 ㎛), 많은 수의 가스 홀들(수천 개 내지 천만 개 초과), 및 속도를 증가시키기 위해 작은 분배 용적을 사용하는 샤워헤드 내부의 순환적 공급 가스 분배부를 포함할 수 있다. 작은 크기 및 많은 수의 가스 홀들은 레이저 드릴링 또는 건식 식각에 의해 생성될 수 있다. 웨이퍼가 샤워헤드에 가까이 있을 때, 가스가 수직 홀들을 통해 웨이퍼를 향해 이동하는 것으로부터 겪게 되는 난류가 존재한다. 일부 실시예들은, 서로 가깝게 이격된 많은 수의 홀들을 사용하여 샤워헤드를 통한 더 느린 속도 가스를 허용하여 웨이퍼 표면에 대한 균일한 분배를 달성한다.Showerheads include small gas holes (<200 μm), a large number of gas holes (thousands to over 10 million), and a cyclically supplied gas distribution inside the showerhead that uses a small distribution volume to increase velocity. can do. Small size and large numbers of gas holes can be created by laser drilling or dry etching. When the wafer is close to the showerhead, there is turbulence experienced by the gas moving toward the wafer through the vertical holes. Some embodiments use a large number of holes spaced closely together to allow slower velocity gases through the showerhead to achieve uniform distribution over the wafer surface.

일부 실시예들은, 단일 툴 상의 복수의 공간적으로 분리된 처리 스테이션들(챔버들)을 사용하는 통합 처리 플랫폼들에 관한 것이다. 처리 플랫폼은 상이한 프로세스들을 수행할 수 있는 다양한 챔버들을 가질 수 있다.Some embodiments relate to integrated processing platforms using multiple spatially separated processing stations (chambers) on a single tool. A processing platform may have various chambers capable of performing different processes.

본 개시내용의 일부 실시예들은, 웨이퍼 가열기(들)에 부착된 웨이퍼(들)를 하나의 환경으로부터 다른 환경으로 이동시키기 위한 장치 및 방법들에 관한 것이다. 웨이퍼(들)를 가열기(들)에 정전기적으로 척킹(또는 클램핑)함으로써 신속한 이동이 가능해질 수 있다. 웨이퍼들의 이동은 선형 또는 원형 운동일 수 있다.Some embodiments of the present disclosure relate to apparatus and methods for moving wafer(s) attached to wafer heater(s) from one environment to another. Rapid movement may be made possible by electrostatically chucking (or clamping) the wafer(s) to heater(s). Movement of the wafers may be a linear or circular motion.

본 개시내용의 일부 실시예들은, 하나 이상의 기판을 처리하는 방법들에 관한 것이다. 예들은, 하나의 가열기 상의 하나의 웨이퍼를 공간적으로 분리된 복수의 상이한 순차적 환경들에 대해 실행하는 것; 2개의 웨이퍼 가열기 상의 2개의 웨이퍼를 3개의 환경(동일한 2개의 환경, 및 2개의 유사한 환경 사이의 하나의 상이한 환경)에 대해 실행하는 것; 웨이퍼 1은 환경 A에 이어서 B를 겪고 이것이 반복되는 한편, 웨이퍼 2는 B에 이어서 A를 겪고 이것이 반복되는 것; 하나의 환경은 (웨이퍼 없이) 유휴상태로 남아 있는 것; 2개의 웨이퍼를 2개의 제1 환경 및 2개의 제2 환경에서 실행하는 것 ― 두 웨이퍼들 모두가 동시에 동일한 환경들을 겪음(즉, 두 웨이퍼들 모두가 A에 있고, 이어서, 둘 모두가 B로 이동함) ―; 2개의 A 및 2개의 B 환경들에 대한 4개의 웨이퍼; 및 2개의 웨이퍼가 A들에서 처리되는 동안 다른 2개의 웨이퍼가 B들에서 처리되고 있는 것을 포함하지만 이에 제한되지 않는다. 일부 실시예들에서, 웨이퍼들은 환경 A 및 환경 B에 반복적으로 노출되고, 이어서, 동일한 챔버에 위치된 제3 환경에 노출된다.Some embodiments of the present disclosure relate to methods of processing one or more substrates. Examples include running one wafer on one heater over multiple different sequential environments that are spatially separated; Running two wafers on two wafer heaters in three environments (two identical environments and one different environment between two similar environments); wafer 1 undergoes environment A followed by B and repeat, while wafer 2 undergoes environment B followed by A and repeat; One environment is left idle (without wafers); Running two wafers in two first environments and two second environments - both wafers experiencing the same environments at the same time (i.e. both wafers are in A, then both move to B) ) ―; 4 wafers for 2 A and 2 B environments; and two wafers being processed in A's while another two wafers are being processed in B's. In some embodiments, wafers are repeatedly exposed to environment A and environment B, and then exposed to a third environment located in the same chamber.

일부 실시예들에서, 웨이퍼들은 처리를 위해 복수의 챔버들을 통해 이동하고, 여기서, 챔버들 중 적어도 하나는 동일한 챔버 내의 복수의 공간적으로 분리된 환경들을 이용하여 순차적 처리를 행한다.In some embodiments, wafers move through a plurality of chambers for processing, where at least one of the chambers performs sequential processing using a plurality of spatially separated environments within the same chamber.

일부 실시예들은, 동일한 챔버 내에 공간적으로 분리된 처리 환경들을 갖는 장치에 관한 것이며, 여기서, 환경들은 상당히 상이한 압력들에 있다(예컨대, 하나는 < 100 mT에 있고, 다른 것은 > 3T에 있음). 일부 실시예들에서, 가열기 회전 로봇은 각각의 웨이퍼/가열기를 공간적으로 분리된 환경들에 밀봉하도록 z-축으로 이동한다.Some embodiments relate to a device having spatially separated processing environments within the same chamber, where the environments are at significantly different pressures (e.g., one at <100 mT and the other at >3T). In some embodiments, the heater rotation robot moves in the z-axis to seal each wafer/heater to spatially separate environments.

일부 실시예들은, 상면측 상의 분위기의 압력 및 다른 측 상의 진공에 의해 야기되는 편향을 제거하기 위해 챔버 덮개의 중심에 상향으로 힘을 가하는 수직 구조 부재를 갖는, 챔버 위에 구축된 구조를 포함한다. 위의 구조의 힘의 크기는 최상부 판의 편향에 기반하여 기계적으로 조정될 수 있다. 힘 조정은, 피드백 회로 및 힘 변환기를 사용하여 자동으로, 또는 예컨대 작업자에 의해 돌려질 수 있는 나사를 사용하여 수동으로 행해질 수 있다.Some embodiments include a structure built over the chamber with a vertical structural member that exerts an upward force on the center of the chamber lid to eliminate deflection caused by atmospheric pressure on the top side and vacuum on the other side. The magnitude of the force in the above structure can be mechanically adjusted based on the deflection of the top plate. Force adjustment can be done automatically, using feedback circuits and force transducers, or manually, for example using screws that can be turned by the operator.

본 개시내용의 하나 이상의 실시예는, 처리 스테이션들로 또한 지칭되는, 적어도 2개의 공간적으로 분리된 처리 환경을 갖는 처리 챔버들에 관한 것이다. 일부 실시예들은 2개 초과의 처리 스테이션을 갖고, 일부 실시예들은 4개 초과의 처리 스테이션을 갖는다. 처리 환경들은 수평 평면으로 이동하는 웨이퍼(들)에 대해 동일 평면 상에 장착될 수 있다. 프로세스 환경들은 원형 배열로 배치된다. 상부에 장착된 1개 내지 4개(또는 그 초과)의 개별 웨이퍼 가열기를 갖는 회전가능 구조가 프로세스 환경들과 유사한 직경을 갖는 원형 경로로 웨이퍼들을 이동시킨다. 각각의 가열기는 온도 제어될 수 있고 하나 또는 다수의 동심 구역들을 가질 수 있다. 웨이퍼 적재를 위해, 회전가능 구조는, 진공 로봇이 마감된 웨이퍼들을 피킹하고 (더 낮은 Z 위치에서) 각각의 웨이퍼 가열기 위에 위치된 리프트 핀들 상에 처리되지 않은 웨이퍼들을 배치할 수 있도록, 하강될 수 있다. 동작 시, 각각의 웨이퍼는 프로세스가 종료될 때까지 독립적인 환경 하에 있을 수 있고, 이어서, 회전가능 구조가 회전(4개의 스테이션의 경우 90° 회전, 3개의 스테이션의 경우 120° 회전)하여 가열기들 상의 웨이퍼들을 처리를 위한 다음 환경으로 이동시킬 수 있다. 하나 이상의 실시예에서, 단일 웨이퍼 챔버를 모의하는 처리 스테이션에서 웨이퍼가 정지상태일 때 주 증착 단계들이 발생한다.One or more embodiments of the present disclosure relate to processing chambers having at least two spatially separated processing environments, also referred to as processing stations. Some embodiments have more than two processing stations, and some embodiments have more than four processing stations. The processing environments may be mounted on the same plane with the wafer(s) moving in a horizontal plane. Process environments are arranged in a circular arrangement. A rotatable structure with one to four (or more) individual wafer heaters mounted on top moves the wafers in a circular path with a diameter similar to the process environments. Each heater may be temperature controlled and may have one or multiple concentric zones. For wafer loading, the rotatable structure can be lowered to allow the vacuum robot to pick the finished wafers and place the unprocessed wafers (at the lower Z position) on lift pins positioned above each wafer heater. there is. In operation, each wafer can be in an independent environment until the end of the process, and then the rotatable structure is rotated (90° for 4 stations, 120° for 3 stations) to accommodate the heaters. The wafers on the wafers can be moved to the next environment for processing. In one or more embodiments, the main deposition steps occur when the wafer is at rest in a processing station simulating a single wafer chamber.

공간적 ALD 증착 툴(또는 다른 공간적 처리 챔버)에서, 웨이퍼는 제1 처리 스테이션 내로 이동된 다음, 후속하여, 제2 처리 스테이션으로 이동된다. 일부 경우들에서, 제1 및 제2 처리 스테이션들은 동일하며(즉, 같음), 이는, 막 두께의 균일성의 결여, 및 막들의 증착 특성들(예컨대, 굴절률, 습식 식각률, 평면-내 변위 등)의 균일성의 결여를 초래한다. 부가적으로, 하나의 처리 스테이션으로부터 다음 처리 스테이션으로 이동시키는 시퀀스는, 웨이퍼의 상이한 부분들이 스테이션에서 상이한 처리 환경들에 노출되는 것으로 인해 웨이퍼들 상의 선단 및 후단 가장자리 차이들을 초래한다.In a spatial ALD deposition tool (or other spatial processing chamber), a wafer is moved into a first processing station and then subsequently to a second processing station. In some cases, the first and second processing stations are identical (i.e., equal), resulting in lack of uniformity in film thickness and deposition characteristics of the films (e.g., refractive index, wet etch rate, in-plane displacement, etc.) leads to lack of uniformity. Additionally, the sequence of moving from one processing station to the next results in leading and trailing edge differences on the wafers due to different portions of the wafer being exposed to different processing environments at the station.

공간적 증착 툴을 동작시키는 가장 명확한 방식은 단순히 2개의 별개의 처리 스테이션 사이에서 전후로 이동시키는 것이다. 그러나, 2개 초과의 처리 스테이션 사이에서 이동시키는 것은, 전기, 물, 및 가스들에 대한 연결부들을 회전시키는 것, 및 각각의 처리 스테이션과 각각의 웨이퍼/기판 지지 표면의 정렬(어느 위치에서나 이들을 정렬되게 하는 허용도들은 단지 각각의 페디스털을 2개의 처리 스테이션에 정렬시키는 것보다 어려움)과 같은 난제들을 야기한다.The most obvious way to operate a spatial deposition tool is to simply move it back and forth between two separate processing stations. However, moving between more than two processing stations involves rotating the connections for electricity, water, and gases, and aligning each processing station with each wafer/substrate support surface (aligning them at any position). These tolerances pose challenges such as being more difficult than simply aligning each pedestal to two processing stations.

부가적으로, 종래의 동작 동안, 웨이퍼가 기판 지지부 상에 적재되어 제1 처리 스테이션으로부터 제2 처리 스테이션으로 그리고 이어서 다시 제1 처리 스테이션으로 이동될 때, 기판 지지부 상의 웨이퍼의 모든 부분들이 동시에 동일한 환경에 있지는 않을 것이라는 것이 관측되었으며, 이는 선단 및 후단 가장자리 차이를 초래한다.Additionally, during conventional operation, when a wafer is loaded on a substrate support and moved from a first processing station to a second processing station and then back to the first processing station, all portions of the wafer on the substrate support are simultaneously in the same environment. It has been observed that this will not be the case, resulting in differences between the leading and trailing edges.

하나 이상의 실시예에서, 웨이퍼는 기판 지지부 상에 적재되고, 제1 방향으로 제1 처리 스테이션으로부터 제2 처리 스테이션으로, 제1 처리 스테이션으로, 그리고 이어서, 2개의 유형의 처리 스테이션들 사이에서 소요한 시간을 평균하도록, 제2 방향으로 다시 제2 처리 스테이션으로, 이어서 제1 처리 스테이션으로 이동된다. 그러한 이동들 동안, 웨이퍼들 중 2개에 대한 평균이 다른 2개의 웨이퍼에 대한 것과 상이하다는 것이 관측되었다(예컨대, 고온/저온이 존재한 경우, 2개의 웨이퍼는 가장자리가 높고 중앙이 낮았을 것일 반면, 다른 2개의 웨이퍼는 가장자리가 낮고 중앙이 높았을 것임). 하나 이상의 실시예에서, 놀랍게도, (적어도) 4개의 처리 스테이션 사이의 평균만이 모든 웨이퍼들 상에서 유사한 프로파일들을 갖는 합리적인 평균을 달성하는 것으로 밝혀졌다는 것을 알게 되었다. 그에 따라서, 하나 이상의 실시예에서, 처리 스테이션들 사이에서의 이동들의 시퀀스는 유리하게, 처리 스테이션들 사이에서의 이동들 동안 웨이퍼의 모든 부분들이 동시에 동일한 환경(예컨대, 온도, 압력, 반응성 가스 등)에 있지 않는 것의 영향들을 최소화하도록 최적화된다.In one or more embodiments, a wafer is loaded on a substrate support and moved in a first direction from a first processing station to a second processing station, back to the first processing station, and then between the two types of processing stations. To average the time, it is moved in the second direction back to the second processing station and then to the first processing station. During such movements, it was observed that the average for two of the wafers was different than that for the other two wafers (e.g., if hot/cold temperatures were present, two wafers would have high edges and low centers, whereas , the other two wafers would have had low edges and high centers). In one or more examples, it was surprisingly found that only averaging across (at least) four processing stations was found to achieve a reasonable average with similar profiles on all wafers. Accordingly, in one or more embodiments, the sequence of movements between processing stations is advantageously such that all parts of the wafer are simultaneously exposed to the same environment (e.g., temperature, pressure, reactive gases, etc.) during movements between the processing stations. Optimized to minimize the effects of not being in.

도 1 및 도 2는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버(100)를 예시한다. 도 1은 본 개시내용의 하나 이상의 실시예에 따른, 단면 등각도로서 예시된 처리 챔버(100)를 도시한다. 도 2는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버(100) 단면을 도시한다. 그에 따라서, 본 개시내용의 일부 실시예들은, 지지 조립체(200) 및 최상부 판(300)을 포함하는 처리 챔버들(100)에 관한 것이다.1 and 2 illustrate a processing chamber 100 according to one or more embodiments of the present disclosure. 1 shows a processing chamber 100 illustrated as a cross-sectional isometric view, according to one or more embodiments of the present disclosure. 2 shows a cross-section of a processing chamber 100 according to one or more embodiments of the present disclosure. Accordingly, some embodiments of the present disclosure relate to processing chambers 100 including a support assembly 200 and a top plate 300 .

처리 챔버(100)는, 벽들(104) 및 최하부(106)를 갖는 하우징(102)을 갖는다. 하우징(102)은, 최상부 판(300)과 함께, 처리 용적으로 또한 지칭되는 내부 용적(109)을 정의한다.Processing chamber 100 has a housing 102 having walls 104 and a bottom 106 . Housing 102, together with top plate 300, defines an interior volume 109, also referred to as the processing volume.

처리 챔버(100)는 복수의 처리 스테이션들(110)을 포함한다. 처리 스테이션들(110)은 하우징(102)의 내부 용적(109) 내에 위치되고 지지 조립체(200)의 회전 축(211) 주위에 원형 배열로 위치된다. 각각의 처리 스테이션(110)은 전면(114)을 갖는 가스 주입기(112)를 포함한다. 일부 실시예들에서, 가스 주입기들(112) 각각의 전면들(114)은 실질적으로 동일 평면 상에 있다. 처리 스테이션들(110)은 처리가 발생할 수 있는 구역으로서 정의된다. 예컨대, 처리 스테이션(110)은, 아래에 설명되는 바와 같은 가열기들(230)의 기판 지지 표면(231), 및 가스 주입기들(112)의 전면(114)에 의해 정의될 수 있다.Processing chamber 100 includes a plurality of processing stations 110 . Processing stations 110 are located within the interior volume 109 of the housing 102 and are positioned in a circular arrangement around the axis of rotation 211 of the support assembly 200. Each processing station 110 includes a gas injector 112 having a front face 114 . In some embodiments, the front surfaces 114 of each of the gas injectors 112 are substantially coplanar. Processing stations 110 are defined as areas where processing can occur. For example, processing station 110 may be defined by a substrate support surface 231 of heaters 230, and a front surface 114 of gas injectors 112, as described below.

처리 스테이션들(110)은, 임의의 적합한 프로세스를 수행하고 임의의 적합한 프로세스 조건들을 제공하도록 구성될 수 있다. 사용되는 가스 주입기(112)의 유형은, 예컨대, 수행되는 프로세스의 유형 및 샤워헤드 또는 가스 주입기의 유형에 의존할 것이다. 예컨대, 원자 층 증착 장치로서 동작하도록 구성되는 처리 스테이션(110)은 샤워헤드 또는 와류 유형 가스 주입기를 가질 수 있다. 반면, 플라즈마 스테이션으로서 동작하도록 구성되는 처리 스테이션(110)은, 플라즈마 가스가 웨이퍼를 향해 유동하게 하면서 플라즈마를 생성하기 위한 하나 이상의 전극 및/또는 접지 판 구성을 가질 수 있다. 도 2에 예시된 실시예는, 도면의 좌측(처리 스테이션(110a)) 상에서 도면의 우측(처리 스테이션(110b)) 상에서와 상이한 유형의 처리 스테이션(110)을 갖는다. 적합한 처리 스테이션들(110)은, 열 처리 스테이션들, 마이크로파 플라즈마, 3-전극 CCP, ICP, 평행 판 CCP, UV 노출, 레이저 처리, 펌핑 챔버들, 어닐링 스테이션들 및 계측 스테이션들을 포함하지만 이에 제한되지 않는다.Processing stations 110 may be configured to perform any suitable process and provide any suitable process conditions. The type of gas injector 112 used will depend, for example, on the type of process being performed and the type of showerhead or gas injector. For example, processing station 110 configured to operate as an atomic layer deposition apparatus may have a showerhead or vortex type gas injector. On the other hand, processing station 110 configured to operate as a plasma station may have one or more electrode and/or ground plate configurations for generating a plasma while causing plasma gas to flow toward the wafer. The embodiment illustrated in FIG. 2 has a different type of processing station 110 on the left side of the figure (processing station 110a) than on the right side of the figure (processing station 110b). Suitable processing stations 110 include, but are not limited to, heat treatment stations, microwave plasma, three-electrode CCP, ICP, parallel plate CCP, UV exposure, laser processing, pumping chambers, annealing stations, and metrology stations. No.

도 3 내지 도 6은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체들(200)을 예시한다. 지지 조립체(200)는 회전가능 중앙 기부(210)를 포함한다. 회전가능 중앙 기부(210)는 대칭적 또는 비-대칭적 형상을 가질 수 있고, 회전 축(211)을 정의한다. 회전 축(211)은, 도 6에서 볼 수 있는 바와 같이, 제1 방향으로 연장된다. 제1 방향은 수직 방향 또는 z-축을 따르는 것으로 지칭될 수 있지만, 이러한 방식으로의 "수직"이라는 용어의 사용이 중력의 당김에 수직인 방향으로 제한되지 않는다는 것이 이해될 것이다.3-6 illustrate support assemblies 200 according to one or more embodiments of the present disclosure. Support assembly 200 includes a rotatable central base 210. Rotatable central base 210 may have a symmetrical or non-symmetrical shape and defines an axis of rotation 211 . The rotation axis 211 extends in a first direction, as can be seen in FIG. 6 . The first direction may be referred to as a vertical direction or along the z-axis, although it will be understood that use of the term “vertical” in this manner is not limited to a direction perpendicular to the pull of gravity.

지지 조립체(200)는, 중앙 기부(210)에 연결되고 그로부터 연장되는 적어도 2개의 지지 암(220)을 포함한다. 지지 암들(220)은 내측 단부(221) 및 외측 단부(222)를 갖는다. 내측 단부(221)는, 중앙 기부(210)가 회전 축(211)을 중심으로 회전할 때 지지 암들(220)이 또한 회전하도록 중앙 기부(210)와 접촉한다. 지지 암들(220)은 체결구들(예컨대, 볼트들)에 의해 또는 중앙 기부(210)와 일체로 형성됨으로써 내측 단부(221)에서 중앙 기부(210)에 연결될 수 있다.Support assembly 200 includes at least two support arms 220 connected to and extending from a central base 210 . The support arms 220 have an inner end 221 and an outer end 222. The inner end 221 contacts the central base 210 so that the support arms 220 also rotate when the central base 210 rotates about the axis of rotation 211 . Support arms 220 may be connected to central base 210 at inner end 221 by fasteners (eg, bolts) or by being formed integrally with central base 210 .

일부 실시예들에서, 지지 암들(220)은, 내측 단부들(221) 또는 외측 단부들(222) 중 하나가 동일한 지지 암(220) 상의 내측 단부들(221) 및 외측 단부들(222) 중 다른 하나보다 회전 축(211)으로부터 더 멀도록 회전 축(211)에 직교로 연장된다. 일부 실시예들에서, 지지 암(220)의 내측 단부(221)는 동일한 지지 암(220)의 외측 단부(222)보다 회전 축(211)에 더 가깝다.In some embodiments, the support arms 220 have one of the inner ends 221 and the outer ends 222 on the same support arm 220. It extends orthogonally to the axis of rotation 211 so that one is farther from the axis of rotation 211 than the other. In some embodiments, the inner end 221 of the support arm 220 is closer to the axis of rotation 211 than the outer end 222 of the same support arm 220.

지지 조립체(200)의 지지 암들(220)의 수는 변할 수 있다. 일부 실시예들에서, 적어도 2개의 지지 암(220), 적어도 3개의 지지 암(220), 적어도 4개의 지지 암(220), 또는 적어도 5개의 지지 암(220)이 존재한다. 일부 실시예들에서, 3개의 지지 암(220)이 존재한다. 일부 실시예들에서, 4개의 지지 암(220)이 존재한다. 일부 실시예들에서, 5개의 지지 암(220)이 존재한다. 일부 실시예들에서, 6개의 지지 암(220)이 존재한다.The number of support arms 220 of support assembly 200 can vary. In some embodiments, there are at least two support arms 220, at least three support arms 220, at least four support arms 220, or at least five support arms 220. In some embodiments, there are three support arms 220. In some embodiments, there are four support arms 220. In some embodiments, there are five support arms 220. In some embodiments, there are six support arms 220.

지지 암들(220)은 중앙 기부(210) 주위에 대칭적으로 배열될 수 있다. 예컨대, 4개의 지지 암(220)을 갖는 지지 조립체(200)에서, 지지 암들(220) 각각은 중앙 기부(210) 주위에 90° 간격들로 위치된다. 3개의 지지 암(220)을 갖는 지지 조립체(200)에서, 지지 암들(220)은 중앙 기부(210) 주위에 120° 간격들로 위치된다. 달리 언급하면, 4개의 지지 암(220)이 있는 실시예들에서, 지지 암들은 회전 축(211)을 중심으로 4중(four-fold) 대칭을 제공하도록 배열된다. 일부 실시예들에서, 지지 조립체(200)는 n개의 지지 암(220)을 갖고, n개의 지지 암(220)은 회전 축(211)을 중심으로 n중 대칭을 제공하도록 배열된다.Support arms 220 may be arranged symmetrically around central base 210 . For example, in a support assembly 200 having four support arms 220, each of the support arms 220 is positioned at 90° intervals around the central base 210. In the support assembly 200 with three support arms 220, the support arms 220 are positioned at 120° intervals around the central base 210. Stated differently, in embodiments where there are four support arms 220 , the support arms are arranged to provide four-fold symmetry about the axis of rotation 211 . In some embodiments, support assembly 200 has n support arms 220 , where n support arms 220 are arranged to provide n-fold symmetry about axis of rotation 211 .

가열기(230)는 지지 암들(220)의 외측 단부(222)에 위치된다. 일부 실시예들에서, 각각의 지지 암(220)은 가열기(230)를 갖는다. 가열기들(230)의 중심은, 중앙 기부(210)의 회전 시 가열기들(230)이 원형 경로로 이동하도록 회전 축(211)으로부터 일정 거리에 위치된다.Heater 230 is located at the outer end 222 of the support arms 220. In some embodiments, each support arm 220 has a heater 230. The centers of the heaters 230 are located at a certain distance from the rotation axis 211 so that the heaters 230 move in a circular path when the central base 210 rotates.

가열기들(230)은, 웨이퍼를 지지할 수 있는 지지 표면(231)을 갖는다. 일부 실시예들에서, 가열기(230) 지지 표면들(231)은 실질적으로 동일 평면 상에 있다. 이러한 방식으로 사용되는 바와 같이, "실질적으로 동일 평면 상"은, 개별 지지 표면들(231)에 의해 형성되는 평면들이 다른 지지 표면들(231)에 의해 형성되는 평면들의 ±5°, ±4°, ±3°, ±2°, 또는 ±1° 내에 있는 것을 의미한다.Heaters 230 have a support surface 231 capable of supporting a wafer. In some embodiments, heater 230 support surfaces 231 are substantially coplanar. As used in this way, “substantially coplanar” means that the planes formed by individual support surfaces 231 are ±5°, ±4° relative to the planes formed by other support surfaces 231. , means within ±3°, ±2°, or ±1°.

일부 실시예들에서, 가열기들(230)은 지지 암들(220)의 외측 단부(222) 상에 직접 위치된다. 일부 실시예들에서, 도면들에 예시된 바와 같이, 가열기들(230)은, 가열기 스탠드오프(234)에 의해 지지 암들(220)의 외측 단부(222) 위로 상승된다. 가열기 스탠드오프들(234)은 가열기들(230)의 높이를 증가시키기 위한 임의의 크기 및 길이일 수 있다.In some embodiments, heaters 230 are located directly on the outer end 222 of the support arms 220. In some embodiments, as illustrated in the figures, heaters 230 are raised above the outer end 222 of support arms 220 by heater standoffs 234. Heater standoffs 234 can be of any size and length to increase the height of heaters 230 .

일부 실시예들에서, 중앙 기부(210), 지지 암들(220), 및/또는 가열기 스탠드오프들(234) 중 하나 이상에 채널(236)이 형성된다. 채널(236)은 전기 연결부들을 라우팅하거나 가스 유동을 제공하는 데 사용될 수 있다.In some embodiments, a channel 236 is formed in one or more of the central base 210, support arms 220, and/or heater standoffs 234. Channel 236 may be used to route electrical connections or provide gas flow.

가열기들은, 통상의 기술자에게 알려져 있는 임의의 적합한 유형의 가열기일 수 있다. 일부 실시예들에서, 가열기는, 가열기 몸체 내에 하나 이상의 가열 요소를 갖는 저항성 가열기이다.The heaters may be of any suitable type known to those skilled in the art. In some embodiments, the heater is a resistive heater having one or more heating elements within the heater body.

일부 실시예들의 가열기들(230)은 부가적인 구성요소들을 포함한다. 예컨대, 가열기들은 정전 척을 포함할 수 있다. 정전 척은, 가열기가 이동되는 동안 가열기 지지 표면(231) 상에 위치된 웨이퍼가 제자리에 유지될 수 있도록 다양한 와이어들 및 전극들을 포함할 수 있다. 이는, 웨이퍼가 프로세스의 시작에서 가열기 상에 척킹되어 상이한 프로세스 구역들로 이동하는 동안 그 동일한 가열기 상의 그 동일한 위치에 유지되는 것을 허용한다. 일부 실시예들에서, 와이어들 및 전극들은 지지 암들(220)의 채널들(236)을 통해 라우팅된다. 도 7은, 채널(236)이 도시된 지지 조립체(200)의 부분의 확대도를 도시한다. 채널(236)은 지지 암(220) 및 가열기 스탠드오프(234)를 따라 연장된다. 제1 전극(251a) 및 제2 전극(251b)은 가열기(230)와 또는 가열기(230) 내부의 구성요소(예컨대, 저항성 와이어)와 전기 통신한다. 제1 와이어(253a)가 제1 커넥터(252a)에서 제1 전극(251a)에 연결된다. 제2 와이어(253b)가 제2 커넥터(252b)에서 제2 전극(251b)에 연결된다.Heaters 230 in some embodiments include additional components. For example, the heaters may include an electrostatic chuck. The electrostatic chuck may include various wires and electrodes so that the wafer positioned on the heater support surface 231 can be held in place while the heater is moved. This allows the wafer to be chucked on a heater at the beginning of the process and remain in the same position on the same heater while being moved to different process zones. In some embodiments, wires and electrodes are routed through channels 236 of support arms 220. Figure 7 shows an enlarged view of the portion of support assembly 200 where channels 236 are shown. Channels 236 extend along support arms 220 and heater standoffs 234. The first electrode 251a and the second electrode 251b are in electrical communication with the heater 230 or with a component (eg, a resistive wire) inside the heater 230. The first wire 253a is connected to the first electrode 251a at the first connector 252a. The second wire 253b is connected to the second electrode 251b in the second connector 252b.

일부 실시예들에서, 가열기(230) 온도 또는 가열기(230) 상의 기판의 온도 중 하나 이상을 측정하기 위해 온도 측정 디바이스(예컨대, 고온계, 서미스터, 열전대)가 채널(236) 내에 위치된다. 일부 실시예들에서, 온도 측정 디바이스에 대한 제어 및/또는 측정 와이어들이 채널(236)을 통해 라우팅된다. 일부 실시예들에서, 가열기들(230) 및/또는 가열기들(230) 상의 웨이퍼의 온도를 측정하기 위해 하나 이상의 온도 측정 디바이스가 처리 챔버(100) 내에 위치된다. 적합한 온도 측정 디바이스들은 통상의 기술자에게 알려져 있으며, 광학 고온계들 및 접촉 열전대들을 포함하지만 이에 제한되지 않는다.In some embodiments, a temperature measurement device (e.g., a pyrometer, thermistor, thermocouple) is positioned within channel 236 to measure one or more of the heater 230 temperature or the temperature of the substrate on heater 230. In some embodiments, control and/or measurement wires for the temperature measurement device are routed through channel 236. In some embodiments, one or more temperature measurement devices are positioned within processing chamber 100 to measure the temperature of heaters 230 and/or the wafer on heaters 230 . Suitable temperature measurement devices are known to those skilled in the art and include, but are not limited to, optical pyrometers and contact thermocouples.

와이어들은 지지 암들(220) 및 지지 조립체(200)를 통해 라우팅되어 전원(도시되지 않음)과 연결될 수 있다. 일부 실시예들에서, 전원에 대한 연결은, 와이어들(253a, 253b)을 엉키게 하거나 끊어지게 함이 없이 지지 조립체(200)의 연속적인 회전을 허용한다. 일부 실시예들에서, 도 7에 도시된 바와 같이, 제1 와이어(253a) 및 제2 와이어(253b)는 지지 암(220)의 채널(236)을 따라 중앙 기부(210)로 연장된다. 중앙 기부(210)에서, 제1 와이어(253a)는 중앙 제1 커넥터(254a)와 연결되고, 제2 와이어(253b)는 중앙 제2 커넥터(254b)와 연결된다. 중앙 커넥터들(254a, 254b)은 연결 판(258)의 일부일 수 있으며, 이에 따라, 전력 또는 전자 신호들이 중앙 커넥터들(254a, 254b)을 통과할 수 있다. 예시된 실시예에서, 지지 조립체(200)는 와이어들을 꼬이게 하거나 끊어지게 함이 없이 연속적으로 회전할 수 있는데, 그 이유는, 와이어들이 중앙 기부(210)에 종단되기 때문이다. 제2 연결부는 연결 판(258)의 대향하는 측 상에(처리 챔버 외부에) 있다.Wires may be routed through support arms 220 and support assembly 200 to connect to a power source (not shown). In some embodiments, connection to a power source allows continuous rotation of support assembly 200 without tangling or breaking wires 253a, 253b. In some embodiments, as shown in FIG. 7 , first wire 253a and second wire 253b extend along channel 236 of support arm 220 to central base 210 . At the central base 210, the first wire 253a is connected to the central first connector 254a, and the second wire 253b is connected to the central second connector 254b. Central connectors 254a, 254b may be part of connection plate 258, such that power or electronic signals may pass through central connectors 254a, 254b. In the illustrated embodiment, support assembly 200 can rotate continuously without twisting or breaking the wires because they terminate at the central base 210. The second connection is on the opposite side of the connection plate 258 (outside the processing chamber).

일부 실시예들에서, 와이어들은 채널(236)을 통해 처리 챔버 외부의 전원 또는 전기적 구성요소에 직접 연결된다. 이러한 종류의 실시예들에서, 와이어들은, 와이어들을 꼬이게 하거나 끊어지게 함이 없이 지지 조립체(200)가 제한된 양으로 회전되는 것을 허용하도록 충분한 느슨함을 갖는다. 일부 실시예들에서, 지지 조립체(200)는, 회전의 방향이 반전되기 전에 약 1080°, 990°, 720°, 630°, 360°, 또는 270° 이하로 회전된다. 이는, 가열기들이 와이어들을 끊어지게 함이 없이 스테이션들 각각을 통해 회전되는 것을 허용한다.In some embodiments, the wires are connected directly to a power source or electrical component external to the processing chamber through channel 236. In these types of embodiments, the wires have sufficient slack to allow the support assembly 200 to be rotated a limited amount without kinking or breaking the wires. In some embodiments, support assembly 200 is rotated no more than about 1080°, 990°, 720°, 630°, 360°, or 270° before the direction of rotation is reversed. This allows the heaters to be rotated through each of the stations without breaking the wires.

다시 도 3 내지 도 6을 참조하면, 가열기(230) 및 지지 표면(231)은, 후면측 가스의 유동을 제공하기 위한 하나 이상의 가스 배출구를 포함할 수 있다. 이는, 지지 표면(231)으로부터의 웨이퍼의 제거를 도울 수 있다. 도 4 및 도 5에 도시된 바와 같이, 지지 표면(231)은 복수의 개구들(237) 및 가스 채널(238)을 포함한다. 개구들(237) 및/또는 가스 채널(238)은 진공 소스 또는 가스 소스(예컨대, 퍼지 가스) 중 하나 이상과 유체 연통할 수 있다. 이러한 종류의 실시예들에서, 개구들(237) 및/또는 가스 채널(238)과 가스 소스의 유체 연통을 허용하기 위해 중공 튜브가 포함될 수 있다.Referring again to Figures 3-6, heater 230 and support surface 231 may include one or more gas outlets to provide a flow of backside gas. This may aid removal of the wafer from support surface 231. As shown in FIGS. 4 and 5 , support surface 231 includes a plurality of openings 237 and gas channels 238 . Openings 237 and/or gas channel 238 may be in fluid communication with one or more of a vacuum source or a gas source (eg, purge gas). In these types of embodiments, a hollow tube may be included to allow fluid communication of the openings 237 and/or the gas channel 238 with the gas source.

일부 실시예들에서, 가열기(230) 및/또는 지지 표면(231)은 정전 척으로서 구성된다. 이러한 종류의 실시예들에서, 전극들(251a, 251b)(도 7 참조)은 정전 척에 대한 제어 라인들을 포함할 수 있다.In some embodiments, heater 230 and/or support surface 231 are configured as an electrostatic chuck. In these types of embodiments, electrodes 251a, 251b (see Figure 7) may include control lines for the electrostatic chuck.

지지 조립체(200)의 일부 실시예들은 밀봉 플랫폼(240)을 포함한다. 밀봉 플랫폼은, 최상부 표면(241), 최하부 표면, 및 두께를 갖는다. 밀봉 플랫폼(240)은, 지지 조립체(200) 아래의 구역으로 유동하는 가스를 최소화하기 위한 밀봉 또는 장벽을 제공하는 것을 돕도록 가열기들(230) 주위에 위치될 수 있다.Some embodiments of support assembly 200 include sealing platform 240 . The sealing platform has a top surface 241, a bottom surface, and a thickness. Sealing platform 240 may be positioned around heaters 230 to help provide a seal or barrier to minimize gas flow into the area beneath support assembly 200.

일부 실시예들에서, 도 4에 도시된 바와 같이, 밀봉 플랫폼들(240)은 링 형상이고 각각의 가열기(230) 주위에 위치된다. 예시된 실시예에서, 밀봉 플랫폼들(240)은, 밀봉 플랫폼(240)의 최상부 표면(241)이 가열기의 지지 표면(231) 아래에 있도록 가열기(230) 아래에 위치된다.In some embodiments, as shown in FIG. 4 , sealing platforms 240 are ring-shaped and positioned around each heater 230 . In the illustrated embodiment, the sealing platforms 240 are positioned below the heater 230 such that the top surface 241 of the sealing platform 240 is below the support surface 231 of the heater.

밀봉 플랫폼들(240)은 다수의 목적들을 가질 수 있다. 예컨대, 밀봉 플랫폼들(240)은, 열 질량을 증가시킴으로써 가열기(230)의 온도 균일성을 증가시키는 데 사용될 수 있다. 일부 실시예들에서, 밀봉 플랫폼들(240)은 가열기(230)와 일체로 형성될 수 있다(예컨대, 도 6 참조). 일부 실시예들에서, 밀봉 플랫폼들(240)은 가열기(230)와 별개이다. 예컨대, 도 8에 예시된 실시예는 가열기 스탠드오프(234)에 연결된 별개의 구성요소로서 밀봉 플랫폼(240)을 가지며, 이에 따라, 밀봉 플랫폼(240)의 최상부 표면(241)은 가열기(230)의 지지 표면(231)의 수준 아래에 있다.Sealing platforms 240 may have multiple purposes. For example, sealing platforms 240 can be used to increase the temperature uniformity of heater 230 by increasing thermal mass. In some embodiments, sealing platforms 240 may be formed integrally with heater 230 (see, eg, Figure 6). In some embodiments, sealing platforms 240 are separate from heater 230 . For example, the embodiment illustrated in FIG. 8 has sealing platform 240 as a separate component connected to heater standoffs 234 such that top surface 241 of sealing platform 240 is connected to heater 230. is below the level of the support surface 231.

일부 실시예들에서, 밀봉 플랫폼들(240)은 지지 판(245)에 대한 홀더로서 작동한다. 일부 실시예들에서, 도 5에 도시된 바와 같이, 지지 판(245)은, 가열기들(230)의 지지 표면(231)에 대한 접근을 허용하기 위한 복수의 개구들(242)과 함께 가열기들(230) 전부를 둘러싸는 단일 구성요소이다. 개구들(242)은 가열기들(230)이 지지 판(245)을 통과하는 것을 허용할 수 있다. 일부 실시예들에서, 지지 판(245)은, 지지 판(245)이 가열기들(230)과 함께 회전하고 수직으로 이동하도록 고정된다.In some embodiments, sealing platforms 240 act as a holder for support plate 245 . In some embodiments, as shown in Figure 5, the support plate 245 is configured to accommodate the heaters 230 with a plurality of openings 242 to allow access to the support surface 231 of the heaters 230. (230) It is a single component that surrounds the whole. Openings 242 may allow heaters 230 to pass through support plate 245 . In some embodiments, support plate 245 is fixed such that support plate 245 rotates with heaters 230 and moves vertically.

하나 이상의 실시예에서, 지지 조립체(200)는 드럼 형상 구성요소, 예컨대, 도 20에 도시된 바와 같은, 복수의 웨이퍼들을 지지하도록 구성되는 최상부 표면(246)을 갖는 원통형 몸체이다. 지지 조립체(200)의 최상부 표면(246)은 처리 동안 하나 이상의 웨이퍼를 지지하도록 크기가 정해진 복수의 함몰부(포켓들(257))를 갖는다. 일부 실시예들에서, 포켓들(257)은 처리될 웨이퍼들의 두께와 거의 동일한 깊이를 갖고, 이에 따라, 웨이퍼들의 최상부 표면은 원통형 몸체의 최상부 표면(246)과 실질적으로 동일 평면 상에 있다. 그러한 지지 조립체(200)의 예는, 지지 암들(220)이 없는 도 5의 수정으로서 구상될 수 있다. 도 20은, 원통형 몸체를 사용하는 지지 조립체(200)의 실시예의 단면도를 예시한다. 지지 조립체(200)는, 처리를 위해 웨이퍼를 지지하도록 크기가 정해진 복수의 포켓들(257)을 포함한다. 예시된 실시예에서, 포켓들(257)의 최하부는 가열기(230)의 지지 표면(231)이다. 가열기들(230)에 대한 전력 연결부들은 지지 기둥(227) 및 지지 판(245)을 통해 라우팅될 수 있다. 가열기들(230)은, 개별 포켓들(257) 및 웨이퍼들의 온도를 제어하기 위해 독립적으로 전력을 공급받을 수 있다.In one or more embodiments, support assembly 200 is a drum-shaped component, such as a cylindrical body with a top surface 246 configured to support a plurality of wafers, as shown in FIG. 20. The top surface 246 of the support assembly 200 has a plurality of depressions (pockets 257) sized to support one or more wafers during processing. In some embodiments, the pockets 257 have a depth approximately equal to the thickness of the wafers to be processed, such that the top surface of the wafers is substantially flush with the top surface 246 of the cylindrical body. An example of such a support assembly 200 can be envisioned as a modification of FIG. 5 without support arms 220 . Figure 20 illustrates a cross-sectional view of an embodiment of a support assembly 200 using a cylindrical body. Support assembly 200 includes a plurality of pockets 257 sized to support the wafer for processing. In the illustrated embodiment, the lowermost portion of pockets 257 is the support surface 231 of heater 230. Power connections for heaters 230 may be routed through support pillar 227 and support plate 245. Heaters 230 may be independently powered to control the temperature of individual pockets 257 and wafers.

도 9를 참조하면, 일부 실시예들에서, 지지 판(245)은, 가열기(230)의 지지 표면(231)에 의해 형성되는 주 평면(247)과 실질적으로 평행한 주 평면(248)을 형성하는 최상부 표면(246)을 갖는다. 일부 실시예들에서, 지지 판(245)은, 지지 표면(231)의 주 평면(247) 위로 거리(D)에 있는 주 평면(248)을 형성하는 최상부 표면(246)을 갖는다. 일부 실시예들에서, 거리(D)는 처리될 웨이퍼(260)의 두께와 실질적으로 동일하며, 이에 따라, 도 6에 도시된 바와 같이, 웨이퍼(260) 표면(261)은 지지 판(245)의 최상부 표면(246)과 동일 평면 상에 있다. 이러한 방식으로 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는, 웨이퍼(260)의 표면(261)에 의해 형성되는 주 평면이 동일 평면성의 ±1 mm, ±0.5 mm, ±0.4 mm, ±0.3 mm, ±0.2 mm, 또는 ±0.1 mm 내에 있는 것을 의미한다.9 , in some embodiments, the support plate 245 defines a major plane 248 that is substantially parallel to the major plane 247 defined by the support surface 231 of the heater 230. It has a top surface 246 that is. In some embodiments, the support plate 245 has a top surface 246 that defines a major plane 248 at a distance D above the major plane 247 of the support surface 231 . In some embodiments, the distance D is substantially equal to the thickness of the wafer 260 to be processed, such that the wafer 260 surface 261 is connected to the support plate 245, as shown in Figure 6. It is coplanar with the top surface 246 of . As used in this manner, the term “substantially coplanar” means that the major plane defined by the surface 261 of the wafer 260 is coplanar, ±1 mm, ±0.5 mm, ±0.4 mm, means within ±0.3 mm, ±0.2 mm, or ±0.1 mm.

도 9를 참조하면, 본 개시내용의 일부 실시예들은, 처리를 위한 지지 표면들을 구성하는 별개의 구성요소들을 갖는다. 여기서, 밀봉 플랫폼(240)은 가열기(230)와 별개의 구성요소이고, 밀봉 플랫폼(240)의 최상부 표면(241)이 가열기(230)의 지지 표면(231) 아래에 있도록 위치된다. 밀봉 플랫폼(240)의 최상부 표면(241)과 가열기(230)의 지지 표면(231) 사이의 거리는 지지 판(245)이 밀봉 플랫폼들(240) 상에 위치되는 것을 허용하기에 충분하다. 지지 판(245)의 두께 및/또는 밀봉 플랫폼(240)의 위치는, 웨이퍼(260)(도 6 참조)의 최상부 표면(261)이 지지 판(245)의 최상부 표면(246)과 실질적으로 동일 평면 상에 있게 지지 판(245)의 최상부 표면(246)과의 사이의 거리(D)가 충분하도록 제어될 수 있다.9, some embodiments of the present disclosure have separate components that make up support surfaces for processing. Here, the sealing platform 240 is a separate component from the heater 230 and is positioned such that the top surface 241 of the sealing platform 240 is below the support surface 231 of the heater 230 . The distance between the top surface 241 of the sealing platform 240 and the support surface 231 of the heater 230 is sufficient to allow the support plate 245 to be positioned on the sealing platforms 240 . The thickness of the support plate 245 and/or the position of the sealing platform 240 is such that the top surface 261 of the wafer 260 (see FIG. 6) is substantially the same as the top surface 246 of the support plate 245. The distance D between the top surface 246 of the support plate 245 can be controlled to be sufficient to be in a plane.

일부 실시예들에서, 도 9에 도시된 바와 같이, 지지 판(245)은 지지 기둥(227)에 의해 지지된다. 지지 기둥(227)은, 단일 구성요소 플랫폼이 사용될 때 지지 판(245)의 중심의 처짐을 방지하는 데 있어서 유용성을 가질 수 있다. 일부 실시예들에서, 밀봉 플랫폼들(240)이 존재하지 않고, 지지 기둥(227)은 지지 판(245)에 대한 주 지지부이다.In some embodiments, as shown in Figure 9, support plate 245 is supported by support pillars 227. Support pillars 227 may be useful in preventing sagging of the center of support plate 245 when a single component platform is used. In some embodiments, sealing platforms 240 are not present and support pillar 227 is the main support for support plate 245 .

지지 판(245)은, 가열기들(230) 및 밀봉 플랫폼들(240)의 다양한 구성들과 상호작용하기 위한 다양한 구성들을 가질 수 있다. 도 10a는 본 개시내용의 하나 이상의 실시예에 따른 지지 판(245)의 상부 등각도를 도시한다. 도 10b는 선(10B-10B')을 따라 취해진 도 10a의 지지 판(245)의 단면도를 도시한다. 이러한 실시예에서, 지지 판(245)은 평면형 구성요소이고, 여기서, 최상부 표면(246) 및 최하부 표면(249)은 실질적으로 평평하고/거나 실질적으로 동일 평면 상에 있다. 예시된 실시예는, 도 9에 도시된 바와 같이, 밀봉 플랫폼(240)이 지지 판(245)을 지지하는 데 사용되는 경우에 특히 유용할 수 있다.Support plate 245 can have various configurations for interacting with various configurations of heaters 230 and sealing platforms 240 . FIG. 10A shows a top isometric view of support plate 245 according to one or more embodiments of the present disclosure. Figure 10B shows a cross-sectional view of the support plate 245 of Figure 10A taken along line 10B-10B'. In this embodiment, support plate 245 is a planar component, where top surface 246 and bottom surface 249 are substantially flat and/or substantially coplanar. The illustrated embodiment may be particularly useful when sealing platform 240 is used to support support plate 245, as shown in FIG. 9.

도 11a는 본 개시내용의 하나 이상의 실시예에 따른 지지 판(245)의 다른 실시예의 하부 등각도를 도시한다. 도 11b는 선(11B-11B')을 따라 취해진 도 11a의 지지 판(245)의 단면도를 도시한다. 이러한 실시예에서, 개구들(242) 각각은, 지지 판(245)의 최하부 표면(249) 상의, 개구(242)의 외측 둘레 주위의 돌출 링(270)을 갖는다.11A shows a bottom isometric view of another embodiment of a support plate 245 according to one or more embodiments of the present disclosure. FIG. 11B shows a cross-sectional view of the support plate 245 of FIG. 11A taken along line 11B-11B'. In this embodiment, each of the openings 242 has a protruding ring 270 around the outer perimeter of the opening 242, on the bottom surface 249 of the support plate 245.

도 12a는 본 개시내용의 하나 이상의 실시예에 따른 지지 판(245)의 다른 실시예의 하부 등각도를 도시한다. 도 12b는 선(12B-12B')을 따라 취해진 도 12a의 지지 판(245)의 단면도를 도시한다. 이러한 실시예에서, 개구들(242) 각각은, 개구(242)의 외측 둘레 주위에, 지지 판(245)의 최하부 표면(249)의 함몰된 링(272)을 갖는다. 함몰된 링(272)은 함몰된 최하부 표면(273)을 생성한다. 이러한 종류의 실시예는, 밀봉 플랫폼들(240)이 존재하지 않거나 가열기들(230)의 지지 표면(231)과 동일 평면 상에 있는 경우에 유용할 수 있다. 함몰된 최하부 표면(273)은, 지지 판(245)의 최하부 부분이 가열기(230)의 측부들 주위에서 가열기(230)의 지지 표면(231) 아래로 연장되도록 가열기(230)의 지지 표면(231) 상에 위치될 수 있다.12A shows a bottom isometric view of another embodiment of a support plate 245 according to one or more embodiments of the present disclosure. Figure 12B shows a cross-sectional view of the support plate 245 of Figure 12A taken along line 12B-12B'. In this embodiment, each of the openings 242 has a recessed ring 272 in the lowermost surface 249 of the support plate 245, around the outer perimeter of the opening 242. The depressed ring 272 creates a depressed bottom surface 273. This kind of embodiment may be useful when sealing platforms 240 are not present or are coplanar with the support surface 231 of heaters 230 . The recessed lowermost surface 273 is positioned on the support surface 231 of the heater 230 such that the lowermost portion of the support plate 245 extends below the support surface 231 of the heater 230 around the sides of the heater 230. ) can be located on.

본 개시내용의 일부 실시예들은, 다중-스테이션 처리 챔버들에 대한 최상부 판들(300)에 관한 것이다. 도 1 및 도 13을 참조하면, 최상부 판(300)은, 덮개의 두께를 정의하는 최상부 표면(301) 및 최하부 표면(302), 및 하나 이상의 가장자리(303)를 갖는다. 최상부 판(300)은, 자신의 두께를 통해 연장되는 적어도 하나의 개구(310)를 포함한다. 개구들(310)은, 프로세스 스테이션(110)을 형성할 수 있는 가스 주입기(112)의 부가를 허용하도록 크기가 정해진다.Some embodiments of the present disclosure relate to top plates 300 for multi-station processing chambers. 1 and 13, top plate 300 has a top surface 301 and a bottom surface 302 that define the thickness of the cover, and one or more edges 303. Top plate 300 includes at least one opening 310 extending through its thickness. The openings 310 are sized to allow the addition of a gas injector 112 that can form a process station 110 .

도 14는 본 개시내용의 하나 이상의 실시예에 따른 처리 스테이션(110)의 분해도를 예시한다. 예시된 처리 스테이션(110)은, 3개의 주 구성요소, 즉, 최상부 판(300)(덮개로 또한 지칭됨), 펌프/퍼지 삽입부(330), 및 가스 주입기(112)를 포함한다. 도 14에 도시된 가스 주입기(112)는 샤워헤드 유형 가스 주입기이다. 일부 실시예들에서, 삽입부는 진공부(배기부)에 연결되거나 그와 유체 연통한다. 일부 실시예들에서, 삽입부는 퍼지 가스 소스에 연결되거나 그와 유체 연통한다.Figure 14 illustrates an exploded view of processing station 110 according to one or more embodiments of the present disclosure. The illustrated processing station 110 includes three main components: top plate 300 (also referred to as a shroud), pump/purge insert 330, and gas injector 112. The gas injector 112 shown in FIG. 14 is a showerhead type gas injector. In some embodiments, the insert is connected to or in fluid communication with a vacuum (vent). In some embodiments, the insert is connected to or in fluid communication with a purge gas source.

최상부 판(300)의 개구들(310)은 균일하게 크기가 정해지거나 상이한 크기들을 가질 수 있다. 개구(310)로부터 가스 주입기(112)로 전이하도록 적합하게 형상화된 펌프/퍼지 삽입부(330)와 함께 상이한 크기/형상 가스 주입기들(112)이 사용될 수 있다. 예컨대, 예시된 바와 같이, 펌프/퍼지 삽입부(330)는 측벽(335)과 함께 최상부(331) 및 최하부(333)를 포함한다. 최상부 판(300)의 개구(310) 내에 삽입될 때, 최하부(333)에 인접한 레지(334)가 개구(310)에 형성된 쉘프(315) 상에 위치될 수 있다. 일부 실시예들에서, 개구에 쉘프(315)가 존재하지 않고, 펌프/퍼지 삽입부(330)의 플랜지 부분(337)이 최상부 판(300)의 최상부 상에 놓인다. 예시된 실시예에서, 레지(334)는, 기밀 밀봉을 형성하는 것을 돕도록 사이에 위치된 o-링(314)과 함께 쉘프(315) 상에 놓인다.The openings 310 of the top plate 300 may be uniformly sized or may have different sizes. Different size/shape gas injectors 112 may be used with a suitably shaped pump/purge insert 330 to transition from the opening 310 to the gas injector 112 . For example, as illustrated, pump/purge insert 330 includes a top 331 and a bottom 333 along with side walls 335. When inserted within the opening 310 of the top plate 300, the ledge 334 adjacent the bottom 333 may be positioned on the shelf 315 formed in the opening 310. In some embodiments, there is no shelf 315 in the opening, and the flange portion 337 of the pump/purge insert 330 rests on top of the top plate 300. In the illustrated embodiment, ledge 334 rests on shelf 315 with o-ring 314 positioned therebetween to help form an airtight seal.

일부 실시예들에서, 최상부 판(300)에 하나 이상의 퍼지 링(309)(도 13 참조)이 존재한다. 퍼지 링들(309)은, 양의 퍼지 가스 유동을 제공하여 처리 챔버로부터의 처리 가스들의 누출을 방지하도록 퍼지 가스 플레넘(도시되지 않음) 또는 퍼지 가스 소스(도시되지 않음)와 유체 연통할 수 있다.In some embodiments, there are one or more purge rings 309 (see FIG. 13) on top plate 300. The purge rings 309 may be in fluid communication with a purge gas plenum (not shown) or a purge gas source (not shown) to provide positive purge gas flow to prevent leakage of process gases from the processing chamber. .

일부 실시예들의 펌프/퍼지 삽입부(330)는, 펌프/퍼지 삽입부(330)의 최하부(333)에서 적어도 하나의 개구(338)를 갖는 가스 플레넘(336)을 포함한다. 가스 플레넘(336)은, 전형적으로 펌프/퍼지 삽입부(330)의 최상부(331) 또는 측벽(335) 근처에서 유입구(도시되지 않음)를 갖는다.The pump/purge insert 330 of some embodiments includes a gas plenum 336 having at least one opening 338 at the lowermost portion 333 of the pump/purge insert 330. The gas plenum 336 typically has an inlet (not shown) near the top 331 or side wall 335 of the pump/purge insert 330.

일부 실시예들에서, 플레넘(336)은, 펌프/퍼지 삽입부(330)의 최하부(333)의 개구(338)를 통과할 수 있는 퍼지 또는 불활성 가스로 충전될 수 있다. 개구(338)를 통한 가스 유동은 처리 챔버의 내부로부터의 프로세스 가스들의 누출을 방지하기 위한 가스 커튼 유형 장벽을 생성하는 것을 도울 수 있다.In some embodiments, plenum 336 may be filled with a purge or inert gas that may pass through an opening 338 in the lowermost portion 333 of pump/purge insert 330. Gas flow through opening 338 can help create a gas curtain type barrier to prevent leakage of process gases from the interior of the processing chamber.

일부 실시예들에서, 플레넘(336)은 진공 소스에 연결되거나 그와 유체 연통한다. 그러한 실시예에서, 가스들은 펌프/퍼지 삽입부(330)의 최하부(333)의 개구(338)를 통해 플레넘(336) 내로 유동한다. 가스들은 플레넘으로부터 배기부로 진공배기될 수 있다. 그러한 배열은 사용 동안 프로세스 스테이션(110)으로부터 가스들을 진공배기하는 데 사용될 수 있다.In some embodiments, plenum 336 is connected to or in fluid communication with a vacuum source. In such an embodiment, gases flow into the plenum 336 through an opening 338 in the lowermost portion 333 of the pump/purge insert 330. Gases can be evacuated from the plenum to the exhaust. Such an arrangement may be used to evacuate gases from the process station 110 during use.

펌프/퍼지 삽입부(330)는, 가스 주입기(112)가 삽입될 수 있는 개구(339)를 포함한다. 예시된 가스 주입기(112)는, 펌프/퍼지 삽입부(330)의 최상부(331)에 인접한 레지(332)와 접촉할 수 있는 플랜지(342)를 갖는다. 가스 주입기(112)의 직경 또는 폭은, 펌프/퍼지 삽입부(330)의 개구(339) 내에 끼워맞춰질 수 있는 임의의 적합한 크기일 수 있다. 이는, 다양한 유형들의 가스 주입기들(112)이 최상부 판(300)의 동일한 개구(310) 내에서 사용되는 것을 허용한다.The pump/purge insert 330 includes an opening 339 through which the gas injector 112 can be inserted. The illustrated gas injector 112 has a flange 342 that can contact a ledge 332 adjacent the top 331 of the pump/purge insert 330. The diameter or width of the gas injector 112 may be of any suitable size that can fit within the opening 339 of the pump/purge insert 330. This allows various types of gas injectors 112 to be used within the same opening 310 of top plate 300.

도 2 및 도 15를 참조하면, 최상부 판(300)의 일부 실시예들은, 최상부 판(300)의 중심 부분 위를 지나는 바(360)를 포함한다. 바(360)는 커넥터(367)를 사용하여 중심 근처에서 최상부 판(300)에 연결될 수 있다. 커넥터(367)는, 압력차들의 결과로서의 또는 최상부 판(300)의 중량으로 인한 최상부 판(300)의 휘어짐을 보상하기 위해 최상부 판(300)의 최상부(331) 또는 최하부(333)에 직교하는 힘을 가하는데 사용될 수 있다. 일부 실시예들에서, 바(360) 및 커넥터(367)는, 약 1.5 m의 폭 및 최대 약 100 mm 또는 그와 동일한 두께를 갖는 최상부 판의 중심에서의 최대 약 1.5 mm 또는 그와 동일한 편향을 보상하는 것이 가능하다. 일부 실시예들에서, 모터(365) 또는 액추에이터가 커넥터(367)에 연결되고 최상부 판(300)에 가해지는 방향성 힘에서의 변화를 야기할 수 있다. 모터(365) 또는 액추에이터는 바(360) 상에 지지될 수 있다. 예시된 바(360)는 2개의 위치에서 최상부 판(300)의 가장자리들과 접촉한다. 그러나, 통상의 기술자는, 하나의 연결 위치 또는 2개 초과의 연결 위치들이 존재할 수 있다는 것을 인지할 것이다.2 and 15 , some embodiments of top plate 300 include a bar 360 passing over a central portion of top plate 300. Bar 360 may be connected to top plate 300 near the center using connector 367. Connector 367 is perpendicular to the top 331 or bottom 333 of top plate 300 to compensate for deflection of top plate 300 as a result of pressure differences or due to the weight of top plate 300. It can be used to apply force. In some embodiments, bar 360 and connector 367 have a deflection of at most about 1.5 mm or equal at the center of the top plate having a width of about 1.5 m and a thickness of up to about 100 mm or equal. Compensation is possible. In some embodiments, a motor 365 or actuator may be connected to connector 367 and cause a change in the directional force applied to top plate 300. Motor 365 or actuator may be supported on bar 360. The illustrated bar 360 contacts the edges of the top plate 300 in two locations. However, a person skilled in the art will recognize that there may be one connection position or more than two connection positions.

일부 실시예들에서, 도 2에 예시된 바와 같이, 지지 조립체(200)는 적어도 하나의 모터(250)를 포함한다. 적어도 하나의 모터(250)는 중앙 기부(210)에 연결되고, 회전 축(211)을 중심으로 지지 조립체(200)를 회전시키도록 구성된다. 일부 실시예들에서, 적어도 하나의 모터는, 중앙 기부(210)를 회전 축(211)을 따른 방향으로 이동시키도록 구성된다. 예컨대, 도 2에서, 모터(255)는 모터(250)에 연결되고, 회전 축(211)을 따라 지지 조립체(200)를 이동시킬 수 있다. 달리 언급하면, 예시된 모터(255)는, z-축을 따라, 수직으로, 또는 모터(250)에 의해 야기되는 이동에 직교하게 지지 조립체(200)를 이동시킬 수 있다. 일부 실시예들에서, 예시된 바와 같이, 회전 축(211)을 중심으로 지지 조립체(200)를 회전시키기 위한 제1 모터(250), 및 회전 축(211)을 따라(즉, z-축을 따라 또는 수직으로) 지지 조립체(200)를 이동시키기 위한 제2 모터(255)가 존재한다.In some embodiments, as illustrated in FIG. 2 , support assembly 200 includes at least one motor 250 . At least one motor 250 is connected to the central base 210 and is configured to rotate the support assembly 200 about the rotation axis 211 . In some embodiments, the at least one motor is configured to move central base 210 in a direction along rotation axis 211 . For example, in Figure 2, motor 255 is connected to motor 250 and can move support assembly 200 along rotation axis 211. Stated differently, the illustrated motor 255 may move the support assembly 200 along the z-axis, vertically, or orthogonal to the movement caused by the motor 250. In some embodiments, a first motor 250 to rotate support assembly 200 about rotation axis 211, and along rotation axis 211 (i.e., along the z-axis), as illustrated. There is a second motor 255 for moving the support assembly 200 (or vertically).

도 2 및 도 16을 참조하면, 하나 이상의 프로세스 스테이션(110a)을 인접한 프로세스 스테이션(110b)으로부터 격리시키는 것을 돕기 위해, 하나 이상의 진공 스트림 및/또는 퍼지 가스 스트림이 사용될 수 있다. 퍼지 가스 플레넘(370)이 프로세스 스테이션(110)의 외측 경계에서 퍼지 가스 포트(371)와 유체 연통할 수 있다. 도 16에 예시된 실시예에서, 퍼지 가스 플레넘(370) 및 퍼지 가스 포트(371)는 최상부 판(300)에 위치된다. 펌프/퍼지 삽입부(330)의 일부로서 도시된 플레넘(336)은, 펌프/퍼지 가스 포트로서 작동하는 개구(338)와 유체 연통한다. 도 13에 도시된 바와 같은 퍼지 가스 포트(371) 및 퍼지 가스 플레넘(370), 및 진공 포트(개구(338))는 가스 커튼을 형성하도록 프로세스 스테이션(110)의 둘레 주위로 연장될 수 있다. 가스 커튼은, 처리 챔버의 내부 용적(109) 내로의 프로세스 가스들의 누출을 최소화하거나 제거하는 것을 도울 수 있다.2 and 16, one or more vacuum streams and/or purge gas streams may be used to help isolate one or more process stations 110a from adjacent process stations 110b. A purge gas plenum 370 may be in fluid communication with a purge gas port 371 at the outer boundary of process station 110 . In the embodiment illustrated in FIG. 16 , purge gas plenum 370 and purge gas port 371 are located in top plate 300 . Plenum 336, shown as part of pump/purge insert 330, is in fluid communication with opening 338, which acts as a pump/purge gas port. Purge gas port 371 and purge gas plenum 370 as shown in FIG. 13 and vacuum port (opening 338) may extend around the perimeter of process station 110 to form a gas curtain. . The gas curtain can help minimize or eliminate leakage of process gases into the interior volume 109 of the processing chamber.

도 16에 예시된 실시예에서, 프로세스 스테이션(110)을 격리시키는 것을 돕기 위해 차동 펌핑이 사용될 수 있다. 펌프/퍼지 삽입부(330)는, 가열기(230), 및 o-링들(329)을 갖는 지지 판(245)과 접촉하는 것으로 도시된다. o-링들(329)은 플레넘(336)과 유체 연통하는 개구(338)의 양측 상에 위치된다. 하나의 o-링(329)은 개구(338)의 둘레 내에 위치되고, 다른 하나의 o-링(329)은 개구(338)의 둘레 외부의 위치에 있다. o-링들(329), 및 개구(338)를 갖는 펌프/퍼지 플레넘(336)의 조합은, 처리 챔버(100)의 내부 용적(109)으로부터의 프로세스 스테이션(110)의 기밀 밀봉을 유지하기 위한 충분한 압력차를 제공할 수 있다. 일부 실시예들에서, 개구(338)의 둘레 내부 또는 외부에 위치된 하나의 o-링(329)이 존재한다. 일부 실시예들에서, 플레넘(370)과 유체 연통하는 퍼지 가스 포트(371)의 둘레에 위치된 2개의 o-링(329) ― 하나는 그 내부에 하나는 그 외부에 있음 ― 이 존재한다. 일부 실시예들에서, 플레넘(370)과 유체 연통하는 퍼지 가스 포트(371)의 둘레 내부 또는 외부에 위치된 하나의 o-링(329)이 존재한다.In the embodiment illustrated in Figure 16, differential pumping may be used to help isolate process stations 110. Pump/purge insert 330 is shown in contact with heater 230 and support plate 245 with o-rings 329. O-rings 329 are located on both sides of opening 338 in fluid communication with plenum 336. One o-ring 329 is located within the perimeter of the opening 338 and the other o-ring 329 is located outside the perimeter of the opening 338. The combination of o-rings 329 and pump/purge plenum 336 with opening 338 maintains an airtight seal of process station 110 from the interior volume 109 of process chamber 100. It can provide sufficient pressure difference for In some embodiments, there is one o-ring 329 located inside or outside the perimeter of opening 338. In some embodiments, there are two o-rings 329, one internal and one external, located around the purge gas port 371 in fluid communication with the plenum 370. . In some embodiments, there is one o-ring 329 located inside or outside the perimeter of purge gas port 371 in fluid communication with plenum 370.

프로세스 스테이션(110)의 경계는, 펌프/퍼지 삽입부(330)에 의해 프로세스 가스가 격리되는 구역으로 간주될 수 있다. 일부 실시예들에서, 프로세스 스테이션(110)의 외측 경계는, 도 14 및 도 16에 도시된 바와 같이, 펌프/퍼지 삽입부(330)의 플레넘(336)과 유체 연통하는 개구(338)의 최외측 가장자리(381)이다.The boundary of the process station 110 can be considered an area where the process gas is isolated by the pump/purge insert 330. In some embodiments, the outer boundary of the process station 110 has an opening 338 in fluid communication with the plenum 336 of the pump/purge insert 330, as shown in FIGS. 14 and 16. This is the outermost edge (381).

프로세스 스테이션들(110)의 수는 가열기들(230) 및 지지 암들(220)의 수에 따라 변할 수 있다. 일부 실시예들에서, 동일한 수의 가열기들(230), 지지 암들(220), 및 프로세스 스테이션들(110)이 존재한다. 일부 실시예들에서, 가열기들(230), 지지 암들(220), 및 프로세스 스테이션들(110)은, 가열기들(230)의 지지 표면들(231) 각각이 동시에 상이한 프로세스 스테이션들(110)의 전면들(214)에 인접하게 위치될 수 있도록 구성된다. 달리 언급하면, 가열기들 각각은 동시에 프로세스 스테이션에 위치된다.The number of process stations 110 may vary depending on the number of heaters 230 and support arms 220 . In some embodiments, there are equal numbers of heaters 230, support arms 220, and process stations 110. In some embodiments, the heaters 230 , support arms 220 , and process stations 110 are configured such that each of the support surfaces 231 of the heaters 230 is simultaneously connected to a different process station 110 . It is configured to be positioned adjacent to the front surfaces 214. Stated another way, each of the heaters is simultaneously positioned in the process station.

처리 챔버(100) 주위의 처리 스테이션들(110)의 간격은 변할 수 있다. 일부 실시예들에서, 처리 스테이션들(110)은 스테이션들 사이의 공간을 최소화하도록 서로 충분히 가깝고, 이에 따라, 기판은, 스테이션들 중 하나의 외부에서의 시간량 및 이송 거리를 최소로 소비하면서 프로세스 스테이션들(110) 사이에서 신속하게 이동될 수 있다. 일부 실시예들에서, 프로세스 스테이션들(110)은, 가열기(230)의 지지 표면(231) 상에서 운반되는 웨이퍼가 항상 프로세스 스테이션들(110) 중 하나 내에 있도록 충분히 가깝게 위치된다.The spacing of processing stations 110 around processing chamber 100 may vary. In some embodiments, the processing stations 110 are sufficiently close together to minimize space between the stations, such that the substrate is processed while consuming a minimum amount of time and transport distance outside of one of the stations. Can be moved quickly between stations 110. In some embodiments, the process stations 110 are positioned close enough so that a wafer carried on the support surface 231 of the heater 230 is always within one of the process stations 110 .

도 17은 본 개시내용의 하나 이상의 실시예에 따른 처리 플랫폼(400)을 도시한다. 도 17에 도시된 실시예는 단지 하나의 가능한 구성을 나타낼 뿐이며, 본 개시내용의 범위를 제한하는 것으로 고려되지 않아야 한다. 예컨대, 일부 실시예들에서, 처리 플랫폼(400)은, 예시된 실시예와 상이한 수의 처리 챔버들(100), 버퍼 스테이션들(420), 및/또는 로봇(430) 구성들 중 하나 이상을 갖는다.17 illustrates a processing platform 400 according to one or more embodiments of the present disclosure. The embodiment shown in Figure 17 represents only one possible configuration and should not be considered limiting the scope of the present disclosure. For example, in some embodiments, processing platform 400 may include one or more of a different number of processing chambers 100, buffer stations 420, and/or robot 430 configurations than the illustrated embodiment. have

예시적인 처리 플랫폼(400)은, 복수의 측부들(411, 412, 413, 414)을 갖는 중앙 이송 스테이션(410)을 포함한다. 도시된 이송 스테이션(410)은, 제1 측부(411), 제2 측부(412), 제3 측부(413), 및 제4 측부(414)를 갖는다. 4개의 측부가 도시되지만, 관련 기술분야의 통상의 기술자들은, 예컨대, 처리 플랫폼(400)의 전체 구성에 따라, 이송 스테이션(410)에 대해 임의의 적합한 수의 측부가 존재할 수 있다는 것을 이해할 것이다. 일부 실시예들에서, 이송 스테이션(410)은 3개의 측부, 4개의 측부, 5개의 측부, 6개의 측부, 7개의 측부, 또는 8개의 측부를 갖는다.The exemplary processing platform 400 includes a central transfer station 410 having a plurality of sides 411 , 412 , 413 , 414 . The illustrated transfer station 410 has a first side 411 , a second side 412 , a third side 413 , and a fourth side 414 . Although four sides are shown, those skilled in the art will understand that there may be any suitable number of sides to the transfer station 410, for example, depending on the overall configuration of the processing platform 400. In some embodiments, transfer station 410 has 3 sides, 4 sides, 5 sides, 6 sides, 7 sides, or 8 sides.

이송 스테이션(410)은 내부에 위치하는 로봇(430)을 갖는다. 로봇(430)은, 처리 동안 웨이퍼를 이동시킬 수 있는 임의의 적합한 로봇일 수 있다. 일부 실시예들에서, 로봇(430)은 제1 암(431) 및 제2 암(432)을 갖는다. 제1 암(431) 및 제2 암(432)은 다른 암과 독립적으로 이동될 수 있다. 제1 암(431) 및 제2 암(432)은 x-y 평면에서 그리고/또는 z-축을 따라 이동할 수 있다. 일부 실시예들에서, 로봇(430)은 제3 암(도시되지 않음) 또는 제4 암(도시되지 않음)을 포함한다. 암들 각각은 다른 암들과 독립적으로 이동할 수 있다.The transfer station 410 has a robot 430 located therein. Robot 430 may be any suitable robot capable of moving a wafer during processing. In some embodiments, robot 430 has a first arm 431 and a second arm 432. The first arm 431 and the second arm 432 can be moved independently of the other arms. First arm 431 and second arm 432 may move in the x-y plane and/or along the z-axis. In some embodiments, robot 430 includes a third arm (not shown) or a fourth arm (not shown). Each of the arms can move independently of the other arms.

예시된 실시예는 6개의 처리 챔버(100)를 포함하며, 중앙 이송 스테이션(410)의 제2 측부(412), 제3 측부(413) 및 제4 측부(414) 각각에 2개가 연결된다. 처리 챔버들(100) 각각은 상이한 프로세스들을 수행하도록 구성될 수 있다.The illustrated embodiment includes six processing chambers 100, two connected to each of the second side 412, third side 413, and fourth side 414 of the central transfer station 410. Each of the processing chambers 100 may be configured to perform different processes.

처리 플랫폼(400)은 또한, 중앙 이송 스테이션(410)의 제1 측부(411)에 연결된 하나 이상의 버퍼 스테이션(420)을 포함할 수 있다. 버퍼 스테이션들(420)은 동일하거나 상이한 기능들을 수행할 수 있다. 예컨대, 버퍼 스테이션들은, 처리되고 원래의 카세트로 복귀되는 웨이퍼들의 카세트를 유지할 수 있거나, 버퍼 스테이션들 중 하나는, 처리 후에 다른 버퍼 스테이션으로 이동되는 처리되지 않은 웨이퍼들을 유지할 수 있다. 일부 실시예들에서, 버퍼 스테이션들 중 하나 이상은 처리 전 및/또는 후에 웨이퍼들을 전처리, 예열 또는 세정하도록 구성된다.Processing platform 400 may also include one or more buffer stations 420 connected to the first side 411 of central transfer station 410. Buffer stations 420 may perform the same or different functions. For example, the buffer stations may hold a cassette of wafers that are processed and returned to their original cassette, or one of the buffer stations may hold unprocessed wafers that are moved to another buffer station after processing. In some embodiments, one or more of the buffer stations are configured to preprocess, preheat, or clean wafers before and/or after processing.

처리 플랫폼(400)은 또한, 중앙 이송 스테이션(410)과 처리 챔버들(100) 중 임의의 처리 챔버 사이에 하나 이상의 슬릿 밸브(418)를 포함할 수 있다. 슬릿 밸브들(418)은 개방 및 폐쇄되어 처리 챔버(100) 내의 내부 용적을 중앙 이송 스테이션(410) 내의 환경으로부터 격리시킬 수 있다. 예컨대, 처리 챔버가 처리 동안 플라즈마를 생성할 것인 경우, 표유(stray) 플라즈마가 이송 스테이션의 로봇을 손상시키는 것을 방지하기 위해 그 처리 챔버에 대한 슬릿 밸브를 폐쇄하는 것이 도움이 될 수 있다.Processing platform 400 may also include one or more slit valves 418 between central transfer station 410 and any of the processing chambers 100 . Slit valves 418 can be opened and closed to isolate the internal volume within processing chamber 100 from the environment within central transfer station 410. For example, if the processing chamber will generate plasma during processing, it may be helpful to close the slit valve for that processing chamber to prevent stray plasma from damaging the robots at the transfer station.

처리 플랫폼(400)은 웨이퍼들 또는 웨이퍼들의 카세트들이 처리 플랫폼(400) 내에 적재되는 것을 허용하도록 팩토리 인터페이스(450)에 연결될 수 있다. 팩토리 인터페이스(450) 내의 로봇(455)은 웨이퍼들 또는 카세트들을 버퍼 스테이션들 안팎으로 이동시키는 데 사용될 수 있다. 웨이퍼들 또는 카세트들은 처리 플랫폼(400) 내에서 중앙 이송 스테이션(410)의 로봇(430)에 의해 이동될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(450)는 다른 클러스터 툴(즉, 다른 다중 챔버 처리 플랫폼)의 이송 스테이션이다.Processing platform 400 may be connected to factory interface 450 to allow wafers or cassettes of wafers to be loaded into processing platform 400 . Robot 455 within factory interface 450 may be used to move wafers or cassettes in and out of buffer stations. Wafers or cassettes may be moved within the processing platform 400 by a robot 430 at a central transfer station 410 . In some embodiments, factory interface 450 is a transfer station for another cluster tool (i.e., another multi-chamber processing platform).

제어기(495)는 처리 플랫폼(400)의 다양한 구성요소들에 제공되고 결합되어 그들의 동작을 제어할 수 있다. 제어기(495)는, 전체 처리 플랫폼(400)을 제어하는 단일 제어기, 또는 처리 플랫폼(400)의 개별 부분들을 제어하는 다수의 제어기들일 수 있다. 예컨대, 처리 플랫폼(400)은, 개별 처리 챔버들(100), 중앙 이송 스테이션(410), 팩토리 인터페이스(450), 및 로봇(430) 각각에 대한 별개의 제어기들을 포함할 수 있다.A controller 495 may be provided for and coupled to the various components of processing platform 400 to control their operation. Controller 495 may be a single controller controlling the entire processing platform 400, or multiple controllers controlling individual portions of the processing platform 400. For example, processing platform 400 may include separate controllers for each of the individual processing chambers 100, central transfer station 410, factory interface 450, and robot 430.

일부 실시예들에서, 제어기(495)는 중앙 처리 유닛(CPU)(496), 메모리(497), 및 지원 회로들(498)을 포함한다. 제어기(495)는 처리 플랫폼(400)을 직접, 또는 특정 프로세스 챔버 및/또는 지원 시스템 구성요소들과 연관된 컴퓨터들(또는 제어기들)을 통해 제어할 수 있다.In some embodiments, controller 495 includes a central processing unit (CPU) 496, memory 497, and support circuits 498. Controller 495 may control processing platform 400 directly or through computers (or controllers) associated with a particular process chamber and/or support system components.

제어기(495)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기(495)의 메모리(497) 또는 컴퓨터 판독가능 매체는 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 광학 저장 매체(예컨대, 컴팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 저장소와 같은, 용이하게 이용가능한 메모리 중 하나 이상일 수 있다. 메모리(497)는, 처리 플랫폼(400)의 파라미터들 및 구성요소들을 제어하도록 프로세서(CPU(496))에 의해 동작가능한 명령어 세트를 보유할 수 있다.Controller 495 may be any type of general purpose computer processor that may be used in an industrial setting to control various chambers and sub-processors. Memory 497 or computer-readable media of controller 495 may include random access memory (RAM), read-only memory (ROM), floppy disk, hard disk, optical storage media (e.g., compact disk or digital video disk), flash. It may be one or more of readily available memory, such as a drive, or any other form of digital storage, local or remote. Memory 497 may hold a set of instructions operable by a processor (CPU 496) to control parameters and components of processing platform 400.

종래의 방식으로 프로세서를 지원하기 위해 지원 회로들(498)이 CPU(496)에 결합된다. 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로 및 서브시스템들 등을 포함한다. 하나 이상의 프로세스는, 프로세서에 의해 실행 또는 호출 될 때 프로세서로 하여금 본원에 설명된 방식으로 처리 플랫폼(400) 또는 개별 처리 챔버들의 동작을 제어하게 하는 소프트웨어 루틴으로서 메모리(498)에 저장될 수 있다. 소프트웨어 루틴은 또한, CPU(496)에 의해 제어되는 하드웨어로부터 원격으로 위치되는 제2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다.Support circuits 498 are coupled to CPU 496 to support the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuits and subsystems, etc. One or more processes may be stored in memory 498 as software routines that, when executed or invoked by a processor, cause the processor to control the operation of processing platform 400 or individual processing chambers in the manner described herein. Software routines may also be stored and/or executed by a second CPU (not shown) located remotely from the hardware controlled by CPU 496.

본 개시내용의 프로세스들 및 방법들 중 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 그러므로, 프로세스는 소프트웨어로 구현될 수 있고, 컴퓨터 시스템을 사용하여 하드웨어로, 예컨대 주문형 집적 회로 또는 다른 유형의 하드웨어 구현으로서, 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 범용 컴퓨터를, 프로세스들이 수행되도록 챔버 동작을 제어하는 특정 목적 컴퓨터(제어기)로 변환한다.Some or all of the processes and methods of this disclosure can also be performed in hardware. Therefore, a process may be implemented in software, executed in hardware using a computer system, such as an application-specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware. Software routines, when executed by a processor, transform a general-purpose computer into a special-purpose computer (controller) that controls chamber operation such that processes are performed.

일부 실시예들에서, 제어기(495)는, 방법을 수행하도록 개별 프로세스들 또는 서브-프로세스들을 실행하기 위한 하나 이상의 구성을 갖는다. 제어기(495)는, 중간 구성요소들에 연결되어 방법들의 기능들을 수행하게 그들을 동작시키도록 구성될 수 있다. 예컨대, 제어기(495)는, 가스 밸브들, 액추에이터들, 모터들, 슬릿 밸브들, 진공 제어부들 또는 다른 구성요소들 중 하나 이상에 연결되어 그들을 제어하도록 구성될 수 있다.In some embodiments, controller 495 has one or more configurations for executing individual processes or sub-processes to perform a method. Controller 495 may be configured to couple to intermediate components and operate them to perform the functions of the methods. For example, controller 495 may be configured to connect to and control one or more of gas valves, actuators, motors, slit valves, vacuum controls or other components.

도 18a 내지 도 18i는 상이한 프로세스 스테이션들(110)을 갖는 처리 챔버들(100)의 다양한 구성들을 예시한다. 문자가 기입된 원들은 상이한 프로세스 스테이션들(110) 및 프로세스 조건들을 표현한다. 예컨대, 도 18a에서, 각각이 상이한 문자를 갖는 4개의 프로세스 스테이션(110)이 존재한다. 이는, 각각의 스테이션이 다른 스테이션들과 상이한 조건들을 갖는 4개의 프로세스 스테이션(110)을 표현한다. 화살표로 표시되는 바와 같이, 프로세스는, 웨이퍼들이 있는 가열기들을 스테이션들 A 내지 D로 이동시킴으로써 발생할 수 있다. D에 대한 노출 후에, 사이클은 계속되거나 반전될 수 있다.18A-18I illustrate various configurations of processing chambers 100 with different process stations 110 . Lettered circles represent different process stations 110 and process conditions. For example, in Figure 18A, there are four process stations 110, each with a different letter. This represents four process stations 110 where each station has different conditions than the other stations. As indicated by the arrows, the process can occur by moving the heaters with the wafers to stations A through D. After exposure to D, the cycle may continue or be reversed.

도 18b에서, 2개 또는 4개의 웨이퍼가 동시에 처리될 수 있으며, 웨이퍼들은 가열기들 상에서 A 위치와 B 위치 사이에서 전후로 이동된다. 2개의 웨이퍼는 A 위치에서 시작하고 2개의 웨이퍼는 B 위치에서 시작할 수 있다. 독립적인 프로세스 스테이션들(110)은, 각각의 웨이퍼가 A 노출로 시작하도록 스테이션들 중 2개가 제1 사이클 동안 꺼지는 것을 허용한다. 가열기들 및 웨이퍼들은 시계방향 또는 반시계방향으로 연속적으로 회전될 수 있다. 일부 실시예들에서, 가열기들 및 웨이퍼들은 제1 방향으로(예컨대, A에서 B로) 90° 회전되고 이어서 제2 방향으로(예컨대, B에서 다시 A로) 90° 회전된다. 이러한 회전이 반복된 결과로 4개의 웨이퍼/가열기가 약 90° 초과로 지지 조립체를 회전시킴이 없이 처리되게 될 수 있다.In Figure 18B, two or four wafers can be processed simultaneously, with the wafers moved back and forth between positions A and B on the heaters. Two wafers can start at position A and two wafers can start at position B. The independent process stations 110 allow two of the stations to be turned off during the first cycle so that each wafer starts with an A exposure. The heaters and wafers can be rotated continuously clockwise or counterclockwise. In some embodiments, the heaters and wafers are rotated 90° in a first direction (eg, from A to B) and then rotated 90° in a second direction (eg, from B back to A). This repeated rotation results in four wafers/heaters being processed without rotating the support assembly beyond about 90°.

도 18b에 예시된 실시예는 또한, 4개의 프로세스 스테이션(110)에서 2개의 웨이퍼를 처리하는 데 유용할 수 있다. 이는, 프로세스들 중 하나가 매우 상이한 압력에 있거나 A 및 B 프로세스 시간들이 매우 상이한 경우 특히 유용할 수 있다.The embodiment illustrated in FIG. 18B may also be useful for processing two wafers in four process stations 110. This can be particularly useful if one of the processes is at a very different pressure or the A and B process times are very different.

도 18c에서, 3개의 웨이퍼가 단일 처리 챔버(100)에서 ABC 프로세스로 처리될 수 있다. 하나의 스테이션은 꺼지거나 상이한 기능(예컨대, 예열)을 수행할 수 있다.In Figure 18C, three wafers can be processed in an ABC process in a single processing chamber 100. One station may be turned off or perform a different function (eg, preheating).

도 18d에서, 2개의 웨이퍼가 AB-처리 프로세스로 처리될 수 있다. 예컨대, 웨이퍼들은 B 가열기들 상에만 배치될 수 있다. 시계방향으로의 1/4 회전은 하나의 웨이퍼를 A 스테이션에 그리고 제2 웨이퍼를 T 스테이션에 배치시킬 것이다. 이를 되돌리는 것은 두 웨이퍼들 모두를 B 스테이션들로 이동시킬 것이고, 반시계방향으로의 다른 1/4 회전은 제2 웨이퍼를 A 스테이션에 그리고 제1 웨이퍼를 B 스테이션에 배치시킬 것이다.In Figure 18D, two wafers can be processed in the AB-processing process. For example, wafers may be placed only on B heaters. A quarter turn clockwise will place one wafer in the A station and the second wafer in the T station. Reversing this will move both wafers to the B stations, and another quarter turn counterclockwise will place the second wafer in A station and the first wafer in B station.

도 18e에서, 최대 4개의 웨이퍼가 동시에 처리될 수 있다. 예컨대, A 스테이션이 CVD 또는 ALD 프로세스를 수행하도록 구성되는 경우, 4개의 웨이퍼가 동시에 처리될 수 있다.In Figure 18E, up to four wafers can be processed simultaneously. For example, if station A is configured to perform a CVD or ALD process, four wafers can be processed simultaneously.

도 18f 내지 도 18i는 3개의 프로세스 스테이션(110)을 갖는 처리 챔버(100)에 대한 유사한 유형들의 구성들을 도시한다. 간략하게, 도 18f에서, 단일 웨이퍼(또는 하나 초과의 웨이퍼)가 ABC 프로세스를 겪을 수 있다. 도 18g에서, 2개의 웨이퍼가, 하나를 A 위치에 배치하고 다른 하나를 B 위치들 중 하나에 배치함으로써 AB 프로세스를 겪을 수 있다. 이어서, 웨이퍼들은 다시 전후로 이동될 수 있으며, 이에 따라, B 위치에서 시작하는 웨이퍼는 첫 번째 이동에서 A 위치로 이동하고 이어서 다시 동일한 B 위치로 이동한다. 도 18h에서, 웨이퍼는 AB-처리 프로세스를 겪을 수 있다. 도 18i에서, 3개의 웨이퍼가 동시에 처리될 수 있다.18F-18I show similar types of configurations for processing chamber 100 with three process stations 110. Briefly, in Figure 18F, a single wafer (or more than one wafer) may undergo an ABC process. In Figure 18G, two wafers may undergo the AB process by placing one in the A location and the other in one of the B locations. The wafers can then be moved back and forth again, such that the wafer starting at position B moves to position A on the first move and then moves back to the same B position. 18H, the wafer may undergo an AB-processing process. In Figure 18I, three wafers can be processed simultaneously.

도 19a 및 도 19b는 본 개시내용의 다른 실시예를 예시한다. 도 19a는, 웨이퍼(101)가 가스 주입기(112)에 인접하도록 프로세스 스테이션(110) 아래의 위치로 회전된 가열기(230) 및 지지 판(245)의 부분도를 도시한다. 지지 판(245) 상의 또는 가열기(230)의 외측 부분 상의 O-링(329)은 이완된 상태에 있다.19A and 19B illustrate another embodiment of the present disclosure. FIG. 19A shows a partial view of the heater 230 and support plate 245 rotated to a position below the process station 110 so that the wafer 101 is adjacent the gas injector 112 . O-ring 329 on support plate 245 or on the outer portion of heater 230 is in a relaxed state.

도 19b는, 가열기(230)의 지지 표면(231)이 프로세스 스테이션(110) 내의 가스 주입기(112)의 전면(114)과 접촉하거나 거의 접촉하도록 프로세스 스테이션(110)을 향해 이동된 후의 지지 판(245) 및 가열기(230)를 도시한다. 이러한 위치에서, O-링(329)이 압축되어 지지 판(245)의 외측 가장자리 또는 가열기(230)의 외측 부분 주위에 밀봉을 형성한다. 이는, 웨이퍼(101)가 가능한 한 가스 주입기(112)에 가깝게 이동되는 것을 허용하여 반응 구역(219)의 용적을 최소화하며, 이에 따라, 반응 구역(219)이 신속하게 퍼지될 수 있다.19B shows a support plate ( 245) and heater 230 are shown. In this position, O-ring 329 is compressed to form a seal around the outer edge of support plate 245 or the outer portion of heater 230. This minimizes the volume of reaction zone 219 by allowing wafer 101 to be moved as close to gas injector 112 as possible, so that reaction zone 219 can be purged quickly.

반응 구역(219) 밖으로 유동할 수 있는 가스들은 개구(338)를 통해 플레넘(336) 내로 그리고 배기부 또는 포어라인(도시되지 않음)으로 진공배기된다. 퍼지 가스 플레넘(370) 및 퍼지 가스 포트(371)에 의해 개구(338) 외부의 퍼지 가스 커튼이 생성될 수 있다. 부가적으로, 가열기(230)와 지지 판(245) 사이의 갭(137)은, 반응 구역(219)을 추가로 커튼식으로 격리시키고, 처리 챔버(100)의 내부 용적(109) 내로 반응성 가스들이 유동하는 것을 방지하는 것을 도울 수 있다.Gases that can flow out of reaction zone 219 are evacuated through openings 338 into plenum 336 and to an exhaust or foreline (not shown). A purge gas curtain outside the opening 338 may be created by the purge gas plenum 370 and the purge gas port 371. Additionally, the gap 137 between the heater 230 and the support plate 245 further curtain-likely isolates the reaction zone 219 and allows reactive gases into the interior volume 109 of the processing chamber 100. It can help prevent them from flowing.

다시 도 17을 참조하면, 일부 실시예들의 제어기(495)는, 로봇 상의 기판을 복수의 처리 챔버들 사이에서 이동시키기 위한 구성; 시스템에서 기판들을 적재 및/또는 하적하기 위한 구성; 슬릿 밸브들을 개방/폐쇄하기 위한 구성; 가열기들 중 하나 이상에 전력을 제공하기 위한 구성; 가열기들의 온도를 측정하기 위한 구성; 가열기들 상의 웨이퍼들의 온도를 측정하기 위한 구성; 가열기들에서 웨이퍼들을 적재 또는 하적하기 위한 구성; 온도 측정과 가열기 전력 제어 사이에서 피드백을 제공하기 위한 구성; 회전 축을 중심으로 지지 조립체를 회전시키기 위한 구성; 회전 축을 따라(즉, z-축을 따라) 지지 조립체를 이동시키기 위한 구성; 지지 조립체의 회전 속도를 설정 또는 변경하기 위한 구성; 가스 주입기에 가스의 유동을 제공하기 위한 구성; 가스 주입기에서 플라즈마를 생성하기 위해 하나 이상의 전극에 전력을 제공하기 위한 구성; 플라즈마 소스에 대한 전력 공급부를 제어하기 위한 구성; 플라즈마 소스 전력 공급부의 주파수 및/또는 전력을 제어하기 위한 구성; 및/또는 열 어닐링 처리 스테이션에 대한 제어를 제공하기 위한 구성으로부터 선택되는 하나 이상의 구성을 갖는다.Referring again to FIG. 17 , the controller 495 in some embodiments may be configured to move a substrate on a robot between a plurality of processing chambers; Configurations for loading and/or unloading substrates in the system; Configuration for opening/closing slit valves; Configuration for providing power to one or more of the heaters; Configuration for measuring the temperature of heaters; Arrangement for measuring the temperature of wafers on heaters; Arrangements for loading or unloading wafers in heaters; Configuration to provide feedback between temperature measurement and heater power control; Configuration for rotating the support assembly about the axis of rotation; Configuration for moving the support assembly along an axis of rotation (i.e., along the z-axis); Configuration for setting or changing the rotational speed of the support assembly; Configuration for providing a flow of gas to the gas injector; Configuration for providing power to one or more electrodes to generate a plasma in a gas injector; Configuration for controlling the power supply to the plasma source; Configuration for controlling the frequency and/or power of the plasma source power supply; and/or a configuration for providing control over a thermal annealing process station.

하나 이상의 실시예는 처리 챔버(100)를 동작시키는 방법에 관한 것이다. 하나 이상의 실시예에서, 방법은, x개의 공간적으로 분리된 격리된 처리 스테이션(110)을 포함하는 처리 챔버(100)를 제공하는 단계를 포함한다. 하나 이상의 실시예에서, x는 2 내지 10 범위의 정수이다. 하나 이상의 실시예에서, x는 기판 지지 표면들의 수를 지칭한다. 다른 실시예들에서, x는 기판 표면들의 수 또는 처리 스테이션들의 수 중 하나 이상을 지칭한다. 일부 실시예들에서, 기판 지지 표면들의 수 및 처리 스테이션들의 수는 같고 x와 동일하다. 하나 이상의 실시예에서, x는 2 내지 6 범위의 정수이다. 하나 이상의 실시예에서, x는 2, 3, 4, 5, 6, 7, 8, 9, 또는 10으로부터 선택된다. 다른 실시예들에서, x는 2, 3, 4, 5, 또는 6으로부터 선택된다. 하나 이상의 실시예에서, x는 4이다.One or more embodiments relate to a method of operating the processing chamber 100. In one or more embodiments, the method includes providing a processing chamber (100) comprising x spatially separated and isolated processing stations (110). In one or more embodiments, x is an integer ranging from 2 to 10. In one or more embodiments, x refers to the number of substrate support surfaces. In other embodiments, x refers to one or more of the number of substrate surfaces or the number of processing stations. In some embodiments, the number of substrate support surfaces and the number of processing stations are equal and equal to x. In one or more embodiments, x is an integer ranging from 2 to 6. In one or more embodiments, x is selected from 2, 3, 4, 5, 6, 7, 8, 9, or 10. In other embodiments, x is selected from 2, 3, 4, 5, or 6. In one or more embodiments, x is 4.

일부 실시예들에서, x'는 상이한 공간적으로 분리된 격리된 처리 스테이션들의 수를 지칭한다. 상이한 공간적으로 분리된 격리된 처리 스테이션들은 처리 스테이션들에서의 상이한 프로세스 조건을 지칭한다. 예컨대, 2개의 상이한 프로세스 조건을 포함하는 4개의 처리 스테이션이 존재하는 시스템에서, x'는 2와 동일하다. 이러한 종류의 실시예들은, 각각의 유형의 프로세스 조건을 갖는 동일한 수의 스테이션들을 갖는다. 하나 이상의 실시예에서, 처리 챔버는, 제1 처리 스테이션들이 제1 프로세스 조건을 갖고, 제2 처리 스테이션이 제2 프로세스 조건을 갖고, 모든 처리 스테이션들 주위로 회전되는 웨이퍼가 각각의 프로세스 조건에 두 번 노출될 것이도록, 교번하는 제1 처리 스테이션들 및 제2 처리 스테이션들로 분리되는 4개의 처리 스테이션을 포함한다. 예컨대, 도 7은, 4개의 프로세스 스테이션에서 2개의 상이한 유형의 프로세스 조건들(A 및 B)이 존재하는 실시예를 예시한다. 이러한 예에서, x = 4이고, x' = 2이다.In some embodiments, x' refers to the number of different spatially separated isolated processing stations. Different spatially separated isolated processing stations refer to different process conditions at the processing stations. For example, in a system where there are four processing stations containing two different process conditions, x' is equal to 2. Embodiments of this kind have the same number of stations with each type of process condition. In one or more embodiments, the processing chamber is configured such that first processing stations have a first process condition, second processing stations have a second process condition, and a wafer rotated around all of the processing stations has two processing conditions at each process condition. It includes four processing stations, separated into alternating first processing stations and second processing stations, so that the processing stations will be exposed multiple times. For example, Figure 7 illustrates an embodiment where there are two different types of process conditions (A and B) at four process stations. In this example, x = 4 and x' = 2.

하나 이상의 실시예에서, 처리 챔버(100)는 처리 챔버 온도를 갖고, 각각의 처리 스테이션(110)은 독립적으로 처리 스테이션 온도를 가지며, 처리 챔버 온도는 처리 스테이션 온도들과 상이하다. 하나 이상의 실시예에서, x개의 공간적으로 분리된 격리된 처리 스테이션(110)과 정렬된 복수의 기판 지지 표면들(231)을 갖는 기판 지지 조립체(200)가, 각각의 기판 지지 표면(231)이 인접한 기판 지지 표면(231)에 대해 제1 방향으로 (360/x) 도 회전하도록 (rx-1) 회 회전된다. 본원에서 사용되는 바와 같이, "(rx-1)"이라는 용어는 기판 지지 조립체의 횟수(즉, 회전 수)를 지칭한다. 하나 이상의 실시예에서, r은 처리 사이클(즉, ALD 사이클) 수를 표현하고, 1 이상의 정수이다. 일부 실시예들에서, r은 10보다 크거나, 50보다 크거나, 100보다 크다. 하나 이상의 실시예에서, r은 1 내지 10의 범위, 또는 1 내지 8의 범위, 또는 1 내지 6의 범위, 또는 1 내지 4의 범위이거나, 1, 2, 3, 또는 4로부터 선택된다. 다른 실시예들에서, r은 1이다. 더 추가적인 실시예들에서, r은 2, 3, 또는 4이다.In one or more embodiments, processing chamber 100 has a processing chamber temperature and each processing station 110 independently has a processing station temperature, with the processing chamber temperatures being different from the processing station temperatures. In one or more embodiments, a substrate support assembly (200) having a plurality of substrate support surfaces (231) aligned with x spatially separated isolated processing stations (110), each substrate support surface (231) It is rotated (rx-1) times to rotate (360/x) degrees in the first direction with respect to the adjacent substrate support surface 231. As used herein, the term “(rx-1)” refers to the number (i.e., number of rotations) of the substrate support assembly. In one or more embodiments, r represents the number of processing cycles (i.e., ALD cycles) and is an integer greater than or equal to 1. In some embodiments, r is greater than 10, greater than 50, or greater than 100. In one or more embodiments, r ranges from 1 to 10, or ranges from 1 to 8, or ranges from 1 to 6, or ranges from 1 to 4, or is selected from 1, 2, 3, or 4. In other embodiments, r is 1. In still further embodiments, r is 2, 3, or 4.

하나 이상의 실시예에서, 기판 지지 조립체(200)는 이어서, 각각의 기판 지지 표면(231)이 인접한 기판 지지 표면(231)에 대해 제2 방향으로 (360/x) 도 회전하도록 (rx-1) 회 회전된다.In one or more embodiments, the substrate support assembly 200 then rotates (rx-1) such that each substrate support surface 231 rotates (360/x) degrees in the second direction relative to the adjacent substrate support surface 231. It rotates several times.

하나 이상의 실시예에서, 제1 방향 및 제2 방향은 서로 반대이다. 하나 이상의 실시예에서, 제1 방향은 반시계방향 또는 시계방향으로부터 선택된다. 하나 이상의 실시예에서, 제2 방향은 반시계방향 또는 시계방향 중 다른 하나이다.In one or more embodiments, the first direction and the second direction are opposite each other. In one or more embodiments, the first direction is selected from counterclockwise or clockwise. In one or more embodiments, the second direction is one of counterclockwise or clockwise.

하나 이상의 실시예에서, 복수의 기판 지지 표면들(231)은 실질적으로 동일 평면 상에 있다. 이러한 방식으로 사용되는 바와 같이, "실질적으로 동일 평면 상"은, 개별 지지 표면들(231)에 의해 형성되는 평면들이 다른 지지 표면들(231)에 의해 형성되는 평면들의 ±5°, ±4°, ±3°, ±2°, 또는 ±1° 내에 있는 것을 의미한다. 일부 실시예들에서, "실질적으로 동일 평면 상"이라는 용어는, 개별 지지 표면들에 의해 형성되는 평면들이 ±50 ㎛, ±40 ㎛, ±30 ㎛, ±20 ㎛, 또는 ±10 ㎛ 내에 있는 것을 의미한다.In one or more embodiments, the plurality of substrate support surfaces 231 are substantially coplanar. As used in this way, “substantially coplanar” means that the planes formed by individual support surfaces 231 are ±5°, ±4° relative to the planes formed by other support surfaces 231. , means within ±3°, ±2°, or ±1°. In some embodiments, the term “substantially coplanar” refers to the planes formed by the individual support surfaces being within ±50 μm, ±40 μm, ±30 μm, ±20 μm, or ±10 μm. it means.

하나 이상의 실시예에서, 기판 지지 표면들은 웨이퍼를 지지할 수 있는 가열기들(230)을 포함한다. 일부 실시예들에서, 기판 지지 표면들 또는 가열기들(230)은 정전 척들을 포함한다.In one or more embodiments, the substrate support surfaces include heaters 230 that can support a wafer. In some embodiments, the substrate support surfaces or heaters 230 include electrostatic chucks.

하나 이상의 실시예에서, 방법은, 처리 챔버 온도 또는 처리 스테이션 온도들 중 하나 이상을 제어하는 단계를 더 포함한다.In one or more embodiments, the method further includes controlling one or more of a processing chamber temperature or a processing station temperature.

하나 이상의 실시예에서, 방법은, 복수의 기판 지지 조립체(200)의 회전(rx-1)의 속도를 제어하는 단계를 더 포함한다.In one or more embodiments, the method further includes controlling the rate of rotation (rx-1) of the plurality of substrate support assemblies 200.

본 개시내용의 하나 이상의 실시예는 처리 챔버(100)를 동작시키는 방법에 관한 것이다. 하나 이상의 실시예에서, 방법은, 적어도 2개의 상이한 처리 스테이션(110)과 제1 기판 지지 표면(231), 제2 기판 지지 표면(231), 제3 기판 지지 표면(231), 및 제4 기판 지지 표면(231)을 포함하는 기판 지지 조립체(200)를 갖는 처리 챔버(100)를 제공하는 단계를 포함하며, 각각의 기판 지지 표면(231)은 초기 위치에서 처리 스테이션(110)과 정렬된다. 제1 기판 지지 표면(231) 상의 제1 웨이퍼는 제1 프로세스 조건에 노출된다. 기판 지지 조립체(200)는, 제1 웨이퍼를 제2 기판 지지 표면(231)의 초기 위치로 이동시키도록 제1 방향으로 회전된다. 제1 웨이퍼는 제2 프로세스 조건에 노출된다. 기판 지지 조립체(200)는, 제1 웨이퍼를 제3 기판 지지 표면(231)의 초기 위치로 이동시키도록 제1 방향으로 회전된다. 제1 웨이퍼는 제3 프로세스 조건에 노출된다. 기판 지지 조립체(200)는, 제1 웨이퍼를 제4 기판 지지 표면(231)의 초기 위치로 이동시키도록 제1 방향으로 회전된다. 제1 웨이퍼는 제4 프로세스 조건에 노출된다. 기판 지지 조립체(200)는, 제1 웨이퍼를 제3 기판 지지 표면(231)의 초기 위치로 이동시키도록 제2 방향으로 회전된다. 제1 웨이퍼는 제3 프로세스 조건에 노출된다. 기판 지지 조립체(200)는, 제1 웨이퍼를 제2 기판 지지 표면(231)의 초기 위치로 이동시키도록 제2 방향으로 회전된다. 제1 웨이퍼는 제2 프로세스 조건에 노출된다. 기판 지지 조립체(200)는, 제1 웨이퍼를 제1 기판 지지 표면(231)의 초기 위치로 이동시키도록 제2 방향으로 회전되고, 제1 웨이퍼는 제1 프로세스 조건에 노출된다. 하나 이상의 실시예에서, 프로세스 조건은, 온도, 압력, 반응성 가스 등 중 하나 이상을 포함한다.One or more embodiments of the present disclosure relate to a method of operating processing chamber 100. In one or more embodiments, the method includes at least two different processing stations 110 and a first substrate support surface 231, a second substrate support surface 231, a third substrate support surface 231, and a fourth substrate. and providing a processing chamber (100) having a substrate support assembly (200) including support surfaces (231), each substrate support surface (231) aligned with a processing station (110) in an initial position. A first wafer on first substrate support surface 231 is exposed to first process conditions. The substrate support assembly 200 is rotated in a first direction to move the first wafer to an initial position on the second substrate support surface 231 . The first wafer is exposed to second process conditions. The substrate support assembly 200 is rotated in a first direction to move the first wafer to an initial position on the third substrate support surface 231 . The first wafer is exposed to third process conditions. The substrate support assembly 200 is rotated in a first direction to move the first wafer to an initial position on the fourth substrate support surface 231 . The first wafer is exposed to fourth process conditions. The substrate support assembly 200 is rotated in the second direction to move the first wafer to an initial position on the third substrate support surface 231 . The first wafer is exposed to third process conditions. The substrate support assembly 200 is rotated in a second direction to move the first wafer to an initial position on the second substrate support surface 231 . The first wafer is exposed to second process conditions. The substrate support assembly 200 is rotated in a second direction to move the first wafer to an initial position on the first substrate support surface 231 and the first wafer is exposed to first process conditions. In one or more embodiments, process conditions include one or more of temperature, pressure, reactive gases, etc.

하나 이상의 실시예에서, 방법은, 제2 기판 지지 표면(231) 상의 제2 웨이퍼를 제2 프로세스 조건에 노출시키는 단계; 제2 웨이퍼를 제3 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제1 방향으로 회전시키는 단계; 제2 웨이퍼를 제3 프로세스 조건에 노출시키는 단계; 제2 웨이퍼를 제4 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제1 방향으로 회전시키는 단계; 제2 웨이퍼를 제4 프로세스 조건에 노출시키는 단계; 제2 웨이퍼를 제1 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제1 방향으로 회전시키는 단계; 제2 웨이퍼를 제1 프로세스 조건에 노출시키는 단계; 제2 웨이퍼를 제4 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제2 방향으로 회전시키는 단계; 제2 웨이퍼를 제4 프로세스 조건에 노출시키는 단계; 제2 웨이퍼를 제3 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제2 방향으로 회전시키는 단계; 제2 웨이퍼를 제3 프로세스 조건에 노출시키는 단계; 제2 웨이퍼를 제2 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제2 방향으로 회전시키는 단계; 및 제2 웨이퍼를 제2 프로세스 조건에 노출시키는 단계를 더 포함한다.In one or more embodiments, the method includes exposing a second wafer on a second substrate support surface 231 to a second process condition; rotating the substrate support assembly (200) in a first direction to move the second wafer to an initial position on the third substrate support surface (231); exposing the second wafer to third process conditions; rotating the substrate support assembly (200) in a first direction to move the second wafer to an initial position on the fourth substrate support surface (231); exposing the second wafer to fourth process conditions; rotating the substrate support assembly (200) in a first direction to move the second wafer to an initial position on the first substrate support surface (231); exposing the second wafer to first process conditions; rotating the substrate support assembly (200) in a second direction to move the second wafer to an initial position on the fourth substrate support surface (231); exposing the second wafer to fourth process conditions; rotating the substrate support assembly (200) in a second direction to move the second wafer to an initial position on the third substrate support surface (231); exposing the second wafer to third process conditions; rotating the substrate support assembly (200) in a second direction to move the second wafer to an initial position on the second substrate support surface (231); and exposing the second wafer to second process conditions.

하나 이상의 실시예에서, 방법은, 제3 기판 지지 표면(231) 상의 제3 웨이퍼를 제3 프로세스 조건에 노출시키는 단계; 제3 웨이퍼를 제4 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제1 방향으로 회전시키는 단계; 제3 웨이퍼를 제4 프로세스 조건에 노출시키는 단계; 제3 웨이퍼를 제1 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제1 방향으로 회전시키는 단계; 제3 웨이퍼를 제1 프로세스 조건에 노출시키는 단계; 제3 웨이퍼를 제2 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제1 방향으로 회전시키는 단계; 제3 웨이퍼를 제2 프로세스 조건에 노출시키는 단계; 제3 웨이퍼를 제1 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제2 방향으로 회전시키는 단계; 제3 웨이퍼를 제1 프로세스 조건에 노출시키는 단계; 제3 웨이퍼를 제4 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제2 방향으로 회전시키는 단계; 제3 웨이퍼를 제4 프로세스 조건에 노출시키는 단계; 제3 웨이퍼를 제3 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제2 방향으로 회전시키는 단계; 및 제3 웨이퍼를 제3 프로세스 조건에 노출시키는 단계를 더 포함한다.In one or more embodiments, the method includes exposing a third wafer on a third substrate support surface 231 to third process conditions; rotating the substrate support assembly (200) in a first direction to move the third wafer to an initial position on the fourth substrate support surface (231); exposing the third wafer to fourth process conditions; rotating the substrate support assembly (200) in a first direction to move the third wafer to an initial position on the first substrate support surface (231); exposing a third wafer to first process conditions; rotating the substrate support assembly (200) in a first direction to move the third wafer to an initial position on the second substrate support surface (231); exposing the third wafer to second process conditions; rotating the substrate support assembly (200) in a second direction to move the third wafer to an initial position on the first substrate support surface (231); exposing a third wafer to first process conditions; rotating the substrate support assembly (200) in a second direction to move the third wafer to an initial position on the fourth substrate support surface (231); exposing the third wafer to fourth process conditions; rotating the substrate support assembly (200) in a second direction to move the third wafer to an initial position on the third substrate support surface (231); and exposing the third wafer to third process conditions.

다른 실시예들에서, 방법은, 제4 기판 지지 표면(231) 상의 제4 웨이퍼를 제4 프로세스 조건에 노출시키는 단계; 제4 웨이퍼를 제1 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제1 방향으로 회전시키는 단계; 제4 웨이퍼를 제1 프로세스 조건에 노출시키는 단계; 제4 웨이퍼를 제2 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제1 방향으로 회전시키는 단계; 제4 웨이퍼를 제2 프로세스 조건에 노출시키는 단계; 제4 웨이퍼를 제3 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제1 방향으로 회전시키는 단계; 제4 웨이퍼를 제3 프로세스 조건에 노출시키는 단계; 제4 웨이퍼를 제2 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제2 방향으로 회전시키는 단계; 제4 웨이퍼를 제2 프로세스 조건에 노출시키는 단계; 제4 웨이퍼를 제1 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제2 방향으로 회전시키는 단계; 제4 웨이퍼를 제1 프로세스 조건에 노출시키는 단계; 제4 웨이퍼를 제4 기판 지지 표면(231)의 초기 위치로 이동시키도록 기판 지지 조립체(200)를 제2 방향으로 회전시키는 단계; 및 제4 웨이퍼를 제4 프로세스 조건에 노출시키는 단계를 더 포함한다.In other embodiments, the method includes exposing a fourth wafer on a fourth substrate support surface 231 to fourth process conditions; rotating the substrate support assembly (200) in a first direction to move the fourth wafer to an initial position on the first substrate support surface (231); exposing a fourth wafer to first process conditions; rotating the substrate support assembly (200) in a first direction to move the fourth wafer to an initial position on the second substrate support surface (231); exposing the fourth wafer to second process conditions; rotating the substrate support assembly (200) in a first direction to move the fourth wafer to an initial position on the third substrate support surface (231); exposing the fourth wafer to third process conditions; rotating the substrate support assembly (200) in a second direction to move the fourth wafer to an initial position on the second substrate support surface (231); exposing the fourth wafer to second process conditions; rotating the substrate support assembly (200) in a second direction to move the fourth wafer to an initial position on the first substrate support surface (231); exposing a fourth wafer to first process conditions; rotating the substrate support assembly (200) in a second direction to move the fourth wafer to an initial position on the fourth substrate support surface (231); and exposing the fourth wafer to fourth process conditions.

도 21은 본 개시내용의 하나 이상의 실시예에 따른, 막을 증착하는 방법(600)의 흐름도를 도시한다. 도 22는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버 구성을 예시한다. 도 21 및 도 22를 참조하면, 방법(600)은 동작(620)에서 시작되며, 여기서, 적어도 하나의 웨이퍼가 x개의 기판 지지 표면 상에 적재된다. 하나 이상의 실시예에서, x는 2 내지 10 범위의 정수이다. 하나 이상의 실시예에서, x는 기판 지지 표면들의 수를 지칭한다. 다른 실시예들에서, x는 기판 표면들의 수 또는 처리 스테이션들(110)의 수 중 하나 이상을 지칭한다. 일부 실시예들에서, 기판 지지 표면들의 수, 및 웨이퍼들 및/또는 처리 스테이션들의 수는 같고 x와 동일하다. 하나 이상의 실시예에서, x는 2 내지 6 범위의 정수이다. 하나 이상의 실시예에서, x는 2, 3, 4, 5, 6, 7, 8, 9, 또는 10으로부터 선택된다. 다른 실시예들에서, x는 2, 3, 4, 5, 또는 6으로부터 선택된다. 하나 이상의 실시예에서, x는 4이다.FIG. 21 shows a flow diagram of a method 600 of depositing a film, according to one or more embodiments of the present disclosure. Figure 22 illustrates a processing chamber configuration according to one or more embodiments of the present disclosure. 21 and 22, method 600 begins at operation 620, where at least one wafer is loaded onto x substrate support surfaces. In one or more embodiments, x is an integer ranging from 2 to 10. In one or more embodiments, x refers to the number of substrate support surfaces. In other embodiments, x refers to one or more of the number of substrate surfaces or the number of processing stations 110. In some embodiments, the number of substrate support surfaces, and the number of wafers and/or processing stations are equal and equal to x. In one or more embodiments, x is an integer ranging from 2 to 6. In one or more embodiments, x is selected from 2, 3, 4, 5, 6, 7, 8, 9, or 10. In other embodiments, x is selected from 2, 3, 4, 5, or 6. In one or more embodiments, x is 4.

동작(630)에서, 기판 지지 조립체는, 각각의 기판 지지 표면들이 인접한 처리 스테이션(110)에 대해 (360/x) 도 회전하도록 제1 방향으로 (rx-1) 회 회전되며, r은 1 이상의 정수이다. 수 r은 프로세스 사이클(즉, ALD 사이클) 수를 표현한다. 본원에서 사용되는 바와 같이, "(rx-1)" 또는 "(rx'-1)"이라는 용어는 기판 지지 조립체의 횟수(즉, 회전 수)를 지칭한다.At operation 630, the substrate support assembly is rotated (rx-1) times in the first direction such that each substrate support surface rotates (360/x) degrees relative to the adjacent processing station 110, where r is one or more It is an integer. The number r represents the number of process cycles (i.e. ALD cycles). As used herein, the term "(rx-1)" or "(rx'-1)" refers to the number (i.e., number of rotations) of the substrate support assembly.

일부 실시예들에서, 프로세스 챔버 주위로의 완전한 회전 동안 하나 초과의 프로세스 사이클(r)이 존재한다. 예컨대, 도 22는 방법(600)에 따른 프로세스를 예시하며, 여기서, 2개(x' = 2)의 상이한 유형의 프로세스 조건들(A 및 B)을 갖는 4개(x = 4)의 프로세스 스테이션(110)이 존재한다. 이러한 실시예에서, 기판 지지 조립체는 두 프로세스 조건들 모두에 대한 교번하는 노출들을 제공하기 위해 각각의 방향으로 홀수 횟수로 회전될 수 있다. 일부 실시예들에서, 각각의 방향으로의 회전 수는 (rx'-1) 회와 동일하다. 도 7에 예시된 실시예에서, r = 2이고 x' = 2이며, 이에 따라, 제1 방향으로의 3 번의 회전(117a, 117b, 117c)이 존재한다.In some embodiments, there is more than one process cycle (r) during a complete rotation around the process chamber. For example, Figure 22 illustrates a process according to method 600, wherein four (x = 4) process stations with two (x' = 2) different types of process conditions (A and B) (110) exists. In this embodiment, the substrate support assembly can be rotated an odd number of times in each direction to provide alternating exposures to both process conditions. In some embodiments, the number of rotations in each direction is equal to (rx'-1) times. In the embodiment illustrated in Figure 7, r = 2 and x' = 2, so there are three rotations 117a, 117b, 117c in the first direction.

동작(640)에서는, 각각의 처리 스테이션에서, 막을 형성하기 위해 적어도 하나의 웨이퍼의 최상부 표면이 프로세스 조건에 노출된다. 하나 이상의 실시예에서, 프로세스 조건은, 온도, 압력, 반응성 가스 등 중 하나 이상을 포함한다. 하나 이상의 실시예에서, 형성되는 막은 실질적으로 균일한 두께를 갖는다. 본원에서 사용되는 바와 같이, "실질적으로 균일"이라는 용어는, 형성된 막들의 ±5 nm, ±4 nm, ±3 nm, ±2 nm 또는 ±1 nm 내에 있는 막 두께들을 지칭한다.In operation 640, at each processing station, the top surface of at least one wafer is exposed to process conditions to form a film. In one or more embodiments, process conditions include one or more of temperature, pressure, reactive gases, etc. In one or more embodiments, the formed film has a substantially uniform thickness. As used herein, the term “substantially uniform” refers to film thicknesses that are within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed films.

동작(650)에서, 기판 지지 조립체는, 각각의 기판 지지 표면들이 인접한 처리 스테이션(110)에 대해 (360/x) 도 회전하도록 제2 방향으로 (rx-1) 회 또는 (rx'-1) 회 회전된다. 도 22에 도시된 바와 같이, 제2 방향으로의 3 번의 회전(118a, 118b, 118c)이 존재한다.At operation 650, the substrate support assembly rotates (rx-1) times or (rx'-1) times in the second direction such that each substrate support surface rotates (360/x) degrees relative to the adjacent processing station 110. It rotates several times. As shown in FIG. 22, there are three rotations 118a, 118b, and 118c in the second direction.

결정 지점(660)에서, 막의 미리 결정된 두께가 기판 상에 형성된 경우, 방법은 중단된다. 결정 지점(660)에서, 막의 미리 결정된 두께가 기판 상에서 획득되지 않은 경우, 프로세스 사이클(625)은 미리 결정된 두께가 획득될 때까지 반복된다.At decision point 660, when a predetermined thickness of film has been formed on the substrate, the method is stopped. If at decision point 660 the predetermined thickness of the film has not been obtained on the substrate, the process cycle 625 is repeated until the predetermined thickness is obtained.

도 23은 본 개시내용의 하나 이상의 실시예에 따른, 막을 증착하는 방법(700)의 흐름도를 도시한다. 도 24는 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버 구성을 예시한다. 도 23 및 도 24를 참조하면, 방법(700)은 동작(720)에서 시작되며, 여기서, 적어도 하나의 웨이퍼가 x개의 기판 지지 표면 상에 적재된다. 하나 이상의 실시예에서, x는 2 내지 10 범위의 정수이다. 하나 이상의 실시예에서, x는 기판 지지 표면들의 수를 지칭한다. 다른 실시예들에서, x는 기판 지지 표면들의 수 또는 처리 스테이션들(110)의 수 중 하나 이상을 지칭한다. 일부 실시예들에서, 기판 지지 표면들의 수, 및 웨이퍼들 및/또는 처리 스테이션들(110)의 수는 같고 x와 동일하다. 하나 이상의 실시예에서, x는 2 내지 6 범위의 정수이다. 하나 이상의 실시예에서, x는 2, 3, 4, 5, 6, 7, 8, 9, 또는 10으로부터 선택된다. 다른 실시예들에서, x는 2, 3, 4, 5, 또는 6으로부터 선택된다. 하나 이상의 실시예에서, x는 4이다.FIG. 23 shows a flow diagram of a method 700 of depositing a film, according to one or more embodiments of the present disclosure. Figure 24 illustrates a processing chamber configuration according to one or more embodiments of the present disclosure. 23 and 24, method 700 begins at operation 720, where at least one wafer is loaded onto x substrate support surfaces. In one or more embodiments, x is an integer ranging from 2 to 10. In one or more embodiments, x refers to the number of substrate support surfaces. In other embodiments, x refers to one or more of the number of substrate support surfaces or the number of processing stations 110. In some embodiments, the number of substrate support surfaces, and the number of wafers and/or processing stations 110 are equal and equal to x. In one or more embodiments, x is an integer ranging from 2 to 6. In one or more embodiments, x is selected from 2, 3, 4, 5, 6, 7, 8, 9, or 10. In other embodiments, x is selected from 2, 3, 4, 5, or 6. In one or more embodiments, x is 4.

동작(730)에서, 기판 지지 조립체는, 각각의 기판 지지 표면들이 각각의 인접한 처리 스테이션(110)에 대해 회전하도록 제1 방향으로 rx 회 회전되며, r은 1 이상의 정수이다. 본원에서 사용되는 바와 같이, "(rx)"라는 용어는 기판 지지 조립체의 횟수(즉, 회전 수)를 지칭한다. 예컨대, 도 23 및 도 24에 예시된 실시예에서, 4개의 처리 스테이션(즉, x = 4)이 존재할 때, 기판 지지부는 제1 방향으로 적어도 4 회 그리고 제2 방향으로 적어도 4 회 회전한다.At operation 730, the substrate support assembly is rotated rx times in the first direction such that each substrate support surface rotates relative to each adjacent processing station 110, where r is an integer greater than or equal to 1. As used herein, the term “(rx)” refers to the number (i.e., number of rotations) of the substrate support assembly. For example, in the embodiment illustrated in FIGS. 23 and 24 , when there are four processing stations (i.e., x = 4), the substrate support rotates at least four times in the first direction and at least four times in the second direction.

일부 실시예들에서, 프로세스 챔버 주위로의 완전한 회전에서 하나 초과의 프로세스 사이클이 존재한다. 예컨대, 도 24는 방법(700)에 따른 프로세스를 예시하며, 여기서, 2개(x' = 2)의 상이한 유형의 프로세스 조건들(A 및 B)을 갖는 4개(x = 4)의 프로세스 스테이션(110)이 존재한다. 이러한 실시예에서, 기판 지지 조립체는 두 프로세스 조건들 모두에 대한 교번하는 노출들을 제공하기 위해 각각의 방향으로 회전될 수 있다. 일부 실시예들에서, 각각의 방향으로의 회전 수는 rx 회와 동일하다. 도 24에 예시된 실시예에서, 제1 방향으로의 4 번의 회전(117a, 117b, 117c, 117d)은 2 번의 완전한 ALD 사이클을 초래하며, 기판들은 초기 처리 스테이션(110)으로 복귀된다.In some embodiments, there is more than one process cycle in a complete rotation around the process chamber. For example, Figure 24 illustrates a process according to method 700, wherein four (x = 4) process stations with two (x' = 2) different types of process conditions (A and B) (110) exists. In this embodiment, the substrate support assembly can be rotated in each direction to provide alternating exposures to both process conditions. In some embodiments, the number of rotations in each direction is equal to rx times. In the embodiment illustrated in FIG. 24 , four rotations 117a, 117b, 117c, 117d in the first direction result in two complete ALD cycles, and the substrates are returned to the initial processing station 110.

동작(740)에서는, 각각의 처리 스테이션에서, 막을 형성하기 위해 적어도 하나의 웨이퍼의 최상부 표면이 프로세스 조건에 노출된다. 하나 이상의 실시예에서, 프로세스 조건은, 온도, 압력, 반응성 가스 등 중 하나 이상을 포함한다. 하나 이상의 실시예에서, 형성되는 막은 실질적으로 균일한 두께를 갖는다. 본원에서 사용되는 바와 같이, "실질적으로 균일"이라는 용어는, 형성된 막들의 ±5 nm, ±4 nm, ±3 nm, ±2 nm 또는 ±1 nm 내에 있는 막 두께들을 지칭한다.In operation 740, at each processing station, the top surface of at least one wafer is exposed to process conditions to form a film. In one or more embodiments, process conditions include one or more of temperature, pressure, reactive gases, etc. In one or more embodiments, the formed film has a substantially uniform thickness. As used herein, the term “substantially uniform” refers to film thicknesses that are within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed films.

동작(750)에서, 기판 지지 조립체는, 각각의 기판 지지 표면들이 인접한 처리 스테이션(110)에 대해 (360/x) 도 회전하도록 제2 방향으로 (rx) 회 회전된다. 도 24에 도시된 바와 같이, 제2 방향으로의 4 번의 회전(118a, 118b, 118c, 118d)이 존재한다.At operation 750, the substrate support assembly is rotated (rx) times in the second direction such that each substrate support surface rotates (360/x) degrees relative to the adjacent processing station 110. As shown in FIG. 24, there are four rotations 118a, 118b, 118c, and 118d in the second direction.

결정 지점(760)에서, 막의 미리 결정된 두께가 기판 상에 형성된 경우, 방법은 중단된다. 결정 지점(760)에서, 막의 미리 결정된 두께가 기판 상에서 획득되지 않은 경우, 사이클(725)은 미리 결정된 두께가 획득될 때까지 반복된다.At decision point 760, when a predetermined thickness of film has been formed on the substrate, the method is stopped. If at decision point 760 the predetermined thickness of the film has not been obtained on the substrate, cycle 725 is repeated until the predetermined thickness is obtained.

도 25는 본 개시내용의 하나 이상의 실시예에 따른, 막을 증착하는 방법(800)의 흐름도를 도시한다. 도 26은 본 개시내용의 하나 이상의 실시예에 따른 처리 챔버 구성을 예시한다. 도 25 및 도 26을 참조하면, 방법(800)은 동작(820)에서 시작되며, 여기서, 적어도 하나의 웨이퍼가 x개의 기판 지지 표면 상에 적재된다. 하나 이상의 실시예에서, x는 2 내지 10 범위의 정수이다. 하나 이상의 실시예에서, x는 기판 지지 표면들의 수를 지칭한다. 다른 실시예들에서, x는 기판 표면들의 수 또는 처리 스테이션들(110)의 수 중 하나 이상을 지칭한다. 일부 실시예들에서, 기판 지지 표면들의 수, 및 웨이퍼들 및/또는 처리 스테이션들의 수는 같고 x와 동일하다. 하나 이상의 실시예에서, x는 2 내지 6 범위의 정수이다. 하나 이상의 실시예에서, x는 2, 3, 4, 5, 6, 7, 8, 9, 또는 10으로부터 선택된다. 다른 실시예들에서, x는 2, 3, 4, 5, 또는 6으로부터 선택된다. 하나 이상의 실시예에서, x는 4이다.Figure 25 shows a flow diagram of a method 800 of depositing a film, according to one or more embodiments of the present disclosure. Figure 26 illustrates a processing chamber configuration according to one or more embodiments of the present disclosure. 25 and 26, method 800 begins at operation 820, where at least one wafer is loaded onto x substrate support surfaces. In one or more embodiments, x is an integer ranging from 2 to 10. In one or more embodiments, x refers to the number of substrate support surfaces. In other embodiments, x refers to one or more of the number of substrate surfaces or the number of processing stations 110. In some embodiments, the number of substrate support surfaces, and the number of wafers and/or processing stations are equal and equal to x. In one or more embodiments, x is an integer ranging from 2 to 6. In one or more embodiments, x is selected from 2, 3, 4, 5, 6, 7, 8, 9, or 10. In other embodiments, x is selected from 2, 3, 4, 5, or 6. In one or more embodiments, x is 4.

동작(830)에서, 기판 지지 조립체는, 각각의 기판 지지 표면이 각각의 인접한 처리 스테이션(120)에 대해 회전하도록, 제1 방향으로 (360/x) 도 회전되고 그에 후속하여 제2 방향으로 (360/x) 도 회전된다. 제1 방향 및 제2 방향으로의 회전들은 n 회 반복될 수 있으며, n은 1 이상의 정수이다. 수 n은 프로세스 사이클(즉, ALD 사이클) 수를 표현한다. 달리 언급하면, 각각의 프로세스는 제1 방향으로의 회전과 그에 후속하는 처리 및 제2 방향으로의 회전이 한 프로세스 사이클이며, 이에 따라, 기판은, 제1 스테이션 및 제2 스테이션에서 제1 반응성 가스 및 제2 반응성 가스 각각에 각각 노출된다.At operation 830, the substrate support assembly is rotated (360/x) degrees in a first direction and subsequently in a second direction ( 360/x) is also rotated. Rotations in the first direction and the second direction may be repeated n times, where n is an integer of 1 or more. The number n represents the number of process cycles (i.e. ALD cycles). Stated differently, each process includes rotation in the first direction followed by processing and rotation in the second direction in one process cycle, whereby the substrate is subjected to the first reactive gas at the first and second stations. and a second reactive gas, respectively.

도 26은 방법(800)에 따른 프로세스를 예시하며, 여기서, 4개(x' = 4)의 상이한 유형의 프로세스 조건들(A, B, C, 및 D)을 갖는 4개(x = 4)의 프로세스 스테이션(120)이 존재한다. 이러한 실시예에서, 기판 지지 조립체(100)는, 프로세스 스테이션(120a) 상에 배치된 기판이 프로세스 스테이션(120b)으로 회전(117a)하도록 제1 방향(117)으로 회전되고, 이어서, 기판 지지 조립체(100)는, 기판(이제 프로세스 스테이션(120b) 상에 위치되어 있음)이 다시 프로세스 스테이션(120a)으로 회전(118a)하도록 제2 방향(118)으로 회전된다. 이러한 회전은 n 회 반복될 수 있으며, n은 1 이상의 정수이다. 수 n은 프로세스 사이클(즉, ALD 사이클) 수를 표현한다.26 illustrates a process according to method 800, wherein four (x' = 4) different types of process conditions (A, B, C, and D) are used. There is a process station 120 of In this embodiment, the substrate support assembly 100 is rotated in a first direction 117 such that a substrate disposed on the process station 120a rotates 117a into the process station 120b, and then the substrate support assembly 100 100 is rotated in a second direction 118 such that the substrate (now positioned on process station 120b) rotates 118a back to process station 120a. This rotation can be repeated n times, where n is an integer greater than or equal to 1. The number n represents the number of process cycles (i.e. ALD cycles).

동작(840)에서는, 각각의 처리 스테이션에서, 막을 형성하기 위해 적어도 하나의 웨이퍼의 최상부 표면이 프로세스 조건에 노출된다. 하나 이상의 실시예에서, 프로세스 조건은, 온도, 압력, 반응성 가스 등 중 하나 이상을 포함한다. 하나 이상의 실시예에서, 형성되는 막은 실질적으로 균일한 두께를 갖는다. 본원에서 사용되는 바와 같이, "실질적으로 균일"이라는 용어는, 형성된 막들의 ±5 nm, ±4 nm, ±3 nm, ±2 nm 또는 ±1 nm 내에 있는 막 두께들을 지칭한다.In operation 840, at each processing station, the top surface of at least one wafer is exposed to process conditions to form a film. In one or more embodiments, process conditions include one or more of temperature, pressure, reactive gases, etc. In one or more embodiments, the formed film has a substantially uniform thickness. As used herein, the term “substantially uniform” refers to film thicknesses that are within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed films.

동작(850)에서, 기판 지지 조립체는 이어서 제1 방향(117)으로 (360/x) 도 회전되고 그에 후속하여 제1 방향(117)으로 또 다른 (360/x) 도가 회전된다. 도 26을 참조하면, 프로세스 스테이션(120a) 상에 있는 기판은 프로세스 스테이션(120b)으로 회전(117a)하고 이어서 프로세스 스테이션(120c)으로 회전(117b)한다. 일부 실시예들의 동작(850)에서, 기판 지지부는, 기판들을 제2 세트의 처리 스테이션들로 이동시키기에 충분한 횟수로 회전된다. 예컨대, 기판 지지부는, 처음에 A 스테이션에 있는 기판을 C 스테이션으로 이동시키도록 두 번 회전된다.In operation 850, the substrate support assembly is then rotated (360/x) degrees in the first direction 117 followed by another rotation (360/x) degrees in the first direction 117. Referring to FIG. 26, the substrate on the process station 120a rotates 117a to the process station 120b and then rotates 117b to the process station 120c. In operation 850 of some embodiments, the substrate support is rotated a sufficient number of times to move the substrates to the second set of processing stations. For example, the substrate support is rotated twice to move the substrate initially at station A to station C.

(예시되지 않은) 일부 실시예들에서, 기판 지지부가 스테이션 A로부터 스테이션 B로 회전될 때, 적어도 하나의 웨이퍼의 최상부 표면은 막을 형성하기 위한 프로세스 조건에 노출된다. 하나 이상의 실시예에서, 프로세스 조건은, 온도, 압력, 반응성 가스 등 중 하나 이상을 포함한다. 하나 이상의 실시예에서, 형성되는 막은 실질적으로 균일한 두께를 갖는다. 본원에서 사용되는 바와 같이, "실질적으로 균일"이라는 용어는, 형성된 막들의 ±5 nm, ±4 nm, ±3 nm, ±2 nm 또는 ±1 nm 내에 있는 막 두께들을 지칭한다.In some embodiments (not illustrated), when the substrate support is rotated from station A to station B, the top surface of at least one wafer is exposed to process conditions for forming a film. In one or more embodiments, process conditions include one or more of temperature, pressure, reactive gases, etc. In one or more embodiments, the formed film has a substantially uniform thickness. As used herein, the term “substantially uniform” refers to film thicknesses that are within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed films.

(예시되지 않은) 일부 실시예들에서, 기판 지지부가 이어서 스테이션 B로부터 스테이션 C로 회전될 때, 적어도 하나의 웨이퍼의 최상부 표면은 막을 형성하기 위한 프로세스 조건에 노출된다. 하나 이상의 실시예에서, 프로세스 조건은, 온도, 압력, 반응성 가스 등 중 하나 이상을 포함한다. 하나 이상의 실시예에서, 형성되는 막은 실질적으로 균일한 두께를 갖는다. 본원에서 사용되는 바와 같이, "실질적으로 균일"이라는 용어는, 형성된 막들의 ±5 nm, ±4 nm, ±3 nm, ±2 nm 또는 ±1 nm 내에 있는 막 두께들을 지칭한다.In some embodiments (not illustrated), when the substrate support is then rotated from station B to station C, the top surface of at least one wafer is exposed to process conditions for forming a film. In one or more embodiments, process conditions include one or more of temperature, pressure, reactive gases, etc. In one or more embodiments, the formed film has a substantially uniform thickness. As used herein, the term “substantially uniform” refers to film thicknesses that are within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed films.

동작(860)에서, 기판 지지 조립체(100)는, 각각의 기판 지지 표면들이 각각의 인접한 처리 스테이션(120)에 대해 회전하도록, 제1 방향(117)으로 (360/x) 도 회전되고 그에 후속하여 제2 방향(118)으로 (360/x) 도 회전된다. 이러한 회전은 m 회 반복될 수 있으며, m은 1 이상의 정수이다. 수 m은 프로세스 사이클(즉, ALD 사이클) 수를 표현한다.At operation 860, the substrate support assembly 100 is rotated (360/x) degrees in the first direction 117 such that each substrate support surface rotates with respect to each adjacent processing station 120 and then rotates the subsequent substrate support surfaces. Thus, (360/x) is rotated in the second direction 118. This rotation can be repeated m times, where m is an integer greater than or equal to 1. The number m represents the number of process cycles (i.e. ALD cycles).

도 26을 참조하면, 기판 지지 조립체(100)는, 이제 프로세스 스테이션(120c) 상에 배치되어 있는 기판이 프로세스 스테이션(120d)으로 회전(117c)하도록 제1 방향(117)으로 회전되고, 이어서, 기판 지지 조립체(100)는, 기판(이제 프로세스 스테이션(120d) 상에 위치되어 있음)이 다시 프로세스 스테이션(120c)으로 회전(118b)하도록 제2 방향(118)으로 회전된다. 이러한 회전은 m 회 반복될 수 있으며, m은 1 이상의 정수이다. 수 m은 프로세스 사이클(즉, ALD 사이클) 수를 표현한다.26, the substrate support assembly 100 is rotated in a first direction 117 such that the substrate now disposed on process station 120c is rotated 117c to process station 120d, and then: The substrate support assembly 100 is rotated in the second direction 118 such that the substrate (now positioned on process station 120d) rotates 118b back to process station 120c. This rotation can be repeated m times, where m is an integer greater than or equal to 1. The number m represents the number of process cycles (i.e. ALD cycles).

동작(870)에서는, 각각의 처리 스테이션에서, 막을 형성하기 위해 적어도 하나의 웨이퍼의 최상부 표면이 프로세스 조건에 노출된다. 하나 이상의 실시예에서, 프로세스 조건은, 온도, 압력, 반응성 가스 등 중 하나 이상을 포함한다. 하나 이상의 실시예에서, 형성되는 막은 실질적으로 균일한 두께를 갖는다. 본원에서 사용되는 바와 같이, "실질적으로 균일"이라는 용어는, 형성된 막들의 ±5 nm, ±4 nm, ±3 nm, ±2 nm 또는 ±1 nm 내에 있는 막 두께들을 지칭한다.In operation 870, at each processing station, the top surface of at least one wafer is exposed to process conditions to form a film. In one or more embodiments, process conditions include one or more of temperature, pressure, reactive gases, etc. In one or more embodiments, the formed film has a substantially uniform thickness. As used herein, the term “substantially uniform” refers to film thicknesses that are within ±5 nm, ±4 nm, ±3 nm, ±2 nm, or ±1 nm of the formed films.

동작(880)에서, 기판 지지 조립체는 이어서 제2 방향(118)으로 (360/x) 도 회전된다. 도 26을 참조하면, 프로세스 스테이션(120c) 상에 있는 기판은 프로세스 스테이션(120b)으로 회전(118c)한다.In operation 880, the substrate support assembly is then rotated (360/x) degrees in the second direction 118. Referring to FIG. 26, the substrate on process station 120c rotates 118c to process station 120b.

결정 지점(890)에서, 막의 미리 결정된 두께가 기판 상에 형성된 경우, 방법은 중단된다. 결정 지점(890)에서, 막의 미리 결정된 두께가 기판 상에서 획득되지 않은 경우, 사이클(825)은 미리 결정된 두께가 획득될 때까지 반복된다.At decision point 890, when a predetermined thickness of film has been formed on the substrate, the method is stopped. If at decision point 890 the predetermined thickness of the film has not been obtained on the substrate, cycle 825 is repeated until the predetermined thickness is obtained.

하나 이상의 실시예에서, 적어도 하나의 웨이퍼는 막이 형성될 때 정지상태이다.In one or more embodiments, at least one wafer is at rest when the film is formed.

방법의 하나 이상의 실시예에서, 기판 지지 표면은 가열기들을 포함한다. 하나 이상의 실시예에서, 기판 지지 표면들 또는 가열기들은 정전 척들을 포함한다.In one or more embodiments of the method, the substrate support surface includes heaters. In one or more embodiments, the substrate support surfaces or heaters include electrostatic chucks.

본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명되는 특정 특징, 구조, 물질, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "하나 이상의 실시예에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들이 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 특징들, 구조들, 물질들, 또는 특성들은 하나 이상의 실시예에서 임의의 적합한 방식으로 조합될 수 있다.Throughout this specification, reference to “one embodiment,” “specific embodiments,” “one or more embodiments,” or “an embodiment” refers to the specific feature, structure, material, or characteristic described in connection with the embodiment. This means that it is included in at least one embodiment of the present disclosure. Accordingly, the appearances of phrases such as “in one or more embodiments,” “in certain embodiments,” “in one embodiment,” or “in an embodiment” in various places throughout this specification necessarily refer to the present disclosure. It does not refer to the same embodiment. Additionally, specific features, structures, materials, or properties may be combined in any suitable way in one or more embodiments.

본원에서의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 개시내용의 원리들 및 응용들을 예시하는 것임이 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있다는 것이 관련 기술분야의 통상의 기술자들에게 명백할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.Although the disclosure herein has been described with reference to specific embodiments, it should be understood that such embodiments merely illustrate the principles and applications of the disclosure. It will be apparent to those skilled in the art that various modifications and variations may be made to the method and apparatus of the present disclosure without departing from the spirit and scope of the disclosure. Accordingly, this disclosure is intended to cover modifications and variations that come within the scope of the appended claims and their equivalents.

Claims (15)

방법으로서,
x개의 공간적으로 분리된 격리된 처리 스테이션을 포함하는 처리 챔버를 제공하는 단계 - 상기 처리 챔버는 처리 챔버 온도를 갖고, 각각의 처리 스테이션은 독립적으로 처리 스테이션 온도를 갖고, 상기 처리 챔버 온도는 처리 스테이션 온도들과 상이함 -;
상기 x개의 공간적으로 분리된 격리된 처리 스테이션과 정렬된 복수의 기판 지지 표면들 및 지지 판을 갖는 기판 지지 조립체를, 각각의 기판 지지 표면이 인접한 기판 지지 표면에 대해 제1 방향으로 (360/x) 도 회전하도록 rx 회 회전시키는 단계 - r은 1 이상의 정수이고, 상기 복수의 기판 지지 표면들 각각은 가열기 및 상기 가열기에 아래 위치에서 연결되는 밀봉 플랫폼을 포함하고, 상기 밀봉 플랫폼의 최상부 표면이 상기 가열기의 최상부 표면 아래에 있도록 상기 가열기를 둘러싸고, 상기 밀봉 플랫폼은 상기 기판 지지 조립체 아래의 영역으로의 가스 유동을 최소화하기 위해 밀봉 또는 장벽을 제공하도록 구성되고, 상기 밀봉 플랫폼은 상기 지지 판이 상기 가열기와 함께 회전하고 수직으로 이동하도록 상기 지지 판에 대한 홀더로서 작동하게 구성됨 -; 및
상기 기판 지지 조립체를, 각각의 기판 지지 표면이 인접한 기판 지지 표면에 대해 제2 방향으로 (360/x) 도 회전하도록 rx 회 회전시키는 단계를 포함하는, 방법.
As a method,
Providing a processing chamber comprising x spatially separated and isolated processing stations, wherein the processing chambers have a processing chamber temperature, each processing station independently having a processing station temperature, the processing chamber temperature being Different from temperatures -;
A substrate support assembly having a plurality of substrate support surfaces and a support plate aligned with the x spatially separated isolated processing stations, each substrate support surface being oriented in a first direction relative to an adjacent substrate support surface (360/x ) rotating rx times to rotate degrees, where r is an integer greater than or equal to 1, each of the plurality of substrate support surfaces includes a heater and a sealing platform connected to the heater in a downward position, and the uppermost surface of the sealing platform is Enclosing the heater so as to be below the top surface of the heater, the sealing platform is configured to provide a seal or barrier to minimize gas flow to the area beneath the substrate support assembly, the sealing platform being configured to cause the support plate to be connected to the heater. configured to operate as a holder for said support plate to rotate and move vertically together; and
and rotating the substrate support assembly rx times such that each substrate support surface rotates (360/x) degrees in a second direction relative to an adjacent substrate support surface.
제1항에 있어서,
x는 2 내지 10 범위의 정수인, 방법.
According to paragraph 1,
and x is an integer ranging from 2 to 10.
제1항에 있어서,
r은 1 내지 10 범위인, 방법.
According to paragraph 1,
r ranges from 1 to 10.
제1항에 있어서,
상기 복수의 기판 지지 표면들은 실질적으로 동일 평면 상에 있는, 방법.
According to paragraph 1,
The method of claim 1, wherein the plurality of substrate support surfaces are substantially coplanar.
삭제delete 제1항에 있어서,
상기 처리 챔버 온도 또는 상기 처리 스테이션 온도들 중 하나 이상을 제어하는 단계를 더 포함하는, 방법.
According to paragraph 1,
The method further comprising controlling one or more of the processing chamber temperature or the processing station temperatures.
제1항에 있어서,
복수의 기판 지지 조립체의 회전 속도를 제어하는 단계를 더 포함하는, 방법.
According to paragraph 1,
The method further comprising controlling the rotational speed of the plurality of substrate support assemblies.
방법으로서,
x개의 공간적으로 분리된 격리된 처리 스테이션을 포함하는 처리 챔버를 제공하는 단계 - 상기 처리 챔버는 처리 챔버 온도를 갖고, 각각의 처리 스테이션은 독립적으로 처리 스테이션 온도를 갖고, 상기 처리 챔버 온도는 처리 스테이션 온도들과 상이함 -;
상기 x개의 공간적으로 분리된 격리된 처리 스테이션과 정렬된 복수의 기판 지지 표면들 및 지지 판을 갖는 기판 지지 조립체를 인접한 기판 지지 표면에 대해 제1 방향으로 (360/x) 도 회전시키는 단계 - 상기 복수의 기판 지지 표면들 각각은 가열기 및 상기 가열기에 아래 위치에서 연결되는 밀봉 플랫폼을 포함하고, 상기 밀봉 플랫폼의 최상부 표면이 상기 가열기의 최상부 표면 아래에 있도록 상기 가열기를 둘러싸고, 상기 밀봉 플랫폼은 상기 기판 지지 조립체 아래의 영역으로의 가스 유동을 최소화하기 위해 밀봉 또는 장벽을 제공하도록 구성되고, 상기 밀봉 플랫폼은 상기 지지 판이 상기 가열기와 함께 회전하고 수직으로 이동하도록 상기 지지 판에 대한 홀더로서 작동하게 구성됨 -;
상기 기판 지지 조립체를 인접한 기판 지지 표면에 대해 제2 방향으로 (360/x) 도 회전시키는 단계 - 상기 제1 방향으로의 회전들 및 상기 제2 방향으로의 회전들은 n 회 반복되고, n은 1 이상의 정수임 -;
상기 기판 지지 조립체를 상기 제1 방향으로 2 회 (360/x) 도 회전시키는 단계;
상기 기판 지지 조립체를 상기 제1 방향으로 (360/x) 도 회전시키고 이어서 상기 기판 지지 조립체를 상기 제2 방향으로 (360/x) 도 회전시키는 단계 - 상기 제1 방향 및 상기 제2 방향으로의 회전들은 m 회 반복되고, 상기 m은 1 이상의 정수임 -; 및
상기 기판 지지 조립체를 상기 제2 방향으로 (360/x) 도 회전시키는 단계를 포함하는, 방법.
As a method,
Providing a processing chamber comprising x spatially separated and isolated processing stations, wherein the processing chambers have a processing chamber temperature, each processing station independently having a processing station temperature, the processing chamber temperature being Different from temperatures -;
rotating a substrate support assembly having a plurality of substrate support surfaces and a support plate aligned with the x spatially separated isolated processing stations in a first direction (360/x) relative to adjacent substrate support surfaces, Each of the plurality of substrate support surfaces includes a heater and a sealing platform connected at a downward position to the heater, surrounding the heater such that a top surface of the sealing platform is below a top surface of the heater, and the sealing platform is configured to support the substrate. configured to provide a seal or barrier to minimize gas flow into the area beneath the support assembly, wherein the sealing platform is configured to operate as a holder for the support plate such that the support plate rotates and moves vertically with the heater - ;
rotating the substrate support assembly (360/x) degrees in a second direction relative to an adjacent substrate support surface, wherein the rotations in the first direction and the rotations in the second direction are repeated n times, where n is 1. It is an integer greater than or equal to -;
rotating the substrate support assembly two times (360/x) degrees in the first direction;
rotating the substrate support assembly (360/x) degrees in the first direction and then rotating the substrate support assembly (360/x) degrees in the second direction, The rotations are repeated m times, where m is an integer greater than or equal to 1; and
and rotating the substrate support assembly through (360/x) degrees in the second direction.
제8항에 있어서,
x는 2 내지 10 범위의 정수인, 방법.
According to clause 8,
and x is an integer ranging from 2 to 10.
제8항에 있어서,
상기 복수의 기판 지지 표면들은 실질적으로 동일 평면 상에 있는, 방법.
According to clause 8,
The method of claim 1, wherein the plurality of substrate support surfaces are substantially coplanar.
제8항에 있어서,
상기 처리 챔버 온도 또는 상기 처리 스테이션 온도들 중 하나 이상을 제어하는 단계를 더 포함하는, 방법.
According to clause 8,
The method further comprising controlling one or more of the processing chamber temperature or the processing station temperatures.
제8항에 있어서,
복수의 기판 지지 조립체의 회전 속도를 제어하는 단계를 더 포함하는, 방법.
According to clause 8,
The method further comprising controlling the rotational speed of the plurality of substrate support assemblies.
막을 형성하는 방법으로서,
지지 판을 갖는 기판 지지 조립체의 x개의 기판 지지 표면 상에 적어도 하나의 웨이퍼를 적재하는 단계 - 상기 기판 지지 표면들 각각은 x개의 공간적으로 분리된 격리된 처리 스테이션과 정렬되고, 상기 기판 지지 표면들 각각은 가열기 및 상기 가열기에 아래 위치에서 연결되는 밀봉 플랫폼을 포함하고, 상기 밀봉 플랫폼의 최상부 표면이 상기 가열기의 최상부 표면 아래에 있도록 상기 가열기를 둘러싸고, 상기 밀봉 플랫폼은 상기 기판 지지 조립체 아래의 영역으로의 가스 유동을 최소화하기 위해 밀봉 또는 장벽을 제공하도록 구성되고, 상기 밀봉 플랫폼은 상기 지지 판이 상기 가열기와 함께 회전하고 수직으로 이동하도록 상기 지지 판에 대한 홀더로서 작동하게 구성됨 -;
상기 기판 지지 조립체를, 각각의 기판 지지 표면이 인접한 기판 지지 표면에 대해 제1 방향으로 (360/x) 도 회전하도록 rx 회 회전시키는 단계 - r은 1 이상의 정수임 -;
상기 기판 지지 조립체를, 각각의 기판 지지 표면이 인접한 기판 지지 표면에 대해 제2 방향으로 (360/x) 도 회전하도록 rx 회 회전시키는 단계; 및
각각의 처리 스테이션에서, 실질적으로 균일한 두께를 갖는 막을 형성하기 위해 상기 적어도 하나의 웨이퍼의 최상부 표면을 프로세스 조건에 노출시키는 단계를 포함하고,
상기 x개의 기판 지지 표면 상의 상기 적어도 하나의 웨이퍼의 모든 부분들은 상기 x개의 공간적으로 분리된 격리된 처리 스테이션과 동시에 정렬되는, 막을 형성하는 방법.
As a method of forming a membrane,
Loading at least one wafer on x substrate support surfaces of a substrate support assembly having a support plate, each of the substrate support surfaces being aligned with x spatially separated isolated processing stations, Each includes a heater and a sealing platform connected to the heater in a downward position, surrounding the heater such that a top surface of the seal platform is below a top surface of the heater, and the seal platform extends to an area below the substrate support assembly. configured to provide a seal or barrier to minimize gas flow, wherein the sealing platform is configured to operate as a holder for the support plate such that the support plate rotates and moves vertically with the heater;
rotating the substrate support assembly rx times such that each substrate support surface rotates (360/x) degrees in a first direction relative to the adjacent substrate support surface, where r is an integer greater than or equal to 1;
rotating the substrate support assembly rx times such that each substrate support surface rotates (360/x) degrees in a second direction with respect to the adjacent substrate support surface; and
At each processing station, exposing a top surface of the at least one wafer to process conditions to form a film having a substantially uniform thickness,
wherein all portions of the at least one wafer on the x substrate support surfaces are simultaneously aligned with the x spatially separated isolated processing stations.
제13항에 있어서,
상기 적어도 하나의 웨이퍼는 상기 막이 형성될 때 정지상태인, 막을 형성하는 방법.
According to clause 13,
The method of claim 1, wherein the at least one wafer is stationary when the film is formed.
제13항에 있어서,
x는 2 내지 10 범위의 정수이고, r은 1 내지 10 범위인, 막을 형성하는 방법.
According to clause 13,
x is an integer ranging from 2 to 10, and r is an integer ranging from 1 to 10.
KR1020217016393A 2018-10-29 2019-10-28 Methods of operating the spatial deposition tool KR102691905B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247025914A KR20240121354A (en) 2018-10-29 2019-10-28 Methods of operating a spatial deposition tool

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862751909P 2018-10-29 2018-10-29
US62/751,909 2018-10-29
PCT/US2019/058248 WO2020092184A1 (en) 2018-10-29 2019-10-28 Methods of operating a spatial deposition tool

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247025914A Division KR20240121354A (en) 2018-10-29 2019-10-28 Methods of operating a spatial deposition tool

Publications (2)

Publication Number Publication Date
KR20210070383A KR20210070383A (en) 2021-06-14
KR102691905B1 true KR102691905B1 (en) 2024-08-06

Family

ID=70464585

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217016393A KR102691905B1 (en) 2018-10-29 2019-10-28 Methods of operating the spatial deposition tool
KR1020247025914A KR20240121354A (en) 2018-10-29 2019-10-28 Methods of operating a spatial deposition tool

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020247025914A KR20240121354A (en) 2018-10-29 2019-10-28 Methods of operating a spatial deposition tool

Country Status (6)

Country Link
JP (2) JP7538794B2 (en)
KR (2) KR102691905B1 (en)
CN (1) CN113166938A (en)
SG (1) SG11202104098RA (en)
TW (1) TWI780369B (en)
WO (1) WO2020092184A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11818810B2 (en) * 2021-03-26 2023-11-14 Applied Materials, Inc. Heater assembly with purge gap control and temperature uniformity for batch processing chambers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010084192A (en) 2008-09-30 2010-04-15 Tokyo Electron Ltd Film deposition system
KR100972255B1 (en) 2005-08-05 2010-07-23 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 System and method for processing semiconductor workpieces
JP2017092454A (en) 2015-09-28 2017-05-25 ウルトラテック インク High-throughput multi-chamber atomic layer deposition system and method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
CN100358097C (en) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 Semiconductor technology processing system and method
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP5572515B2 (en) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 Film forming apparatus and film forming method
US20120222620A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
KR20130106906A (en) * 2012-03-21 2013-10-01 주식회사 윈텔 Substrate processing apparatus and substrate processing method
US9514933B2 (en) * 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
TWI643971B (en) * 2014-01-05 2018-12-11 美商應用材料股份有限公司 Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10096464B2 (en) * 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100972255B1 (en) 2005-08-05 2010-07-23 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 System and method for processing semiconductor workpieces
JP2010084192A (en) 2008-09-30 2010-04-15 Tokyo Electron Ltd Film deposition system
JP2017092454A (en) 2015-09-28 2017-05-25 ウルトラテック インク High-throughput multi-chamber atomic layer deposition system and method

Also Published As

Publication number Publication date
JP2022505601A (en) 2022-01-14
TWI780369B (en) 2022-10-11
JP2023113690A (en) 2023-08-16
CN113166938A (en) 2021-07-23
SG11202104098RA (en) 2021-05-28
KR20210070383A (en) 2021-06-14
KR20240121354A (en) 2024-08-08
WO2020092184A1 (en) 2020-05-07
JP7538794B2 (en) 2024-08-22
TW202033819A (en) 2020-09-16

Similar Documents

Publication Publication Date Title
KR102614522B1 (en) Single wafer processing environments with spatial separation
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
US12077861B2 (en) Dithering or dynamic offsets for improved uniformity
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
JP2023113690A (en) Methods of operating spatial deposition tool
KR102630443B1 (en) Spatial wafer processing with improved temperature uniformity
JP7249407B2 (en) Complementary pattern station design

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant