KR102633264B1 - PIN-LIFTER TEST BOARD - Google Patents

PIN-LIFTER TEST BOARD Download PDF

Info

Publication number
KR102633264B1
KR102633264B1 KR1020217020699A KR20217020699A KR102633264B1 KR 102633264 B1 KR102633264 B1 KR 102633264B1 KR 1020217020699 A KR1020217020699 A KR 1020217020699A KR 20217020699 A KR20217020699 A KR 20217020699A KR 102633264 B1 KR102633264 B1 KR 102633264B1
Authority
KR
South Korea
Prior art keywords
pin
substrate
test board
lifter test
lifter
Prior art date
Application number
KR1020217020699A
Other languages
Korean (ko)
Other versions
KR20210089253A (en
Inventor
존 이. 도허티
창요우 징
수실 아난드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210089253A publication Critical patent/KR20210089253A/en
Application granted granted Critical
Publication of KR102633264B1 publication Critical patent/KR102633264B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Calibration Of Command Recording Devices (AREA)
  • Testing Of Devices, Machine Parts, Or Other Structures Thereof (AREA)

Abstract

다양한 실시 예들은 기판이 프로세스 툴 상의 기판 프로세싱 위치에 있는 동안 기판 핀-리프터들의 인-시츄 (in-situ), 비 침입적 검증을 제공하기 위한 장치들을 포함한다. 개시된 주제는 또한 기판이 프로세스 툴로부터 제거되기 전 또는 기판이 제거되는 동안 모든 예기치 않은 기판 이동을 검증할 수 있다. 예시적인 실시 예에서, 핀-리프터 테스트 기판이 다수의 모션 센서들 및 적어도 하나의 힘 센서를 포함한다. 모션 센서들은 경사계들 및 가속도계들을 포함하는 센서 유형들로부터 선택된 적어도 하나의 유형의 센서를 포함한다. 핀-리프터 테스트 기판 상의 메모리 디바이스가 모션 센서들로부터 수신된 데이터를 기록한다. 메모리 디바이스 대신 또는 메모리 디바이스에 더하여, 무선 통신 디바이스가 모션 센서들로부터 수신된 데이터를 원격 수신기로 송신한다. 다른 장치들 및 시스템들이 개시된다. Various embodiments include devices for providing in-situ, non-intrusive verification of substrate pin-lifters while the substrate is in a substrate processing position on a process tool. The disclosed subject matter can also verify any unexpected substrate movement before or while the substrate is removed from the process tool. In an exemplary embodiment, a pin-lifter test board includes multiple motion sensors and at least one force sensor. Motion sensors include at least one type of sensor selected from sensor types including inclinometers and accelerometers. A memory device on the pin-lifter test board records data received from the motion sensors. Instead of or in addition to the memory device, a wireless communication device transmits data received from the motion sensors to a remote receiver. Other devices and systems are disclosed.

Description

핀-리프터 (PIN-LIFTER) 테스트 기판PIN-LIFTER TEST BOARD

본 명세서에 개시된 주제는 반도체 및 관련된 산업계들에 사용된 장비에 관한 것이다. 보다 구체적으로, 개시된 주제는 기판이 프로세스 툴 상의 기판 프로세싱 위치에 있는 동안 기판 핀-리프터들 (pin-lifters) 의 인-시츄 (in-situ), 비 침입적 검증, 뿐만 아니라 기판의 동적 정렬에 대한 오작동하는 기판 핀-리프터들및 관련된 기판-홀딩 디바이스들의 잠재적 효과에 관한 것이다. 따라서, 개시된 주제는 기판 핀-리프터들의 동작들을 검증할 수 있고, 또한 기판이 프로세스 툴로부터 제거되는 동안 모든 예기치 않은 기판 이동을 검증할 수 있다. The subject matter disclosed herein relates to equipment used in semiconductor and related industries. More specifically, the disclosed subject matter includes in-situ, non-intrusive verification of substrate pin-lifters, as well as dynamic alignment of substrates while the substrate is in a substrate processing position on a process tool. Regarding the potential effects of malfunctioning substrate pin-lifters and associated substrate-holding devices. Accordingly, the disclosed subject matter can verify the operations of the substrate pin-lifters and also verify any unexpected substrate movement while the substrate is being removed from the process tool.

일반적으로, 반도체 프로세스 장비의 다양한 피스들 (예를 들어, 증착 툴들 또는 에칭 툴들) 은 정전 척 (electrostatic chuck; ESC) 상으로 반도체 기판들 (예를 들어, 실리콘 웨이퍼들) 을 상승 및 하강시키거나 정전 척 (ESC) 으로부터 반도체 기판들을 제거하기 위해 3 개의 압력-구동 핀-리프터들을 사용한다. ESC는 당업자에게 공지되고, 예를 들어, 플라즈마-기반 및 진공-기반 반도체 프로세싱에서 일반적으로 사용된다. ESC는 반도체 프로세싱 동안 기판을 장착하고 정전기적으로 "클램핑"하도록 사용되지만, 또한 기판들을 냉각 또는 가열하고 프로세싱의 균일성을 상승시키기 위해 기판의 평탄화를 제공하도록 사용된다. Typically, various pieces of semiconductor process equipment (e.g., deposition tools or etching tools) lift and lower semiconductor substrates (e.g., silicon wafers) onto an electrostatic chuck (ESC). Three pressure-actuated pin-lifters are used to remove semiconductor substrates from an electrostatic chuck (ESC). ESCs are known to those skilled in the art and are commonly used, for example, in plasma-based and vacuum-based semiconductor processing. ESCs are used to mount and electrostatically "clamp" substrates during semiconductor processing, but are also used to cool or heat substrates and provide planarization of the substrate to increase processing uniformity.

통상적인 기판 핀-리프터는 다수의 핀들 (예를 들어, 통상적으로 금속, 사파이어, 또는 사파이어로 티핑된 (tip) 금속을 포함하는 3 개의 핀들), 기판 핀-리프터들을 상승시키기 위한 공압 액추에이터, 및 기판 핀-리프터들의 레벨을 게이징하기 (gauge) 위한 하나 이상의 위치 센서들로 구성된다. A typical substrate pin-lifter includes a number of pins (e.g., three pins typically comprising metal, sapphire, or metal tipped with sapphire), a pneumatic actuator to lift the substrate pin-lifters, and It consists of one or more position sensors to gauge the level of the substrate pin-lifters.

파손되거나 동작 불가능한 리프트 핀, 너무 높거나 너무 낮은 기압, 잘못 정렬되거나 잘못 보정된 핀-위치 센서, 등과 같이 사양을 벗어나는 (out-of-specification) 기판 핀-리프터들 내의 또는 기판 핀-리프터들과 관련된 모든 컴포넌트들은 기판의 핸들링을 방해할 것이다. 기판 핀-리프터들이 올바르게 기능하지 않으면, 기판이 손상될 수 있고, 기판 상의 디바이스들로 인한 재정적 손실뿐만 아니라 수리를 초래하는 프로세스 툴의 정지 시간을 발생시킨다.In or with substrate pin-lifters that are out-of-specification, such as broken or inoperable lift pins, air pressure that is too high or too low, misaligned or miscalibrated pin-position sensors, etc. All of the components involved will interfere with the handling of the board. If the board pin-lifters do not function properly, the board can be damaged, resulting in process tool downtime resulting in repairs as well as financial losses due to devices on the board.

통상적으로, 일련의 척킹 (chucking) 및 디척킹 (de-chucking) 동작들은 이하에 기술된 동작들을 포함한다. 기판은 로봇 암의 엔드 이펙터를 갖는 프로세싱 모듈 (PM) 또는 프로세스 챔버 내로 이송된다. 일반적으로, 3 개의 기판 리프트 핀들은 위로 이동하고, 핀들이 상승된 위치 또는 "업" 위치에 있는 동안 로봇 암으로부터 기판을 수용한다. 로봇 암이 프로세스 챔버로부터 철수된 (retract) 후, 기판 리프트 핀들은 하강된 위치 또는 "다운" 위치로 이동한다. 핀들은 ESC의 상단 표면 바로 아래로 (예를 들어, 통상적으로 수십 ㎛만큼만) 철수하여, 기판을 ESC의 상단, 세라믹 표면 상에 랜딩한 (land) 채로 남긴다. ESC는 ESC의 세라믹 표면 내부에 임베딩된 (embed) 전극들에 고 전압을 인가함으로써 기판을 "척킹"하기 시작한다 (도체 쿨롱 ESC들에 대해, 포지티브 전압과 네거티브 전압 모두가 인가된다). 프로세스가 완료되면, ESC에 인가된 고 전압은 모든 전하들을 제거하기 위해 0으로 리셋된다. 핀들은 기판을 리프팅하기 위해 "업" 위치로 상승하고, 로봇 암은 프로세스 챔버로부터 기판을 제거한다. Typically, a series of chucking and de-chucking operations includes the operations described below. The substrate is transferred into a processing module (PM) or process chamber with the end effector of the robotic arm. Typically, three substrate lift pins move upward and receive the substrate from the robot arm while the pins are in the raised or “up” position. After the robot arm is retracted from the process chamber, the substrate lift pins move to a lowered or “down” position. The pins are withdrawn just below the top surface of the ESC (eg, typically only a few tens of micrometers), leaving the substrate landing on top of the ESC, the ceramic surface. The ESC begins "chucking" the substrate by applying a high voltage to electrodes embedded inside the ceramic surface of the ESC (for conductor coulomb ESCs, both positive and negative voltages are applied). Once the process is complete, the high voltage applied to the ESC is reset to zero to remove all charges. The pins rise to the “up” position to lift the substrate, and the robotic arm removes the substrate from the process chamber.

올바르게 기능하지 않는 기판 핀-리프터들에 더하여, 전하들은 ESC 표면에 또는 ESC 표면 근방에서 빈번하게 트랩되어 (trap), 기판과 ESC 사이에 잔류 척킹력 (chucking forces) 을 생성한다. 핀들이 상승될 때, 기판 디척킹 동작 동안, 잔류 척킹력은 반도체 프로세싱 동작들에 잠재적으로 유해한 벤딩, 틸팅, 점프, 측방향 슬라이딩, 및 다른 이동들과 같은 원치 않은 기판 이동을 유발할 수도 있다. 최악의 시나리오에서, 기판은 ESC로부터 분리되는 동안 파손될 수도 있다. In addition to substrate pin-lifters not functioning properly, charges are frequently trapped at or near the ESC surface, creating residual chucking forces between the substrate and the ESC. When the pins are raised, during a substrate dechucking operation, residual chucking forces may cause unwanted substrate movement such as bending, tilting, jumping, lateral sliding, and other movements that are potentially detrimental to semiconductor processing operations. In a worst-case scenario, the board may break during separation from the ESC.

현재, 기판 핀-리프터들은 프로세스 챔버 (또는 프로세스 모듈) 가 개방될 때 수동으로 체크된다. 프로세스 챔버가 폐쇄되고 시일링된 (seal) 후, 기판 핀-리프터들은 하나 이상의 기판 핀-리프터들 상의 핀 센서를 통해서만 모니터링된다. 핀 센서는 기판 핀-리프터들 중 특정한 기판 핀-리프터가 상승되는지 (업 위치인지) 또는 하강되는지 (다운 위치인지) 여부를 모니터링만 할 수 있다. 핀 센서는 기판 핀-리프터들 중 하나 이상이 파손되었는지 여부, 기압이 정확한지 여부, 또는 고장이 발생한 (또는 곧 발생할) 다수의 다른 시나리오들 중 임의의 시나리오를 결정할 수 없다. 예를 들어, 기판 핀-리프터들 중 하나가 파손되면, 핀 센서는 핀을 작동시키도록 (actuate) 사용된 피스톤의 위치를 센싱함으로써 파손된 핀이 올바른 위치에 있다는 것을 센싱할 수도 있다. 그러나, 파손된 핀은 기판으로 하여금 부정확한 (예를 들어, 일 측면에서 보다 낮은) 위치에 있게 할 수도 있다. 따라서, 기판은 손상될 위험이 있다 (예를 들어, 로봇의 엔드 이펙터에 의해서, 또는 로봇에 의해 철수될 수 없음). 어떤 경우든, 특히 모든 FEOL (Front-End-Of-Line) 프로세스들을 거의 완료한 완전히 채워진 (fully-populate) 기판 상에서 상당한 재정적 손실을 유발할 수 있다. Currently, substrate pin-lifters are manually checked when the process chamber (or process module) is opened. After the process chamber is closed and sealed, the substrate pin-lifters are monitored only through a pin sensor on one or more substrate pin-lifters. The pin sensor can only monitor whether a particular substrate pin-lifter among the substrate pin-lifters is raised (up position) or lowered (down position). The pin sensor cannot determine whether one or more of the substrate pin-lifters have failed, whether the air pressure is correct, or any of a number of other scenarios in which a failure has occurred (or is about to occur). For example, if one of the board pin-lifters breaks, the pin sensor may sense that the broken pin is in the correct position by sensing the position of the piston used to actuate the pin. However, a broken pin may cause the substrate to be in an incorrect (eg, lower on one side) position. Accordingly, there is a risk that the substrate will be damaged (eg, cannot be retracted by or by the robot's end effector). In either case, this can result in significant financial losses, especially on fully-populated boards that have nearly completed all front-end-of-line (FEOL) processes.

기압이 부정확할 때, 특히 너무 높을 때, 기판은 또한 거친 핸들링 (예를 들어, 도 1a 내지 도 1c와 관련하여 이하에 논의된 바와 같이, 잠재적으로 기판의 DA (Dynamic Alignment) 문제들을 유발하는, 고 가속력들) 을 겪을 수도 있다. 전반적으로, 현재 기판의 위치에 대한 인-시츄 및 자동 직접 체크가 없다. When the air pressure is incorrect, especially when it is too high, the substrate may also suffer from rough handling (e.g., potentially causing dynamic alignment (DA) problems of the substrate, as discussed below with respect to FIGS. 1A-1C . high acceleration forces). Overall, there is currently no in-situ and automatic direct check of the position of the substrate.

따라서, 개시된 주제는 기판이 프로세스 툴 (예를 들어, 기판 프로세싱 시스템) 상의 기판 프로세싱 위치에 있는 동안 기판 핀-리프터들의 인 시츄, 비 침입적 검증을 제공한다. 개시된 주제는 또한 기판이 프로세스 툴로부터 제거되기 전 또는 기판이 제거되는 동안 모든 예기치 않은 기판 이동을 검증할 수 있다. Accordingly, the disclosed subject matter provides in situ, non-intrusive verification of substrate pin-lifters while the substrate is in a substrate processing position on a process tool (e.g., a substrate processing system). The disclosed subject matter can also verify any unexpected substrate movement before or while the substrate is removed from the process tool.

이 섹션에 기술된 정보는 이하의 개시된 주제에 대한 맥락을 당업자에게 제안하도록 제공되고, 인정된 종래 기술로 간주되지 않아야 한다. The information set forth in this section is provided to suggest to those skilled in the art a context for the subject matter disclosed below, and should not be considered admitted prior art.

도 1a 내지 도 1c는, (1) 디척킹 동작 동안 기판 또는 ESC 중 적어도 하나 상에 남아 있는 전하; 또는 (2) ESC로부터 기판을 제거하기 위해 사용된 하나 이상의 결함이 있는 핀-리프터들 중 적어도 하나로 인한, 정전 척 (ESC) 과 관련한 척킹 동작과 디척킹 동작 및 결과적인 기판 측 방향 이동의 예들을 도시한다.
도 2a는 일 유형의 기판―실리콘 웨이퍼―의 평면도를 도시한다.
도 2b는 본 명세서에 개시된 다양한 실시 예들에 따른, (도 2a의 실리콘 웨이퍼와 동일하거나 유사한 치수들을 갖는) 핀-리프터 테스트 기판의 전면 상에 배치된 센서들의 예를 도시한다.
도 2c는 본 명세서에 개시된 다양한 실시 예들에 따른, (도 2a의 실리콘 웨이퍼와 동일하거나 유사한 치수들을 갖는) 핀-리프터 테스트 기판의 후면 상에 배치된 센서들의 예를 도시한다.
도 3은 본 명세서에 개시된 다양한 실시 예들에 따른 프로세싱 툴의 프로세싱 챔버에 배치된, 도 2b 및 도 2c의 핀-리프터 테스트 기판으로부터 데이터를 수신하기 위한 방법의 예를 도시한다.
1A-1C illustrate (1) charge remaining on at least one of the substrate or the ESC during a dechucking operation; or (2) examples of chucking and dechucking operations and resulting substrate lateral movement associated with an electrostatic chuck (ESC) due to at least one of one or more defective pin-lifters used to remove the substrate from the ESC. It shows.
Figure 2A shows a top view of one type of substrate - a silicon wafer.
FIG. 2B shows an example of sensors disposed on the front side of a pin-lifter test board (having the same or similar dimensions as the silicon wafer of FIG. 2A), according to various embodiments disclosed herein.
FIG. 2C shows an example of sensors disposed on the backside of a pin-lifter test board (having the same or similar dimensions as the silicon wafer of FIG. 2A), according to various embodiments disclosed herein.
3 illustrates an example of a method for receiving data from the pin-lifter test board of FIGS. 2B and 2C disposed in a processing chamber of a processing tool in accordance with various embodiments disclosed herein.

개시된 주제는 이제 첨부된 도면들 중 다양한 도면들에 예시된 바와 같이 몇몇 일반적이고 특정한 실시 예들을 참조하여 상세히 기술될 것이다. 이하의 기술에서, 개시된 주제의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 개시된 주제가 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자에게 명백할 것이다. 다른 예들에서, 공지된 프로세스 단계들 또는 구조체들은 개시된 주제를 모호하게 하지 않도록 상세히 기술되지 않았다. The disclosed subject matter will now be described in detail with reference to several general and specific embodiments as illustrated in various of the accompanying drawings. In the following description, numerous specific details are set forth to provide a thorough understanding of the disclosed subject matter. However, it will be apparent to those skilled in the art that the disclosed subject matter may be practiced without some or all of these specific details. In other instances, well-known process steps or structures have not been described in detail so as not to obscure the disclosed subject matter.

다양한 실시 예들에서, 핀-리프터 테스트 기판은 기판 자체의 이동뿐만 아니라 기판 핀-리프터들의 다양한 양태들을 모니터링하기 위해 이하에 상세히 기술된 다수의 센서들을 갖는 기판이다. 핀-리프터 테스트 기판은 예를 들어, 반도체 디바이스들을 생산하기 위해 사용된 일반적인 기판과 실질적으로 유사하거나 동일한 전체 형상을 갖는다. 이러한 일반적인 기판은, 특정한 실시 예들에서, 300 ㎜ 또는 450 ㎜ 반도체 (예를 들어, 실리콘) 웨이퍼일 수도 있다. 핀-리프터 테스트 기판은 일반적인 기판과 동일한 트래킹 (예를 들어, 레이저 마킹 및 바코드들) 및 포지셔닝 (예를 들어, 300 ㎜ 웨이퍼 상의 노치) 피처들을 가질 수 있다. 핀-리프터 테스트 기판은 표준 이송 로봇의 로봇 암의 엔드 이펙터에 의해 일반적인 기판과 동일하게 제자리에 (기판 핀-리프터들 위에) 배치된다. In various embodiments, a pin-lifter test board is a board with a number of sensors, described in detail below, to monitor various aspects of the substrate pin-lifters as well as movement of the substrate itself. The pin-lifter test board has an overall shape that is substantially similar or identical to, for example, a typical board used to produce semiconductor devices. This typical substrate may, in certain embodiments, be a 300 mm or 450 mm semiconductor (eg, silicon) wafer. A pin-lifter test board can have the same tracking (eg, laser marking and barcodes) and positioning (eg, notch on a 300 mm wafer) features as a regular board. The pin-lifter test board is placed in place (on the board pin-lifters) just like a regular board by the end effector of the robot arm of a standard transfer robot.

따라서 개시된 주제는 실제 기판 프로세싱 동작들 동안 발생할 기판의 위치 및 직접적인 측정을 제공한다. 따라서 개시된 주제는 기판 손실을 방지하기 위해, 또는 프로세스 툴의 정지 시간을 감소시키거나 최소화하기 위해 기판 핀-리프터들의 인 시츄 (in-situ), 비 침입적 (non-intrusive) 자동 건전성-체크 (health-checking) 를 제공한다. 따라서, 개시된 주제는 기판이 프로세스 툴 상의 기판 프로세싱 위치에 있는 동안 기판 핀-리프터들의 인 시츄, 비 침입적 검증을 제공한다. 개시된 주제는 또한 기판이 프로세스 툴로부터 제거되는 동안 모든 예기치 않은 기판 이동을 검증할 수 있다. The disclosed subject matter thus provides for direct measurements and positioning of the substrate that will occur during actual substrate processing operations. Accordingly, the disclosed subject matter is an in-situ, non-intrusive automated health-check of substrate pin-lifters to prevent substrate loss, or to reduce or minimize process tool downtime. health-checking). Accordingly, the disclosed subject matter provides in situ, non-intrusive verification of substrate pin-lifters while the substrate is in a substrate processing position on a process tool. The disclosed subject matter can also verify any unexpected substrate movement while the substrate is being removed from the process tool.

다양한 실시 예들에서, 본 명세서에 개시된 핀-리프터 테스트 기판은 예를 들어, 다양한 유형들의 모션 센서들, 힘 센서들, 및 데이터 획득 시스템들을 포함할 수도 있다. 이하에 보다 상세히 기술된 바와 같이, 이들 컴포넌트들 각각은 핀-리프터 테스트 기판 상에 장착된다. In various embodiments, the pin-lifter test board disclosed herein may include various types of motion sensors, force sensors, and data acquisition systems, for example. As described in more detail below, each of these components is mounted on a pin-lifter test board.

핀-리프터 테스트 기판 상의 모션 센서들의 일 기능의 예로서, 도 1a 내지 도 1c는 디척킹 (de-chuck) 동작 동안 가능한 기판 이동의 예들을 도시한다. 이러한 기판 이동은 개시된 핀-리프터 테스트 기판의 다양한 실시 예들을 사용하여 모니터링되고 기록될 수 있다. 예를 들어, 이제 도 1a 내지 도 1c을 참조하면, (1) 디척킹 (de-chucking) 동작 동안 기판 또는 ESC 중 적어도 하나 상에 남아 있는 전하; 또는 (2) ESC로부터 기판을 제거하기 위해 사용된 하나 이상의 결함이 있는 핀-리프터들 중 적어도 하나로 인한, 정전 척 (electrostatic chuck; ESC) 과 관련한 척킹 (chucking) 동작과 디척킹 동작 및 결과적인 기판 측 방향 이동의 예들이 도시된다. As an example of one function of motion sensors on a pin-lifter test board, Figures 1A-1C show examples of possible board movement during a de-chuck operation. This board movement can be monitored and recorded using various embodiments of the disclosed pin-lifter test board. For example, referring now to FIGS. 1A-1C , (1) charge remaining on at least one of the substrate or the ESC during a de-chucking operation; or (2) chucking and dechucking operations associated with an electrostatic chuck (ESC) and resulting substrate due to at least one of one or more defective pin-lifters used to remove the substrate from the ESC. Examples of lateral movements are shown.

도 1a의 척킹 동작을 참조하면, 실리콘 웨이퍼 (101) (또는 이하에 기술된 핀-리프터 테스트 기판) 가 정전 척 (ESC) (103) 상에 배치된다. ESC (103) 는 ESC (103) 에 전압을 인가하기 위한 적어도 하나의 전극 (105), 및 하강된 위치 (111A) 에 도시된 복수의 기판 핀-리프터들 (핀들) 을 갖는다. 하강된 위치 (111A) 에서, 핀들은 일반적으로 ESC (103) 의 최상부 표면 아래로 수십 ㎛이다. 그러나, 최상부 표면 아래로의 정확한 거리는 실리콘 웨이퍼 (101) 가 척킹 동작 동안 ESC (103) 의 최상부 표면과 콘택트하거나 거의 콘택트한다는 것을 제공하는 개시된 주제의 성능 또는 기능에 영향을 주지 않는다. 당업자는 본 명세서에 제공된 개시를 읽고 이해하는 것에 기초하여, 개시된 주제가 반도체 및 관련된 산업계들에서 사용되는 임의의 유형의 기판에 동일하게 적용될 수도 있다는 것을 인식할 것이다. 따라서, 기판은 실리콘 웨이퍼들로만 제한될 필요는 없다. 그러나, 용어 "실리콘 웨이퍼"는 개시된 주제의 다양한 양태를 기술하기 위해 단지 명확성을 위해 본 명세서에서 사용될 것이다. Referring to the chucking operation of FIG. 1A, a silicon wafer 101 (or a pin-lifter test board described below) is placed on an electrostatic chuck (ESC) 103. The ESC 103 has at least one electrode 105 for applying a voltage to the ESC 103, and a plurality of substrate pin-lifters (pins) shown in a lowered position 111A. In the lowered position 111A, the fins are typically several tens of micrometers below the top surface of the ESC 103. However, the exact distance below the top surface does not affect the performance or ability of the disclosed subject matter to provide that the silicon wafer 101 is in contact or near contact with the top surface of the ESC 103 during the chucking operation. Those skilled in the art will recognize, based on reading and understanding the disclosure provided herein, that the disclosed subject matter may be equally applicable to any type of substrate used in the semiconductor and related industries. Accordingly, the substrate need not be limited to only silicon wafers. However, the term “silicon wafer” will be used herein for clarity only to describe various aspects of the disclosed subject matter.

고 전압이 전극 (105) 에 인가되고, 이는 결국 고 전압을 ESC (103) 로 전달한다. 인가된 고 전압은 실리콘 웨이퍼 (101) 와 ESC (103) 사이에 반대 부호 전하들을 생성한다. 이 예에서, 음전하 (109) 가 ESC (103) 상에 형성되고, 양전하 (107) 가 ESC (103) 에 근접한 실리콘 웨이퍼 (101) 의 표면 상에 형성된다 (웨이퍼 전하들은 주로 ESC (103) 에 근접한 실리콘 웨이퍼 (101) 의 최하부 부분에 재분배된다). 결과적으로, 전극 (105) 으로부터 인가된 고 전압은 ESC (103) 상에 실리콘 웨이퍼 (101) 를 홀딩하는 정전기력을 생성한다. A high voltage is applied to the electrode 105, which in turn transmits the high voltage to the ESC 103. The applied high voltage creates opposite sign charges between the silicon wafer 101 and the ESC 103. In this example, a negative charge 109 is formed on the ESC 103, and a positive charge 107 is formed on the surface of the silicon wafer 101 proximate to the ESC 103 (the wafer charges are primarily on the ESC 103). redistributed to the lowermost part of the adjacent silicon wafer 101). As a result, the high voltage applied from the electrode 105 creates an electrostatic force that holds the silicon wafer 101 on the ESC 103.

통상적인 프로세스 플로우에서, 실리콘 웨이퍼 (101) 가 정전기력에 의해 ESC (103) 에 척킹된 후, 예를 들어, 프로세스 툴 내의 제어기에 의해 실행되는, 목표된 프로세스 레시피의 시작 전 헬륨 가스가 (예를 들어, 실리콘 웨이퍼 (101) 의 가열 및 냉각을 위해 열전도도를 증가시키기 위해) 실리콘 웨이퍼 (101) 의 후면 (즉, ESC (103) 에 근접한 웨이퍼의 측면) 에 전달된다. 당업자에 의해 이해되고 이하에 보다 상세히 기술된 바와 같이, 핀-리프터 테스트 기판은 또한 헬륨 가스의 압력 및 플로우를 인식하도록 구성될 수 있다. 프로세스 레시피가 완료된 후, 헬륨 가스 플로우는 중단되고, 이어서 헬륨은 펌핑 아웃된다 (배기된다). 전극 (105) 의 고 전압은 이상적으로, 모든 전하들을 제거하기 위해 0으로 리셋된다.In a typical process flow, after the silicon wafer 101 is chucked to the ESC 103 by electrostatic forces, helium gas is released (e.g. For example, it is delivered to the backside of the silicon wafer 101 (i.e., the side of the wafer close to the ESC 103) to increase thermal conductivity for heating and cooling of the silicon wafer 101. As understood by those skilled in the art and described in more detail below, the pin-lifter test board can also be configured to recognize the pressure and flow of helium gas. After the process recipe is completed, the helium gas flow is stopped and the helium is then pumped out (exhausted). The high voltage of electrode 105 is ideally reset to zero to remove all charges.

이제 도 1b를 참조하면, 헬륨이 배기되고 전극 (105) 상의 고 전압이 0 V로 리셋된 후, 핀들은 하강된 위치 (111A) 로부터 상승된 위치 (111B) 로 이동한다. 상승된 위치 (111B) 에서, 핀들은 실리콘 웨이퍼 (101) 를 고정된 "업" 위치로 리프팅한다. 업 위치에서, 로봇 암은 실리콘 웨이퍼 (101) 를 픽업하고 (pick up) 제거하기 위해 프로세스 챔버 내로 다시 이동할 수 있다. Referring now to Figure 1B, after the helium is evacuated and the high voltage on electrode 105 is reset to 0 V, the pins move from the lowered position 111A to the raised position 111B. In the raised position 111B, the pins lift the silicon wafer 101 to a fixed “up” position. In the up position, the robotic arm can move back into the process chamber to pick up and remove the silicon wafer 101.

그러나, 도 1b에 언급된 바와 같이, 실리콘 웨이퍼 (101) 또는 ESC (103) 의 부분들 상에 여전히 남아 있는 전하가 있다면, 실리콘 웨이퍼 (101) 는 예를 들어, 전하 트래핑, 및 전하들의 이동을 포함하는, 잔류 인력들로 인해 핀들이 상승된 위치 (111B) 에 있을 때 ESC (103) 위로 적절히 리프팅되지 않을 수도 있다. 결과적으로, 인력들로 인해, 실리콘 웨이퍼 (101) 는 도 1c에 도시된 바와 같이 ESC (103) 를 참조하여 측방향으로 그리고/또는 회전하여 이동할 수도 있다. 측방향 및/또는 회전 시프트는 동적 정렬 (Dynamic-Alignment; DA) 오프셋 (113) 을 유발한다. 전반적으로, 동적 정렬은 실리콘 웨이퍼 (101) 가 프로세스 챔버 내로 또는 밖으로 이동할 때 실리콘 웨이퍼 (101) 의 위치를 측정한다. DA 오프셋 (113) 은 프로세스가 시작되기 전과 프로세스가 완료된 후 실리콘 웨이퍼 (101) 사이의 차 (즉, 프로세스 전 DA - 프로세스 후 DA) 이다. DA 오프셋 (113) 은 웨이퍼 디척킹의 품질을 모니터링한다. However, as noted in FIG. 1B, if there are still charges remaining on portions of the silicon wafer 101 or the ESC 103, the silicon wafer 101 may be capable of, for example, charge trapping, and movement of charges. The pins may not be properly lifted onto the ESC 103 when in the raised position 111B due to residual forces, including: As a result, due to attractive forces, the silicon wafer 101 may move laterally and/or rotationally with reference to the ESC 103, as shown in FIG. 1C. Lateral and/or rotational shifts cause Dynamic-Alignment (DA) offsets 113. Overall, dynamic alignment measures the position of the silicon wafer 101 as it moves into or out of the process chamber. DA offset 113 is the difference between the silicon wafer 101 before the process begins and after the process is completed (i.e., DA before the process - DA after the process). DA offset 113 monitors the quality of wafer dechucking.

상기 간략히 논의된 바와 같이, 수백 ℃일 수도 있는 ESC 동작 온도들에서, 전하는 웨이퍼 척킹 동작 동안 ESC (103) 의 최상부 표면에 트랩핑될 수 있다. 트랩핑된 전하들은 또한 잔류 전하들로 지칭된다. 게다가, 실리콘 웨이퍼 (101) 로부터의 다양한 방출들은 또한 실리콘 웨이퍼 (101) 와 ESC (103) 사이에서 발생하는 잔류 힘들의 요인 (factor) 일 수도 있다. 이들 잔류 힘들은 웨이퍼의 벤딩, 틸팅, 점핑, 슬라이딩, 또는 심지어 파손과 같은 원치 않은 웨이퍼 이동을 유발할 수 있다. As briefly discussed above, at ESC operating temperatures, which may be hundreds of degrees Celsius, charge can become trapped in the top surface of the ESC 103 during wafer chucking operations. Trapped charges are also referred to as residual charges. Additionally, various emissions from the silicon wafer 101 may also be a factor in residual forces occurring between the silicon wafer 101 and the ESC 103. These residual forces can cause unwanted wafer movements such as bending, tilting, jumping, sliding, or even breakage of the wafer.

특정한 디척킹 고장-근본 원인 (failure-root-cause) 분석은 프로세스, 웨이퍼 유형, ESC 세라믹 재료, 세라믹 온도, 프로세스 시간, 바이어스 전압, 프로세스 화학 물질들, 및 다른 요인들에 따라 복잡할 수 있다. 예를 들어, 당업자에게 공지된 바와 같이, 반도체 및 관련된 산업계들에서 사용되는 2 개의 주요 유형들의 ESC―쿨롱-유형 척 및 Johnsen-Rahbek 유형의 척―가 있다. 2 개의 척 유형들 간의 일 중요한 차이는 디척킹 동작들과 관련된다. 쿨롱-유형 척에서, 일단 전극 (105) 상의 고 전압이 0 V로 리셋되면, 거의 즉각적이고 큰 단락 전류가 흐르지만, 짧은 시간 상수로 (대략 밀리초) 지수적으로 감소한다. 그러나, Johnsen-Rahbek 유형의 척에서, 비 지수적으로 감쇠하는 작은 전류가 훨씬 더 긴 시간 동안 (대략 초) 지속되고, 이에 따라 잔류 전하가 소산되기 위해 필요한 시간으로 인해 훨씬 더 긴 디척킹 시간을 유발할 수 있다. A specific dechucking failure-root-cause analysis can be complex depending on the process, wafer type, ESC ceramic material, ceramic temperature, process time, bias voltage, process chemicals, and other factors. For example, as known to those skilled in the art, there are two main types of ESCs used in the semiconductor and related industries—Coulomb-type chucks and Johnsen-Rahbek type chucks. One important difference between the two chuck types relates to dechucking operations. In a Coulomb-type chuck, once the high voltage on electrode 105 is reset to 0 V, a large and almost instantaneous short-circuit current flows, but decays exponentially with a short time constant (on the order of milliseconds). However, in Johnsen-Rahbek type chucks, small, non-exponentially decaying currents persist for much longer times (on the order of seconds), thus resulting in much longer dechucking times due to the time required for the residual charge to dissipate. It can cause

도 2a는 일 유형의 기판―실리콘 웨이퍼 (200)―의 평면도를 도시한다. 실리콘 웨이퍼 (200) 는 상기 ESC 디척킹 프로세스의 일부로서 기술된 실리콘 웨이퍼 (101) 와 동일하거나 유사할 수도 있다. 이 특정한 경우에, 실리콘 웨이퍼 (200) 는 300 ‡o 웨이퍼인 것으로 간주될 수도 있다. 실리콘 웨이퍼 (200) 는 노치 (203) 를 포함하는 것으로 도시된다. 특정한 예시적인 실시 예에서, 실리콘 웨이퍼 (200) 및 노치 (203) 모두는 국제 웨이퍼 표준 SEMI M1-1107, SPECIFICATIONS FOR POLISHED SINGLE CRYSTAL SILICON WAFERS (www.semi.org에서 Semiconductor Equipment and Materials International (SEMITM) 으로부터 입수 가능) 에 따라 형성된다. Figure 2A shows a top view of one type of substrate—a silicon wafer 200. Silicon wafer 200 may be the same or similar to silicon wafer 101 described as part of the ESC dechucking process above. In this particular case, silicon wafer 200 may be considered to be a 300 ‡o wafer. Silicon wafer 200 is shown including a notch 203. In certain exemplary embodiments, both the silicon wafer 200 and the notch 203 are configured according to the International Wafer Standard SEMI M1-1107, SPECIFICATIONS FOR POLISHED SINGLE CRYSTAL SILICON WAFERS (Semiconductor Equipment and Materials International (SEMI TM ) at www.semi.org). (available from) is formed according to.

실리콘 웨이퍼 (200) 는 또한 웨이퍼의 하단 측면 상의 실리콘 웨이퍼 (200) 와 콘택트하는 3 개의 기판 핀-리프터들의 상대적인 위치들의 예시적인 실시 예를 도시한다. 이 예시적인 실시 예에서, 3 개의 기판 핀-리프터들은 실리콘 웨이퍼 (200) 의 정중앙 부분으로부터 각각 거리 "r"로 서로로부터 120°에 위치된다. 그러나, 당업자는 4 개 이상의 기판 핀-리프터들이 도 2a에 도시된 위치들과 다른 위치들에서 사용될 수도 있다는 것을 인식할 것이다. Silicon wafer 200 also shows an example embodiment of the relative positions of three substrate pin-lifters in contact with silicon wafer 200 on the bottom side of the wafer. In this exemplary embodiment, three substrate pin-lifters are each positioned 120° from each other at a distance “r” from the exact center portion of the silicon wafer 200. However, one of ordinary skill in the art will recognize that more than four substrate pin-lifters may be used in positions other than those shown in FIG. 2A.

도 2b는 본 명세서에 개시된 다양한 실시 예들에 따른 핀-리프터 테스트 기판 (210) 의 전면 상에 배치된 센서들의 예를 도시한다. 이 실시 예에서, 핀-리프터 테스트 기판 (210) 은 도 2a의 실리콘 웨이퍼와 동일하거나 유사한 치수들을 갖는다. 예를 들어, SEMITM 표준 사양들에 따라, 300 ㎜ 실리콘 웨이퍼는 300 ㎜ + 0.2 ㎜의 직경, 775 + 25 ㎛의 두께, 및 웨이퍼 노치에 대한 특정한 치수들을 갖는다 (SEMI M1-1107 참조). FIG. 2B shows an example of sensors disposed on the front side of a pin-lifter test board 210 according to various embodiments disclosed herein. In this embodiment, pin-lifter test substrate 210 has dimensions that are the same or similar to the silicon wafer of Figure 2A. For example, according to SEMITM standard specifications, a 300 mm silicon wafer has a diameter of 300 mm + 0.2 mm, a thickness of 775 + 25 μm, and specific dimensions for the wafer notch (see SEMI M1-1107).

300 ㎜ 실리콘 웨이퍼에 대한 SEMI 표준의 최대 두께가 800 ㎛이지만, 많은 프로세스 챔버들은 적어도 2 ㎜ 두께까지의 기판을 수용할 수 있는 한편 일부 프로세스 챔버들은 최대 5 ㎜의 기판 두께를 허용한다. 따라서, 본 명세서에 기술된 다양한 실시 예들에서, 핀-리프터 테스트 기판의 두께는 핀-리프터 테스트 기판이 설계되는 특정한 프로세스 챔버에 따라 적어도 2 ㎜ 또는 심지어 5 ㎜까지일 수 있다. 또한, 표준 300 ㎜ 웨이퍼는 (실리콘 웨이퍼의 정확한 직경 및 두께에 따라) 약 90 g의 질량을 갖는다. 핀-리프터 테스트 기판이 표준 실리콘 웨이퍼보다 실질적으로 무거우면 (이 예를 위해, 300 ㎜ 웨이퍼의 90 g), 실질적으로 약 90 g 이상의 핀-리프터 테스트 기판의 질량은 기판 핀-리프터들의 거동을 방해하거나 변화시킬 수도 있다. 따라서, 핀-리프터 테스트 기판의 질량은 표준 기판의 질량 (예를 들어, 300 ㎜ 실리콘 웨이퍼의 90 g) 에 가깝게 선택될 수도 있다. 그러나 당업자에게 공지된 바와 같이, 핀-리프터 테스트 기판의 질량이 테스트 중인 특정한 툴에 대해 보정될 수 있도록, 질량의 차가 용인 가능하고 기판 핀-리프터들은 추가된 질량에 대해 캘리브레이팅될 수 있다. Although the SEMI standard's maximum thickness for a 300 mm silicon wafer is 800 μm, many process chambers can accommodate substrates up to at least 2 mm thick while some process chambers allow substrate thicknesses up to 5 mm. Accordingly, in various embodiments described herein, the thickness of the pin-lifter test board can be at least 2 mm or even up to 5 mm depending on the particular process chamber in which the pin-lifter test board is designed. Additionally, a standard 300 mm wafer has a mass of approximately 90 g (depending on the exact diameter and thickness of the silicon wafer). If the pin-lifter test substrate is substantially heavier than a standard silicon wafer (for this example, 90 g of a 300 mm wafer), a mass of the pin-lifter test substrate substantially greater than about 90 g will interfere with the behavior of the substrate pin-lifters. Or you can change it. Accordingly, the mass of the pin-lifter test substrate may be selected to be close to the mass of a standard substrate (eg, 90 g of a 300 mm silicon wafer). However, as is known to those skilled in the art, differences in mass are acceptable and the substrate pin-lifters can be calibrated for the added mass, so that the mass of the pin-lifter test substrate can be calibrated for the particular tool under test.

그러나, 본 명세서에 제공된 개시를 읽고 이해하면, 숙련된 기술자는 도 2b의 핀-리프터 테스트 기판 (210) 이 제조 설비에서 사용된 실제 기판들과 동일하거나 유사한 임의의 형태를 따르도록 형성될 수도 있다는 것을 인식할 것이다. 예를 들어, 도 2b의 핀-리프터 테스트 기판 (210) 은 200 ㎜ 웨이퍼, 450 ㎜ 웨이퍼, 150 ㎜ x 6.35 ㎜ (대략 6 인치 x 0.25 인치) (펠리클 (pellicle) 이 있거나 없는) 포토마스크, (다양한 사이즈들의) 평판 디스플레이 또는 당업계에 공지된 임의의 다른 유형의 기판의 형태를 취할 수도 있다. However, upon reading and understanding the disclosure provided herein, the skilled artisan will realize that the pin-lifter test board 210 of FIG. 2B may be formed to follow any shape that is the same or similar to the actual boards used in the manufacturing facility. will recognize that For example, the pin-lifter test board 210 of FIG. 2B includes a 200 mm wafer, a 450 mm wafer, a 150 mm x 6.35 mm (approximately 6 inches x 0.25 inches) photomask (with or without a pellicle), ( It may take the form of a flat panel display (of various sizes) or any other type of substrate known in the art.

도 2b의 핀-리프터 테스트 기판 (210) 은 예를 들어, 스테인리스 스틸, 알루미늄 및 이들의 합금들, 다양한 유형들의 세라믹들 (예를 들어, 알루미늄 옥사이드, Al2O3), 또는 실질적으로 본 명세서에 기술된 물리적 특성들에 따라 형성될 수 있는 임의의 다른 유형의 재료를 포함하는 다양한 재료들로부터 형성될 수도 있다. 특정한 예시적인 실시 예에서, 도 2b의 핀-리프터 테스트 기판은 이하에 기술된 다양한 센서들 중 적어도 일부를 포함하는 300 ㎜ 실리콘 웨이퍼일 수 있다. 센서들 중 적어도 일부를 포함하는 이러한 웨이퍼는 계측된 (instrumented) 웨이퍼로 간주될 수도 있다. The pin-lifter test substrate 210 of FIG. 2B may be made of, for example, stainless steel, aluminum and their alloys, various types of ceramics (e.g., aluminum oxide, Al 2 O 3 ), or substantially as described herein. It may be formed from a variety of materials, including any other type of material that can be formed according to the physical properties described in. In certain example embodiments, the pin-lifter test substrate of FIG. 2B may be a 300 mm silicon wafer containing at least some of the various sensors described below. This wafer containing at least some of the sensors may be considered an instrumented wafer.

일 실시 예에서, 핀-리프터 테스트 기판 (210) 은 핀-리프터 테스트 기판 (210) 의 상단면 (201) 상에 형성된 다수의 상이한 유형들의 센서들을 포함한다. 예를 들어, 핀-리프터 테스트 기판 (210) 은 다양한 유형들의 모션 센서들 (205A, 205B, 205C), 메모리 디바이스 (207), 무선 통신 디바이스 (209), 전력-관리 디바이스 (211), 및 전력 공급부 (213) 를 포함하는 것으로 도시된다. In one embodiment, the pin-lifter test board 210 includes a number of different types of sensors formed on the top surface 201 of the pin-lifter test board 210. For example, pin-lifter test board 210 can be used to test various types of motion sensors 205A, 205B, 205C, memory device 207, wireless communication device 209, power-management device 211, and power It is shown as comprising a supply section (213).

일 실시 예에서, 모션 센서들 (205A, 205B, 205C) 은 기판 핀-리프터들의 위치에 또는 위치 근방에 배치된다. 모션 센서들 (205A, 205B, 205C) 은 핀-리프터 테스트 기판 (210) 의 상단면 (201) 및/또는 하단면 (221) 상에 배치될 수 있다. 이 특정한 실시 예에서, 반도체 웨이퍼와 함께 사용되는 통상적으로 3 개의 기판 핀-리프터들이 있기 때문에, 3 개의 모션 센서들 (205A, 205B, 205C) 이 있다. 그러나 예를 들어, 4 개 이상의 기판 핀-리프터들을 사용하는 평판 디스플레이와 함께 사용될 때 4 개 이상의 기판 핀-리프터들이 있을 수도 있다. In one embodiment, motion sensors 205A, 205B, 205C are disposed at or near the location of the substrate pin-lifters. Motion sensors 205A, 205B, 205C may be disposed on the top surface 201 and/or bottom surface 221 of the pin-lifter test board 210. In this particular embodiment, there are three motion sensors 205A, 205B, 205C, as there are typically three substrate pin-lifters used with semiconductor wafers. However, there may be more than four substrate pin-lifters, for example when used with a flat panel display that uses more than four substrate pin-lifters.

모션 센서들 (205A, 205B, 205C) 중 적어도 하나는 경사계들 및 가속도계들을 포함하는 하나 이상의 유형들의 센서들을 포함할 수도 있다. 숙련된 기술자에게 공지된 바와 같이, 경사계는 핀-리프터 테스트 기판 (210) 이 수평인지 여부, 핀-리프터 테스트 기판 (210) 의 경사 (slope) 또는 틸팅 (tilt), 또는 핀-리프터 테스트 기판 (210) 의 국부적인 강하 (예를 들어, 보우 (bow) 또는 뒤틀림 (warp)) 를 결정하도록 사용될 수 있다. 가속도계는 핀-리프터 테스트 기판 (210) 의 가속도 (예를 들어, 선형 및/또는 각도) 를 결정하도록 사용될 수도 있다. 예를 들어, 가속도계는 핀-리프터 테스트 기판 (210) 이 기판 핀-리프터들 상에 얼마나 빨리 적용되는지, 또는 핀-리프터 테스트 기판 (210) 이 ESC로부터의 인력들로 인해 핀-리프터 테스트 기판 (210) 의 해제 (release) 를 실패할 것으로 예상될 때 기판 핀-리프터들로부터 얼마나 빨리 해제되는지를 결정하도록 사용될 수 있다. 예를 들어, 기판 핀-리프터들이 상승된 웨이퍼 위치 ("업" 위치) 또는 하강된 위치 ("다운" 위치) 로 이동하는 동안, 리프트 핀들의 최대 가속도는 1 "G" (9.8 m/sec²) 만큼 클 수 있다. 이 큰 가속도는 도 1a 내지 도 1c를 참조하여 상기 기술된 바와 같이 DA 오프셋을 발생시킬 수 있다. At least one of the motion sensors 205A, 205B, 205C may include one or more types of sensors including inclinometers and accelerometers. As known to the skilled artisan, the inclinometer determines whether the pin-lifter test board 210 is horizontal, the slope or tilt of the pin-lifter test board 210, or the pin-lifter test board (210). 210) can be used to determine the local drop (e.g., bow or warp). An accelerometer may be used to determine acceleration (e.g., linear and/or angular) of the pin-lifter test board 210. For example, an accelerometer can be used to determine how quickly the pin-lifter test board 210 is applied onto the substrate pin-lifters, or how quickly the pin-lifter test board 210 is applied to the pin-lifter test board (210) due to attraction forces from the ESC. 210) can be used to determine how quickly to release from the substrate pin-lifters when they are expected to fail release. For example, while the substrate pin-lifters are moving to a raised wafer position ("up" position) or lowered position ("down" position), the maximum acceleration of the lift pins is 1 "G" (9.8 m/sec²). It can be as big as This large acceleration can cause a DA offset as described above with reference to FIGS. 1A-1C.

가속도계는 또한 핀-리프터 테스트 기판 (210) 상의 진동들을 측정하도록 사용될 수 있다. 특정한 예시적인 실시 예에서, 모션 센서들 (205A, 205B, 205C) 중 적어도 하나는 예를 들어, 도 1a 내지 도 1c를 참조하여 상기 기술된 바와 같이 디척킹 동작들을 테스트하기 위한 압전-구동 다이어프램 (diaphragm) 을 포함할 수도 있고, 정전 척에 의해 인가된 힘을 체크하기 위해 MEMS-기반 힘 센서들 (또는 예를 들어, 스트레인 게이지 (strain gauge) 와 같은, 관련 분야에 공지된 다른 유형의 힘 센서들) 을 포함할 수도 있다. An accelerometer can also be used to measure vibrations on the pin-lifter test board 210. In certain example embodiments, at least one of the motion sensors 205A, 205B, 205C includes a piezoelectric-actuated diaphragm (e.g., for testing dechucking movements as described above with reference to FIGS. 1A-1C). MEMS-based force sensors (or other types of force sensors known in the art, such as, for example, strain gauges) to check the force applied by the electrostatic chuck. ) may also be included.

다양한 실시 예들에서, 메모리 디바이스 (207) 는 비 휘발성 메모리 디바이스 (예를 들어, 플래시 메모리, 상 변화 메모리, 등) 를 포함할 수도 있다. 다른 실시 예들에서, 메모리 디바이스 (207) 는 휘발성 메모리 디바이스일 수도 있고, 전력 공급부 (213) 에 의해 전력 공급될 수도 있다. In various embodiments, memory device 207 may include a non-volatile memory device (eg, flash memory, phase change memory, etc.). In other embodiments, memory device 207 may be a volatile memory device and may be powered by power supply 213.

무선 통신 디바이스 (209) 는 예를 들어, 무선 주파수 트랜시버들 (transcivers), Bluetooth® 트랜시버들, 적외선 (IR) 및 다른 유형들의 광-통신 트랜시버들, 등을 포함하는, 당업계에 공지된 다양한 유형들의 무선 통신 디바이스들을 포함할 수도 있다. 본 명세서에 제공된 개시를 읽고 이해하면 당업자가 인식할 수 있을 바와 같이, 트랜시버들은 송신 기능만을 가질 수도 있다. 이 경우에, 무선 통신 디바이스 (209) 는 송신기로만 간주될 수도 있다. Wireless communication devices 209 may be of various types known in the art, including, for example, radio frequency transcivers, Bluetooth® transceivers, infrared (IR) and other types of optical-communication transceivers, etc. It may also include wireless communication devices. As those skilled in the art will appreciate upon reading and understanding the disclosure provided herein, transceivers may have only a transmit function. In this case, wireless communication device 209 may be considered only a transmitter.

특정한 실시 예들에서, 핀-리프터 테스트 기판 (210) 은 무선 통신 디바이스 (209) 또는 메모리 디바이스 (207) 중 하나를 가질 수도 있지만, 둘 다 갖지 않을 수도 있다. 다른 실시 예들에서, 핀-리프터 테스트 기판 (210) 은 무선 통신 디바이스 (209) 및 메모리 디바이스 (207) 모두를 포함할 수도 있다. 이하에 보다 상세히 기술된 바와 같이, 핀-리프터 테스트 기판 (210) 의 특정한 적용 예들에서, 무선 통신 디바이스 (209) 는 핀-리프터 테스트 기판 (210) 이 프로세스 챔버 내에 배치되고 프로세스 챔버 액세스 도어를 폐쇄한 후 (완전히 폐쇄된 프로세스 챔버의 전자기 차폐 효과로 인해) 로봇으로부터 제거된다면 기능하지 않을 수도 있다. 이 경우에, 메모리 디바이스 (207) 는 나중 프로세싱을 위해 핀-리프터 테스트 기판 (210) 으로부터 이용 가능한 모든 데이터를 기록하도록 사용된다. In certain embodiments, pin-lifter test board 210 may have either a wireless communication device 209 or a memory device 207, but not both. In other embodiments, pin-lifter test board 210 may include both a wireless communication device 209 and a memory device 207. As described in more detail below, in certain applications of the pin-lifter test board 210, the wireless communication device 209 may be used when the pin-lifter test board 210 is placed within the process chamber and the process chamber access door is closed. It may become non-functional if it is then removed from the robot (due to the electromagnetic shielding effect of a completely closed process chamber). In this case, memory device 207 is used to record all available data from pin-lifter test board 210 for later processing.

전력-관리 디바이스 (211) 는 예를 들어, 다양한 유형들의 집적 회로 (Integrated Circuit; IC) 전력-관리 디바이스들을 포함할 수도 있다. 전력-관리 디바이스 (211) 는 (예를 들어, 핀-리프터 테스트 기판 (210) 상에 장착된 다양한 디바이스들에 대해 다양한 바이어스 전압들을 공급하기 위한) DC-DC 변환 회로들, 전력 공급부 (213) 를 위한 배터리 충전 기능들, 전압-스케일링 기능들 (예를 들어, 메모리 디바이스 (207) 를 위한 전하 펌프들을 포함함), 및 관련 분야에 공지된 다른 기능들과 같은 기능들을 포함할 수 있다. Power-management device 211 may include, for example, various types of integrated circuit (IC) power-management devices. Power-management device 211 includes DC-DC conversion circuits (e.g., for supplying various bias voltages to various devices mounted on pin-lifter test board 210), power supply 213 battery charging functions for, voltage-scaling functions (including, for example, charge pumps for the memory device 207), and other functions known in the art.

전력 공급부 (213) 는 다양한 컴포넌트들 (예를 들어, 무선 통신 디바이스 (209), (예를 들어, 휘발성 메모리 디바이스들에 대해) 필요하다면 데이터를 유지하기 위한 메모리 디바이스 (207), 메모리 디바이스 (207) 로부터 판독 및 기록하기 위한 감지 증폭기들 (sense amps), 등) 에 전력을 전달하기 위한 다양한 유형들의 배터리들 또는 관련된 에너지 저장 기술들을 포함할 수도 있다. The power supply 213 may be configured to support various components (e.g., a wireless communication device 209, a memory device 207 for maintaining data if necessary (e.g., for volatile memory devices), a memory device 207 ) may include various types of batteries or related energy storage technologies to deliver power to sense amplifiers (sense amps) for reading and writing from, etc.).

이제 도 2c를 참조하면, 본 명세서에 개시된 다양한 실시 예들에 따른, 핀-리프터 테스트 기판 (220) 의 하단면 (221) 상에 형성된 센서들의 예가 도시된다. 핀-리프터 테스트 기판 (220) 은 힘 센서들 (223A, 223B, 223C) 뿐만 아니라 제 1 부가적인 센서 (225A) 및 제 2 부가적인 센서 (225B) 를 포함하는 것으로 도시된다. 이하에 기술된 바와 같이, 일 실시 예에서, 제 1 부가적인 센서 (225A) 및 제 2 부가적인 센서 (225B) 는 동일한 유형의 센서를 포함할 수도 있다. 다른 실시 예들에서, 제 1 부가적인 센서 (225A) 및 제 2 부가적인 센서 (225B) 는 상이한 유형들의 센서들을 포함할 수도 있다. Referring now to FIG. 2C, an example of sensors formed on the bottom surface 221 of a pin-lifter test board 220 is shown, in accordance with various embodiments disclosed herein. Pin-lifter test board 220 is shown to include force sensors 223A, 223B, 223C as well as a first additional sensor 225A and a second additional sensor 225B. As described below, in one embodiment, first additional sensor 225A and second additional sensor 225B may include the same type of sensor. In other embodiments, first additional sensor 225A and second additional sensor 225B may include different types of sensors.

일 실시 예에서, 힘 센서들 (223A, 223B, 223C) 은 기판 핀-리프터들의 위치에 또는 위치 근방에 배치된다. 힘 센서들 (223A, 223B, 223C) 은 핀-리프터 테스트 기판 (210, 220) 의 상단면 (201) 및/또는 하단면 (221) 상에 배치될 수 있다. 이 특정한 실시 예에서, 반도체 웨이퍼와 함께 사용되는 통상적으로 3 개의 기판 핀-리프터들이 있기 때문에, 3 개의 힘 센서들 (223A, 223B, 223C) 이 있다. 그러나 예를 들어, 평판 디스플레이와 함께 사용될 때 4 개 이상의 기판 핀-리프터들이 있을 수도 있다. 결과적으로, 4 개 이상의 힘 센서들이 있을 수도 있다. In one embodiment, force sensors 223A, 223B, 223C are disposed at or near the location of the substrate pin-lifters. Force sensors 223A, 223B, 223C may be disposed on the top surface 201 and/or bottom surface 221 of the pin-lifter test board 210, 220. In this particular embodiment, there are three force sensors 223A, 223B, 223C, as there are typically three substrate pin-lifters used with semiconductor wafers. However, there may be more than four substrate pin-lifters, for example when used with a flat panel display. As a result, there may be more than four force sensors.

힘 센서들 (223A, 223B, 223C) 중 적어도 하나는 도 2b를 참조하여 상기 기술된 MEMS-기반 스트레인 게이지 (또는 관련 분야에 공지된 다른 유형의 스트레인 게이지) 와 같은 스트레인 게이지들을 포함할 수도 있다. At least one of force sensors 223A, 223B, 223C may include strain gauges, such as the MEMS-based strain gauge described above with reference to FIG. 2B (or other types of strain gauges known in the art).

제 1 부가적인 센서 (225A) 및 제 2 부가적인 센서 (225B) 는 예를 들어, 온도 센서, 압력 센서, 및 플로우 센서를 포함하는 하나 이상의 센서들을 포함할 수도 있다. 온도 센서는 핀-리프터 테스트 기판 (220) 의 다양한 위치들에서 온도 균일성을 체크하도록 사용될 수 있다. 압력 센서는 예를 들어, 압력-트랜스듀서 어레이들, 및 당업계에 공지된 피에조미터들 (piezometers) 을 포함하는 다양한 유형들의 디지털 압력 트랜스듀서들을 포함할 수도 있고, 예를 들어, 일단 ESC에 부착되면 기판의 후면 상에 인가된 헬륨 압력을 모니터링할 수 있다. 유사하게, 플로우 센서는 예를 들어, 층류 계량기 또는 열선 풍속계를 포함할 수도 있고, 핀-리프터 테스트 기판 (210, 220) 의 후면 또는 전면 상의 가스 플로우를 모니터링하도록 사용될 수 있다. First additional sensor 225A and second additional sensor 225B may include one or more sensors including, for example, a temperature sensor, a pressure sensor, and a flow sensor. A temperature sensor can be used to check temperature uniformity at various locations on the pin-lifter test board 220. The pressure sensor may include various types of digital pressure transducers, including, for example, pressure-transducer arrays, and piezometers, known in the art, once attached to the ESC, for example. Once this is done, the helium pressure applied on the back side of the substrate can be monitored. Similarly, flow sensors may include, for example, laminar flow meters or hot-wire anemometers, and may be used to monitor gas flow on the back or front side of the pin-lifter test board 210, 220.

2 개의 부가적인 센서들만이 도시되지만, 숙련된 기술자는 임의의 수의 부가적인 센서들이 포함될 수도 있다는 것을 이해할 것이다. 예를 들어, 온도 센서 각각은 핀-리프터 테스트 기판 (220) 의 하단면 (221) 에 임베딩된 다수의 열전대들 또는 저항-온도 검출기들 (Resistance-Temperature Detectors; RTD들, 박막 RTD들을 포함함) 을 포함할 수도 있다. Although only two additional sensors are shown, the skilled artisan will understand that any number of additional sensors may be included. For example, each temperature sensor may be a plurality of thermocouples or Resistance-Temperature Detectors (RTDs, including thin-film RTDs) embedded in the bottom surface 221 of the pin-lifter test board 220. It may also include .

다양한 실시 예들에서, 그리고 명백하게 도시되지 않지만 본 명세서에 제공된 개시를 읽고 이해하면 당업자에게 쉽게 이해될 수 있는 도 2a 및 도 2b의 핀-리프터 테스트 기판 (210, 220) 은, 또한 핀-리프터 테스트 기판 (210, 220) 상에 장착된 센서들 및 다른 디바이스들 각각에 다수의 제어 기능들을 제공하기 위한 마이크로프로세서를 포함할 수도 있다. 예를 들어, 마이크로프로세서는 메모리의 인코딩 및 디코딩, 메모리의 패리티 체크, 데이터 관리 및 통신 관리, 체적-플로우 레이트들의 질량-플로우 레이트들로의 변환, 및 당업자에게 공지된 다른 기능들을 제공하도록 사용될 수도 있다. In various embodiments, and not explicitly shown but will be readily understood by those skilled in the art upon reading and understanding the disclosure provided herein, the pin-lifter test boards 210, 220 of FIGS. 2A and 2B may also be referred to as pin-lifter test boards. It may also include a microprocessor to provide a number of control functions to each of the sensors and other devices mounted on 210, 220. For example, a microprocessor may be used to encode and decode memory, check parity of memory, manage data and communications, convert volume-flow rates to mass-flow rates, and provide other functions known to those skilled in the art. there is.

이제 도 3을 참조하면, 본 명세서에 개시된 다양한 실시 예들에 따른 프로세싱 툴의 프로세스 챔버 내에 배치된 도 2b 및 도 2c의 핀-리프터 테스트 기판으로부터 데이터를 수신하기 위한 방법 (300) 의 예가 도시된다. 당업자에게 이해될 수 있을 바와 같이, 본 명세서에 기술된 임의의 또는 모든 방법 단계들은 예를 들어, 프로세스 툴의 제어기에 의해 실행될 수도 있다. Referring now to FIG. 3, an example of a method 300 for receiving data from the pin-lifter test board of FIGS. 2B and 2C disposed within the process chamber of a processing tool in accordance with various embodiments disclosed herein is shown. As will be appreciated by those skilled in the art, any or all method steps described herein may be executed, for example, by a controller of a process tool.

동작 301에서, 핀-리프터 테스트 기판은 로봇의 엔드 이펙터에 의해 프로세스 챔버 내로 로딩된다. 핀-리프터 테스트 기판은 예를 들어, 제품 기판들의 실제 보트 (boat) 또는 FOUP 전에 또는 후에 프로세스 챔버 (또는 프로세스 모듈) 내로 로딩될 수도 있다. 핀-리프터 테스트 기판은 상기 기술된 바와 같이 주기적으로 (예를 들어, 정상적인 예방적 유지 보수 스케줄의 일부로서, 시프트 당 1 회, 주 당 1 회, 등) 프로세스 툴의 상태를 체크하도록 사용될 수도 있다. At operation 301, the pin-lifter test substrate is loaded into the process chamber by the robot's end effector. The pin-lifter test board may be loaded into the process chamber (or process module) before or after the actual boat or FOUP of product boards, for example. A pin-lifter test board may be used to check the condition of a process tool periodically (e.g., once per shift, once per week, etc., as part of a normal preventive maintenance schedule) as described above. .

이 특정한 실시 예에서, 일단 엔드 이펙터가 프로세스 챔버 내의 기판-홀딩 디바이스 (예를 들어, ESC) 상에 핀-리프터 테스트 기판을 배치하면, 로봇 암은 프로세스 챔버에 머무른다. 따라서 로봇은 철수하지 (retract) 않는다. In this particular embodiment, once the end effector places the pin-lifter test substrate on a substrate-holding device (e.g., ESC) within the process chamber, the robotic arm remains in the process chamber. Therefore, the robot does not retract.

동작 303에서, 기판 핀-리프터들은 (프로세스 툴의 사용자 인터페이스를 통해) 미리 결정된 패턴 당 미리 결정된 수의 사이클들 동안 위로 (상승된, 핀-업 위치로) 그리고 아래로 (하강된, 핀-다운 위치로) 이동하도록 지시된다. 예를 들어, 미리 결정된 패턴은 핀들 각각을 하나씩, 순차적으로 이동시키고, 이어서 2 또는 3 개의 핀들의 그룹들로 이동시킬 수도 있다. At operation 303, the substrate pin-lifters move up (to a raised, pin-up position) and down (to a lowered, pin-down position) for a predetermined number of cycles per predetermined pattern (via the user interface of the process tool). instructed to move to the location. For example, a predetermined pattern may move each of the pins one by one, sequentially, and then in groups of 2 or 3 pins.

동작 305에서, 핀-리프터 테스트 기판 상의 센서들의 다양한 센서들, 예를 들어, 모션 센서들 및 힘 센서들은 메모리 디바이스 (207) 에 기록하고 그리고/또는 모션 데이터 (예를 들어, 업/다운 가속도, 경사 각들, 등) 및 힘 데이터를 포함하는 무선 통신 디바이스 (209) (도 2 참조) 를 통해 데이터를 원격 수신기 (remote receiver) 로 송신한다. 원격 수신기는 예를 들어, 로봇 암 상에 또는 프로세스 챔버 외부의 또 다른 위치에 위치될 수도 있다. At operation 305, various sensors, such as motion sensors and force sensors, on the pin-lifter test board record and/or record motion data (e.g., up/down acceleration, Data is transmitted to a remote receiver via a wireless communication device 209 (see FIG. 2) including force data (tilt angles, etc.). The remote receiver may be located, for example, on a robot arm or at another location outside the process chamber.

동작 307에서, 모든 기판 핀-리프터들이 다운 위치 또는 하강된 위치로 된 후에, 로봇은 핀-리프터 테스트 기판을 철수시키고 핀-리프터 테스트 기판을 프로세스 챔버 밖으로 이동시킨다. 이 실시 예에서, 로봇은 테스트 동안 프로세스 챔버 내에 머무른다는 것을 주의한다. 따라서, 로봇의 엔드 이펙터는 항상 핀-리프터 테스트 기판 아래에 있다. 결과적으로, 예를 들어, 기판 핀-리프터들 중 하나 이상이 파손되더라도, 프로세스 챔버로부터 핀-리프터 테스트 기판을 제거 못 할 위험이 없다. 핀-리프터 테스트 기판으로부터 (예를 들어, 메모리 디바이스 (207) 에서) 데이터가 검색되고, 기판 핀-리프터들 및 관련된 컴포넌트들 (예를 들어, ESC) 을 사용하여 문제들을 식별하도록 프로세싱될 수 있다. At operation 307, after all substrate pin-lifters are in the down or lowered position, the robot withdraws the pin-lifter test substrate and moves the pin-lifter test substrate out of the process chamber. Note that in this embodiment, the robot stays within the process chamber during testing. Therefore, the robot's end effector is always below the pin-lifter test board. As a result, there is no risk of failure to remove the pin-lifter test substrate from the process chamber, if, for example, one or more of the substrate pin-lifters breaks. Data may be retrieved from the pin-lifter test board (e.g., in memory device 207) and processed to identify problems using the board pin-lifters and associated components (e.g., ESC). .

예를 들어, 방법 (300) 은 적어도 다음의 문제들을 식별하도록 사용될 수 있다: For example, method 300 can be used to identify at least the following problems:

핀-리프터 테스트 기판이 ESC 상에 배치될 때 또는 ESC로부터 제거될 때 핀-리프터 테스트 기판의 측방향 및/또는 회전 이동에 기초하여 임의의 DA 문제들을 나타내는지 여부;Whether the pin-lifter test board exhibits any DA issues based on lateral and/or rotational movement of the pin-lifter test board when placed on or removed from the ESC;

하나 이상의 기판 핀-리프터들이 파손되었는지 여부;Whether one or more board pin-lifters are broken;

핀-리프터에 커플링된 공기 호스 (air hose) 가 파손될 수도 있는지 여부;Whether the air hose coupled to the pin-lifter may be damaged;

핀-리프터 테스트 기판으로부터 기판 홀더 (예를 들어, ESC) 로의 콘택트하는 힘이 없는지 여부;There is no contact force from the pin-lifter test board to the board holder (eg, ESC);

기판 핀-리프터들에 피딩하는 (feeding) 기압이 너무 높은지 여부 (이에 따라 예상된 상한 범위에 대한 사양 이상으로 가속도를 증가시키고, 아마도 진동을 또한 증가시킨다);whether the air pressure feeding the substrate pin-lifters is too high (thereby increasing acceleration beyond specifications for the expected upper range, and possibly also increasing vibration);

가속도가 예상된 하한 범위에 대한 사양을 벗어나면 기압이 너무 낮은지 여부;If the acceleration is outside the specifications for the expected lower range, whether the air pressure is too low;

경사 각들이 사양 내에 있지 않거나 상이한 위치들로부터의 각들이 사양을 넘어서 가변한다면 기판 핀-리프터들이 평탄화되지 않을 수도 있는지 여부;whether the substrate pin-lifters may not planarize if the tilt angles are not within specifications or if the angles from different locations vary beyond specifications;

상이한 위치들로부터의 가속도들이 너무 많이 가변한다는 결정에 기초하여 (예를 들어, 미리 결정된 허용 오차 값 또는 사양 정량기 (quantifier) 에 따라) 기판 핀-리프터들이 모두 유사하게 가속하지 않는지 여부; 및/또는Whether the substrate pin-lifters do not all accelerate similarly based on a determination that the accelerations from different positions vary too much (e.g., according to a predetermined tolerance value or specification quantifier); and/or

핀-리프터 테스트 기판 모션 데이터로부터 검색된 (그리고/또는 이에 의해 송신된) 데이터에 기초하여 재구성된 이동 시퀀스와 비교하여, 위치 센서로부터의 데이터가 예를 들어, 동작 303에서 적용된 바와 같은, 미리 결정된 패턴의 핀 사이클링과 매칭되지 않는다는 결정에 기초하여 기판 핀-리프터들 중 하나 이상에 장착된 위치 센서들이 적절하게 기능하지 않는지 여부. Compared to a movement sequence reconstructed based on data retrieved from (and/or transmitted by) the pin-lifter test board motion data, the data from the position sensor may be compared to a predetermined pattern, e.g., as applied in operation 303. Whether the position sensors mounted on one or more of the substrate pin-lifters are not functioning properly based on a determination that they do not match the pin cycling of the pins.

도 3의 방법에 대한 대안적인 실시 예들은 예를 들어, 테스트 동안 프로세스 챔버 내에 머물도록 로봇을 프로그래밍하는 대신, 사용자들의 편의를 위해 일반적인 웨이퍼-핸들링 로봇 프로그램이 사용될 수 있다. 따라서, 이 실시 예에서 로봇은 도 2a 및 도 2b의 핀-리프터 테스트 기판을 사용한 테스트 동안 프로세스 챔버로부터 철수된다. 그러나, 로봇을 철수시키는 것은 예를 들어, 핀-리프터 테스트 기판 중 하나 이상이 적절하게 기능하지 않는다면, 예를 들어, 프로세스 챔버로부터 핀-리프터 테스트 기판을 제거 못 할 위험을 제기할 수도 있다. 또한 이 실시 예에서, (도 2b의 메모리 디바이스 (207) 로부터의) 오프라인 데이터 검색 및 프로세싱 또는 (예를 들어, 여전히 프로세스 챔버 내에 있는 동안 로봇 상에 장착된) 무선 수신기에 송신될 무선 데이터에 의존하는 대신, 핀-리프터 테스트 기판이 프로세스 챔버 내부에 있는 동안 폐쇄되는 프로세스 챔버로의 액세스 도어와 함께 프로세스 챔버의 패러데이 케이지 (faraday-cage) 효과 (예를 들어, 전자기 차폐) 를 극복할 수 있다면, 실시간 무선 데이터 스트림이 가능할 수도 있다. Alternative embodiments to the method of FIG. 3 may, for example, instead of programming the robot to remain within the process chamber during testing, a generic wafer-handling robot program may be used for the convenience of users. Accordingly, in this embodiment the robot is withdrawn from the process chamber during testing using the pin-lifter test board of FIGS. 2A and 2B. However, withdrawing the robot may pose the risk of not being able to remove the pin-lifter test board from the process chamber, for example, if one or more of the pin-lifter test boards are not functioning properly. Also in this embodiment, offline data retrieval and processing (from memory device 207 in FIG. 2B) or relying on wireless data to be transmitted to a wireless receiver (e.g., mounted on the robot while still within the process chamber) Alternatively, if it were possible to overcome the Faraday-cage effect (e.g., electromagnetic shielding) of the process chamber with the access door to the process chamber being closed while the pin-lifter test board is inside the process chamber, Real-time wireless data streams may be possible.

다양한 실시 예들에서, 도 3의 방법 (300) 은 또한 핀-리프터 테스트 기판을 제거 못 할 위험이 거의 없다는 것을 검증하기 위해 기판 핀-리프터들의 "건전성 테스트 (health test)"를 수행하도록 로봇의 엔드 이펙터가 초기에 프로세스 챔버 내에 남아 있도록 프로그래밍하는 것을 포함할 수 있다. 기판 핀-리프터들의 양호한 건전성을 확인한 후, 방법 (300) 의 이 실시 예는 프로세스 챔버로부터 철수하도록 로봇을 프로그래밍하는 단계, 프로세스 챔버 내에 핀-리프터 테스트 기판을 남기는 단계, 프로세스 챔버에 진공을 인가하는 단계, 및 부가적인 테스트를 수행하는 단계를 포함한다. 부가적인 테스트는 예를 들어, 헬륨-플로우 테스트, 헬륨-압력 테스트, 또는 프로세스 챔버 내의 진공 상태들, 또는 로봇으로 하여금 프로세스 챔버 내에 남아 있지 않게 할 상태들을 필요로 하는 다른 테스트들을 포함할 수도 있다. In various embodiments, the method 300 of FIG. 3 also allows the end of the robot to perform a “health test” of the substrate pin-lifters to verify that there is little risk of failure to remove the pin-lifter test substrate. This may include programming the effector to initially remain within the process chamber. After verifying the good health of the substrate pin-lifters, this embodiment of method 300 includes programming the robot to withdraw from the process chamber, leaving the pin-lifter test substrate within the process chamber, and applying a vacuum to the process chamber. steps, and performing additional tests. Additional testing may include, for example, a helium-flow test, a helium-pressure test, or other tests that require vacuum conditions within the process chamber, or conditions that will not cause the robot to remain within the process chamber.

전반적으로, 본 명세서에 포함된 개시된 주제는 반도체 제조 환경 (fab) 에서 "툴들"의 동작들을 기술하거나 일반적으로 관련된다. 이러한 툴들은 다양한 유형들의 증착 (ALD (Atomic Layer Deposition), CVD (Chemical Vapor Deposition), PECVD (Plasma-Enhanced CVD), 등과 같은 플라즈마-기반 툴들을 포함하는) 및 에칭 툴들 (예를 들어, RIE (Reactive-Ion Etching) 툴들), 뿐만 아니라 다양한 유형들의 열적 퍼니스들 (furnaces) (예를 들어, 예컨대 고속 열 어닐링 및 산화), 이온 주입, 및 다양한 다양한 공장들에서 발견되고 당업자에게 공지된 다른 프로세스들 및 계측 툴들을 포함할 수 있다. 그러나, 개시된 주제는 반도체 환경들에 한정되지 않고, 로봇 어셈블리, 제작, 및 머시닝 분위기들과 같은 다수의 머신-툴 분위기들에서 사용될 수 있다. Overall, the disclosed subject matter included herein describes or generally relates to the operations of “tools” in a semiconductor manufacturing environment (fab). These tools include various types of deposition (including plasma-based tools such as Atomic Layer Deposition (ALD), Chemical Vapor Deposition (CVD), Plasma-Enhanced CVD (PECVD), etc.) and etching tools (e.g., RIE ( Reactive-Ion Etching tools), as well as various types of thermal furnaces (e.g., fast thermal annealing and oxidation), ion implantation, and other processes found in a variety of different plants and known to those skilled in the art. and measurement tools. However, the disclosed subject matter is not limited to semiconductor environments and can be used in many machine-tool environments such as robotic assembly, fabrication, and machining environments.

본 명세서에 제공된 개시를 읽고 이해하면, 당업자는 개시된 주제의 다양한 실시 예들이 ESC에 더하여, 다른 유형들의 기판-홀딩 디바이스들과 함께 사용될 수도 있다는 것을 인식할 것이다. 예를 들어, 반도체 및 관련된 산업계들에서 사용되는 다양한 유형들의 세정, 계측, 및 프로세스 툴들은 예를 들어, 진공-제어된 기판-홀딩 디바이스들을 사용한다. 예를 들어, 다양한 유형들의 기판-홀딩 디바이스들은 분자 접착력, 반 데르 발스 (Van der Waal) 힘들, 정전기력들, 및 다른 근접장 (near-field) 콘택트 힘들과 같은 힘들로 인해 기판을 기판 홀딩 디바이스들에 접착하거나 달리 부착하는 문제들을 가질 수도 있다. 따라서 기술된 바와 같이, 개시된 주제의 다양한 실시 예들은 본 명세서에 기술된 바와 같이 다양한 유형들의 프로세스 툴들 및 다른 기판 핸들링 툴들을 모니터링하도록 사용될 수 있는 핀-리프터 테스트 기판을 제공한다. Upon reading and understanding the disclosure provided herein, one skilled in the art will recognize that various embodiments of the disclosed subject matter may be used with other types of substrate-holding devices, in addition to an ESC. For example, various types of cleaning, metrology, and process tools used in the semiconductor and related industries use vacuum-controlled substrate-holding devices, for example. For example, various types of substrate-holding devices adhere the substrate to the substrate-holding devices due to forces such as molecular adhesion, Van der Waal forces, electrostatic forces, and other near-field contact forces. They may have adhesive or other attachment problems. Accordingly, as described, various embodiments of the disclosed subject matter provide a pin-lifter test board that can be used to monitor various types of process tools and other substrate handling tools as described herein.

본 명세서 전반에서, 복수의 예들이 단일 예로서 기술된 컴포넌트들, 동작들, 또는 구조체들을 구현할 수도 있다. 하나 이상의 방법들의 개별 동작들이 별도의 동작들로 예시되고 기술되었지만, 개별 동작들 중 하나 이상은 동시에 수행될 수도 있고, 동작들이 예시된 순서로 수행될 것을 요구하지 않는다. 예시적인 구성들에서 별도의 컴포넌트들로서 제시된 구조체들 및 기능성은 결합된 구조체 또는 컴포넌트로서 구현될 수도 있다. 유사하게, 단일 컴포넌트로서 제시된 구조체들 및 기능성은 별도의 컴포넌트들로서 구현될 수도 있다. 이들 및 다른 변동들, 수정들, 부가들, 및 개선들이 본 명세서의 주제 범위 내에 속한다. Throughout this specification, multiple examples may implement components, operations, or structures described as a single example. Although the individual acts of one or more methods are illustrated and described as separate acts, one or more of the individual acts may be performed simultaneously, and there is no requirement that the acts be performed in the order illustrated. Structures and functionality presented as separate components in example configurations may be implemented as a combined structure or component. Similarly, structures and functionality presented as a single component may be implemented as separate components. These and other variations, modifications, additions, and improvements are within the scope of the subject matter of this disclosure.

본 명세서에 사용된 바와 같이, 용어 "또는"은 포괄적이거나 배타적인 의미로 해석될 수도 있다. 또한, 다른 실시 예들은 제공된 개시를 읽고 이해하면 당업자에 의해 이해될 것이다. 또한, 본 명세서에 제공된 개시를 읽고 이해하면, 당업자는 본 명세서에 제공된 기법들 및 예들의 다양한 조합들이 모두 다양한 조합들에서 적용될 수도 있다는 것을 쉽게 이해할 것이다. As used herein, the term “or” may be interpreted in an inclusive or exclusive sense. Additionally, other embodiments will be understood by those skilled in the art upon reading and understanding the provided disclosure. Additionally, upon reading and understanding the disclosure provided herein, those skilled in the art will readily understand that various combinations of the techniques and examples provided herein may all be applied in various combinations.

다양한 실시 예들이 개별적으로 논의되었지만, 이들 개별적인 실시 예들은 독립적인 기법들 또는 설계들로 고려되도록 의도되지 않았다. 상기 나타낸 바와 같이, 다양한 부분들 각각은 상호 연관될 수도 있고, 각각은 개별적으로 또는 본 명세서에 논의된 다른 실시 예들과 조합하여 사용될 수도 있다. 예를 들어, 방법들, 동작들, 및 프로세스들의 다양한 실시 예들이 기술되었지만, 이들 방법들, 동작들, 및 프로세스들은 다양한 조합들로 또는 개별적으로 사용될 수도 있다. Although various embodiments have been discussed individually, these individual embodiments are not intended to be considered independent techniques or designs. As indicated above, each of the various parts may be interrelated, and each may be used individually or in combination with other embodiments discussed herein. For example, although various embodiments of methods, operations, and processes have been described, these methods, operations, and processes may be used individually or in various combinations.

결과적으로, 본 명세서에 제공된 개시를 읽고 이해하면 당업자에게 명백할 바와 같이, 많은 수정들 및 변동들이 이루어질 수 있다. 예를 들어, 다양한 실시 예들에서, 그리고 도 2a 및 도 2b를 참조하면, 다양한 모션 센서들, 힘 센서들, 메모리 디바이스, 및 통신 디바이스 각각은 핀-리프터 테스트 기판 상에 직접 조립될 수도 있다. 다른 실시 예들에서, 다양한 모션 센서들, 힘 센서들, 메모리 디바이스, 및 통신 디바이스 각각은 조립될 수도 있고, 또는 그렇지 않으면 나중에 핀-리프터 테스트 기판 상에 장착되는 인쇄 회로 기판 상에 직접 형성될 수도 있다. 또 다른 실시 예들에서, 다양한 모션 센서들, 힘 센서들, 메모리 디바이스, 및 통신 디바이스 중 일부는 핀-리프터 테스트 기판 상에 직접 조립될 수도 있는 한편, 다른 컴포넌트들은 나중에 핀-리프터 테스트 기판 상에 장착되는 인쇄 회로 기판 상에 직접 조립된다. As a result, many modifications and variations may be made, as will become apparent to those skilled in the art upon reading and understanding the disclosure provided herein. For example, in various embodiments, and referring to Figures 2A and 2B, various motion sensors, force sensors, memory device, and communication device each may be assembled directly on a pin-lifter test board. In other embodiments, each of the various motion sensors, force sensors, memory device, and communication device may be assembled or otherwise formed directly on a printed circuit board that is later mounted on a pin-lifter test board. . In still other embodiments, some of the various motion sensors, force sensors, memory devices, and communication devices may be assembled directly on the pin-lifter test board, while other components may be mounted on the pin-lifter test board at a later time. It is assembled directly on a printed circuit board.

또한, 본 명세서에 열거된 것들에 더하여, 본 개시의 범위 내의 기능적으로 동등한 방법들 및 디바이스들은 전술한 기술들로부터 당업자에게 분명할 것이다. 일부 실시 예들의 부분들 및 특징들은 다른 실시 예들의 부분들 및 특징들에 포함될 수도 있고, 또는 이들을 대체할 수도 있다. 이러한 수정들 및 변동들은 첨부한 청구항들의 범위 내에 속하도록 의도된다. 따라서, 본 개시는 이러한 청구항들이 자격을 주는 등가물들의 전체 범위에 따라, 첨부된 청구항들의 조건들에 의해서만 제한되는 것이다. 본 명세서에 사용된 용어는 특정한 실시 예들만을 기술할 목적을 위한 것이고, 제한하는 것으로 의도되지 않았다는 것이 또한 이해된다. Additionally, functionally equivalent methods and devices within the scope of the present disclosure in addition to those listed herein will be apparent to those skilled in the art from the foregoing description. Portions and features of some embodiments may be included in, or may replace, portions and features of other embodiments. Such modifications and variations are intended to be within the scope of the appended claims. Accordingly, the present disclosure is limited only by the terms of the appended claims, along with the full scope of equivalents to which such claims are entitled. It is also understood that the terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting.

본 개시의 요약은 독자로 하여금 기술 개시의 본질을 빠르게 확인하게 하도록 제공된다. 요약은 이것이 청구항들을 해석하거나 제한하도록 사용되지 않을 것이라는 이해와 함께 제출된다. 또한, 전술한 발명을 실시하기 위한 구체적인 내용에서, 다양한 특징들이 본 개시를 간소화할 목적을 위해 단일 실시 예에서 함께 그룹화될 수도 있다는 것을 알 수도 있다. 개시의 이 방법은 청구항들을 제한하는 것으로 해석되지 않는다. 따라서, 이하의 청구항들은 본 명세서에서 발명을 실시하기 위한 구체적인 내용에 통합되고, 청구항 각각은 개별적인 실시 예로서 독립된다. This summary of the disclosure is provided to enable the reader to quickly ascertain the essence of the disclosure. The abstract is submitted with the understanding that it will not be used to interpret or limit the claims. Additionally, in the context of practicing the foregoing invention, it may be appreciated that various features may be grouped together in a single embodiment for the purpose of streamlining the disclosure. This manner of disclosure should not be construed as limiting the claims. Accordingly, the following claims are incorporated into the detailed description for carrying out the invention herein, and each claim stands alone as an individual embodiment.

Claims (28)

복수의 모션 센서들로서, 상기 모션 센서들은 경사계들 (inclinometers) 및 가속도계들을 포함하는 센서 유형들로부터 선택된 적어도 하나의 유형의 센서를 포함하는, 상기 복수의 모션 센서들;
핀-리프터 (pin-lifter) 테스트 기판이 기판-홀딩 디바이스 상에 배치될 때 복수의 기판 핀-리프터들의 대응하는 위치들에 근접하게 위치된 하나 이상의 힘 센서들;
상기 복수의 모션 센서들 및 상기 하나 이상의 힘 센서들로부터 수신된 데이터를 송신하도록 구성된 통신 디바이스; 및
상기 통신 디바이스에 통신 가능하게 커플링되고 상기 복수의 모션 센서들 및 상기 하나 이상의 힘 센서들로부터 수신된 데이터를 기록하도록 구성된 메모리 디바이스를 포함하고,
상기 하나 이상의 힘 센서들은 상기 핀-리프터 테스트 기판으로부터 상기 기판-홀딩 디바이스로 콘택트하는 힘이 있는지 여부를 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
a plurality of motion sensors, the motion sensors comprising at least one type of sensor selected from sensor types including inclinometers and accelerometers;
pin-lifter one or more force sensors positioned proximate to corresponding positions of a plurality of substrate pin-lifters when a test substrate is placed on a substrate-holding device;
a communication device configured to transmit data received from the plurality of motion sensors and the one or more force sensors; and
a memory device communicatively coupled to the communication device and configured to record data received from the plurality of motion sensors and the one or more force sensors;
wherein the one or more force sensors are configured to determine whether there is a force making contact from the pin-lifter test board to the substrate-holding device.
제 1 항에 있어서,
상기 핀-리프터 테스트 기판은 실리콘 웨이퍼와 동일하거나 유사한 치수들을 갖는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
The pin-lifter test board system of claim 1, wherein the pin-lifter test board has dimensions identical to or similar to a silicon wafer.
제 1 항에 있어서,
상기 핀-리프터 테스트 기판은 스테인리스 스틸, 알루미늄과 이들의 합금들, 및 다양한 유형들의 세라믹들을 포함하는 재료들로부터 선택된 적어도 하나의 재료로부터 형성되는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
The pin-lifter test substrate is formed from at least one material selected from materials including stainless steel, aluminum and alloys thereof, and various types of ceramics.
제 1 항에 있어서,
상기 경사계들은 상기 핀-리프터 테스트 기판의 경사 (slope) 또는 틸팅 (tilt) 을 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
The pin-lifter test board system of claim 1, wherein the inclinometers are configured to determine a slope or tilt of the pin-lifter test board.
제 1 항에 있어서,
상기 경사계들은 상기 핀-리프터 테스트 기판의 국부적인 강하 (depression) 를 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
wherein the inclinometers are configured to determine local depression of the pin-lifter test board.
제 1 항에 있어서,
상기 경사계들은 상기 기판-홀딩 디바이스 상의 상기 복수의 기판 핀-리프터들 중 하나 이상이 파손되었는지 여부를 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
wherein the inclinometers are configured to determine whether one or more of the plurality of substrate pin-lifters on the substrate-holding device is broken.
삭제delete 제 1 항에 있어서,
상기 가속도계들은 상기 복수의 기판 핀-리프터들에 피딩하는 (feeding) 기압이 너무 높은지 여부를 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
wherein the accelerometers are configured to determine whether the air pressure feeding the plurality of substrate pin-lifters is too high.
제 1 항에 있어서,
상기 가속도계들은 상기 복수의 기판 핀-리프터들에 피딩하는 기압이 너무 낮은지 여부를 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
wherein the accelerometers are configured to determine whether the air pressure feeding the plurality of substrate pin-lifters is too low.
제 1 항에 있어서,
상기 가속도계들은 상기 핀-리프터 테스트 기판 상의 진동들을 측정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
wherein the accelerometers are configured to measure vibrations on the pin-lifter test board.
제 1 항에 있어서,
상기 통신 디바이스는 상기 복수의 모션 센서들 및 상기 하나 이상의 힘 센서들로부터 수신된 데이터를 원격 수신기 (remote receiver) 로 송신하도록 구성된 무선 통신 디바이스인, 핀-리프터 테스트 기판 시스템.
According to claim 1,
wherein the communication device is a wireless communication device configured to transmit data received from the plurality of motion sensors and the one or more force sensors to a remote receiver.
제 11 항에 있어서,
상기 무선 통신 디바이스는 무선 주파수 송신기들, 블루투스 송신기들, 적외선 (IR) 송신기들, 및 광-통신 송신기들을 포함하는 적어도 하나의 유형의 무선 통신 디바이스로부터 선택되는, 핀-리프터 테스트 기판 시스템.
According to claim 11,
wherein the wireless communication device is selected from at least one type of wireless communication device including radio frequency transmitters, Bluetooth transmitters, infrared (IR) transmitters, and optical-communication transmitters.
제 1 항에 있어서,
적어도 온도 센서, 압력 센서, 및 플로우 센서로부터 선택된 센서 유형을 포함하는 적어도 하나의 부가적인 센서를 더 포함하는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
The pin-lifter test board system further comprising at least one additional sensor comprising a sensor type selected from at least a temperature sensor, a pressure sensor, and a flow sensor.
제 13 항에 있어서,
상기 온도 센서는 상기 핀-리프터 테스트 기판의 다양한 위치들로부터 온도를 결정하도록 구성되는 복수의 온도 센서들을 포함하는, 핀-리프터 테스트 기판 시스템.
According to claim 13,
wherein the temperature sensor includes a plurality of temperature sensors configured to determine temperatures from various locations on the pin-lifter test board.
제 13 항에 있어서,
상기 압력 센서는 상기 핀-리프터 테스트 기판의 후면 상에 인가된 가스 압력을 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
According to claim 13,
wherein the pressure sensor is configured to determine gas pressure applied on the backside of the pin-lifter test board.
제 1 항에 있어서,
상기 복수의 모션 센서들, 상기 하나 이상의 힘 센서들, 상기 메모리 디바이스, 및 상기 통신 디바이스는 상기 핀-리프터 테스트 기판 상에 직접 조립되는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
wherein the plurality of motion sensors, the one or more force sensors, the memory device, and the communication device are assembled directly on the pin-lifter test board.
제 1 항에 있어서,
상기 복수의 모션 센서들, 상기 하나 이상의 힘 센서들, 상기 메모리 디바이스, 및 상기 통신 디바이스는 인쇄 회로 기판 상에 조립되고, 상기 인쇄 회로 기판은 후속하여 상기 핀-리프터 테스트 기판 상에 장착되는, 핀-리프터 테스트 기판 시스템.
According to claim 1,
The plurality of motion sensors, the one or more force sensors, the memory device, and the communication device are assembled on a printed circuit board, the printed circuit board being subsequently mounted on the pin-lifter test board. -Lifter test board system.
복수의 기판 핀-리프터들을 갖는 기판-홀딩 디바이스;
상기 기판-홀딩 디바이스에 통신 가능하게 커플링된 제어기로서,
로봇의 엔드 이펙터를 사용하여, 기판 프로세싱 시스템의 적어도 하나의 프로세스 챔버 내의 상기 기판-홀딩 디바이스 상으로 핀-리프터 테스트 기판을 로딩하고,
상기 핀-리프터 테스트 기판 상에 장착된 복수의 모션 센서들 및 상기 핀-리프터 테스트 기판 상에 장착되고 상기 핀-리프터 테스트 기판이 상기 기판-홀딩 디바이스 상에 배치될 때 상기 복수의 기판 핀-리프터들의 대응하는 위치들에 근접하게 위치된 복수의 힘 센서들로부터 데이터를 수신하고―상기 모션 센서들은 경사계들 및 가속도계들을 포함하는 센서 유형들로부터 선택된 적어도 하나의 유형의 센서를 포함함―, 그리고
상기 수신된 데이터를 상기 핀-리프터 테스트 기판으로부터 원위에 위치된 수신기로 송신하고 상기 수신된 데이터를 상기 핀-리프터 테스트 기판 상에 장착된 메모리 디바이스에 저장하는 것을 포함하는 동작들로부터 선택된 적어도 하나의 유형의 동작을 포함하는 동작을 수행하도록 구성된 실행 가능한 인스트럭션들을 갖는, 상기 제어기를 포함하고,
상기 제어기는,
상기 핀-리프터 테스트 기판이 상기 데이터를 수신하는 동안 상기 로봇의 상기 엔드 이펙터를 상기 프로세스 챔버 내에 유지하고,
미리 결정된 패턴 당 미리 결정된 수의 사이클들 동안 상기 복수의 기판 핀-리프터들에 상승된 핀-업 위치, 및 하강된 핀-다운 위치로 이동하도록 지시하고, 그리고
상기 모션 센서들에 의해 상기 복수의 기판 핀-리프터들로부터 수신된 상기 데이터를 상기 핀-리프터 테스트 기판으로부터 원위에 위치된 상기 수신기로 무선으로 송신하는 것 및 상기 핀-리프터 테스트 기판 상에 장착된 상기 메모리 디바이스로 상기 수신된 데이터를 저장하는 것으로부터 선택된 적어도 하나의 동작을 포함하는 동작을 수행하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
a substrate-holding device having a plurality of substrate pin-lifters;
A controller communicatively coupled to the substrate-holding device,
Using a robotic end effector, load a pin-lifter test substrate onto the substrate-holding device in at least one process chamber of a substrate processing system,
A plurality of motion sensors mounted on the pin-lifter test board and a plurality of substrate pin-lifters mounted on the pin-lifter test board when the pin-lifter test board is placed on the substrate-holding device. receive data from a plurality of force sensors positioned proximate to corresponding locations of the motion sensors, the motion sensors comprising at least one type of sensor selected from sensor types including inclinometers and accelerometers, and
at least one selected from operations comprising transmitting the received data to a receiver positioned distally from the pin-lifter test board and storing the received data in a memory device mounted on the pin-lifter test board. a controller having executable instructions configured to perform operations including tangible operations;
The controller is,
maintaining the end effector of the robot within the process chamber while the pin-lifter test board receives the data;
instruct the plurality of substrate pin-lifters to move to a raised pin-up position and a lowered pin-down position for a predetermined number of cycles per predetermined pattern, and
wirelessly transmitting the data received from the plurality of substrate pin-lifters by the motion sensors to the receiver located distally from the pin-lifter test board and mounted on the pin-lifter test board. and executable instructions configured to perform operations including at least one operation selected from storing the received data to the memory device.
제 18 항에 있어서,
상기 수신된 데이터를 송신하는 상기 동작은 무선으로 수행되도록 구성되는, 기판 프로세싱 시스템.
According to claim 18,
and the act of transmitting the received data is configured to be performed wirelessly.
삭제delete 제 18 항에 있어서,
상기 제어기는 상승된, 핀-업 위치, 및 하강된, 핀-다운 위치로부터 수신된 데이터에 기초하여, 상기 기판 핀-리프터들 중 하나 이상이 오작동하는지 여부를 결정하게 하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
According to claim 18,
The controller may further include executable instructions configured to determine whether one or more of the substrate pin-lifters is malfunctioning based on data received from a raised, pin-up position and a lowered, pin-down position. Including, a substrate processing system.
제 18 항에 있어서,
상기 제어기는 상승된, 핀-업 위치, 및 하강된, 핀-다운 위치로부터 수신된 데이터에 기초하여, 상기 기판 핀-리프터들에 커플링된 공기 호스 (air hose) 가 오작동하는지 여부를 결정하게 하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
According to claim 18,
The controller determines whether an air hose coupled to the substrate pin-lifters is malfunctioning based on data received from the raised, pin-up position and the lowered, pin-down position. A substrate processing system, further comprising executable instructions configured to:
제 18 항에 있어서,
상기 제어기는 상기 핀-리프터 테스트 기판을 상기 기판-홀딩 디바이스 상에 배치한 후 상기 핀-리프터 테스트 기판을 사용한 테스트 동안 상기 프로세스 챔버로부터 상기 로봇의 상기 엔드 이펙터를 철수시키도록 (retract) 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
According to claim 18,
The controller is operable configured to retract the end effector of the robot from the process chamber during testing with the pin-lifter test board after placing the pin-lifter test board on the substrate-holding device. A substrate processing system, further comprising instructions.
제 23 항에 있어서,
상기 제어기는,
상기 프로세스 챔버로의 액세스 도어 (access door) 를 개방 위치에 두고, 그리고
상기 핀-리프터 테스트 기판으로부터 상기 수신된 데이터를 상기 로봇 상에 장착된 수신기로 무선으로 송신하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
According to claim 23,
The controller is,
Place the access door to the process chamber in the open position, and
and executable instructions configured to wirelessly transmit the received data from the pin-lifter test board to a receiver mounted on the robot.
제 18 항에 있어서,
상기 제어기는 상기 복수의 모션 센서들로부터 수신된 데이터에 기초하여 상기 프로세스 챔버로부터 상기 핀-리프터 테스트 기판을 제거한 후 상기 핀-리프터 테스트 기판의 동적 정렬을 모니터링하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
According to claim 18,
wherein the controller further comprises executable instructions configured to monitor dynamic alignment of the pin-lifter test substrate after removing the pin-lifter test substrate from the process chamber based on data received from the plurality of motion sensors. Substrate processing system.
제 18 항에 있어서,
상기 제어기는 상기 복수의 모션 센서들로부터 수신된 데이터에 기초하여, 상기 기판-홀딩 디바이스의 경사 각이 상기 경사 각에 대해 미리 결정된 값에 기초한 사양 내에 있는지 여부를 결정하게 하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
According to claim 18,
The controller may further include executable instructions configured to determine, based on data received from the plurality of motion sensors, whether a tilt angle of the substrate-holding device is within specifications based on a predetermined value for the tilt angle. Including, a substrate processing system.
제 18 항에 있어서,
상기 제어기는 상기 복수의 모션 센서들로부터 수신된 데이터에 기초하여, 상기 기판 핀-리프터들이 가속에 대해 미리 결정된 허용 오차 값에 기초하여 모두 유사하게 가속하는지 여부를 결정하게 하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
According to claim 18,
The controller may further include executable instructions configured to cause, based on data received from the plurality of motion sensors, to determine whether the substrate pin-lifters all accelerate similarly based on a predetermined tolerance value for acceleration. Including, a substrate processing system.
프로세스 챔버;
복수의 기판 핀-리프터들을 갖고 상기 프로세스 챔버 내에 위치된 기판-홀딩 디바이스;
상기 기판-홀딩 디바이스 상에 기판을 배치하도록 구성된 엔드 이펙터를 갖는 로봇;
상기 로봇의 상기 엔드 이펙터에 의해 상기 기판-홀딩 디바이스 상에 배치되도록 구성된 핀-리프터 테스트 기판으로서,
경사계들 및 가속도계들을 포함하는 센서 유형들로부터 선택된 적어도 하나의 유형의 센서를 포함하는 복수의 모션 센서들;
상기 핀-리프터 테스트 기판이 기판-홀딩 디바이스 상에 배치될 때 상기 복수의 기판 핀-리프터들의 대응하는 위치들에 근접하게 위치된 하나 이상의 힘 센서들; 및
상기 복수의 모션 센서들 및 상기 하나 이상의 힘 센서들로부터 수신된 데이터를 송신하도록 구성된 통신 디바이스를 포함하는, 상기 핀-리프터 테스트 기판;
상기 복수의 모션 센서들 및 상기 하나 이상의 힘 센서들로부터 수신된 데이터를 기록하도록 구성된 메모리 디바이스; 및
상기 기판-홀딩 디바이스 및 상기 엔드 이펙터를 갖는 상기 로봇에 통신 가능하게 커플링된 제어기로서, 상기 제어기는 적어도 상기 핀-리프터 테스트 기판과 관련된 기판 프로세싱 시스템의 동작들을 제어하도록 구성된 실행 가능한 인스트럭션들을 갖는, 상기 제어기를 포함하고,
상기 하나 이상의 힘 센서들은 상기 핀-리프터 테스트 기판으로부터 상기 기판-홀딩 디바이스로 콘택트하는 힘이 있는지 여부를 결정하도록 구성되는, 기판 프로세싱 시스템.
process chamber;
a substrate-holding device positioned within the process chamber having a plurality of substrate pin-lifters;
a robot having an end effector configured to place a substrate on the substrate-holding device;
A pin-lifter test substrate configured to be placed on the substrate-holding device by the end effector of the robot,
a plurality of motion sensors including at least one type of sensor selected from sensor types including inclinometers and accelerometers;
one or more force sensors positioned proximate to corresponding positions of the plurality of substrate pin-lifters when the pin-lifter test substrate is placed on a substrate-holding device; and
the pin-lifter test board comprising a communication device configured to transmit data received from the plurality of motion sensors and the one or more force sensors;
a memory device configured to record data received from the plurality of motion sensors and the one or more force sensors; and
a controller communicatively coupled to the substrate-holding device and the robot with the end effector, the controller having executable instructions configured to control operations of a substrate processing system associated with at least the pin-lifter test substrate, Including the controller,
The one or more force sensors are configured to determine whether there is a force making contact from the pin-lifter test substrate to the substrate-holding device.
KR1020217020699A 2018-12-03 2018-12-03 PIN-LIFTER TEST BOARD KR102633264B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2018/063652 WO2020117201A1 (en) 2018-12-03 2018-12-03 Pin-lifter test substrate

Publications (2)

Publication Number Publication Date
KR20210089253A KR20210089253A (en) 2021-07-15
KR102633264B1 true KR102633264B1 (en) 2024-02-02

Family

ID=70973507

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217020699A KR102633264B1 (en) 2018-12-03 2018-12-03 PIN-LIFTER TEST BOARD

Country Status (6)

Country Link
US (1) US20220013388A1 (en)
JP (1) JP7341237B2 (en)
KR (1) KR102633264B1 (en)
CN (1) CN113169090A (en)
TW (1) TWI838432B (en)
WO (1) WO2020117201A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102435940B1 (en) * 2019-10-31 2022-08-24 세메스 주식회사 Substrate warping monitoring device, substrate warping monitoring method, substrate processing apparatus and substrate-type sensor
CN112437533A (en) * 2020-12-07 2021-03-02 大连理工大学 Power supply system and method for improving plasma uniformity
KR102532567B1 (en) * 2020-12-31 2023-05-16 세메스 주식회사 Substrate type sensor and method of measuring the impact point and the impact force of chemical
US11891288B2 (en) 2021-10-28 2024-02-06 Toyota Research Institute, Inc. Sensors having a deformable layer and a rugged cover layer and robots incorporating the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040031340A1 (en) * 2002-01-24 2004-02-19 Renken Wayne G. Process condition sensing wafer and data analysis system
US20070022832A1 (en) * 1998-03-06 2007-02-01 Reginald Hunter Sensor device for non-intrusive diagnosis of a semiconductor processing system
JP2012004490A (en) * 2010-06-21 2012-01-05 Tokyo Electron Ltd Substrate conveying apparatus and substrate conveying method

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3250285B2 (en) * 1992-11-26 2002-01-28 セイコーエプソン株式会社 Substrate to be processed provided with information measuring means
WO2000068986A1 (en) * 1999-05-07 2000-11-16 Tokyo Electron Limited Method and apparatus for vacuum treatment
US20030115978A1 (en) * 2001-12-20 2003-06-26 Moehnke Stephanie J. Apparatus and method for monitoring environment within a container
KR20040005089A (en) * 2002-07-08 2004-01-16 삼성전자주식회사 Apparatus and method for leveling chuck of wafer
US7151366B2 (en) * 2002-12-03 2006-12-19 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US7171334B2 (en) * 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
JP2006310349A (en) * 2005-04-26 2006-11-09 Renesas Technology Corp System and method of manufacturing semiconductor device
JP4956328B2 (en) * 2007-08-24 2012-06-20 東京エレクトロン株式会社 Adjusting method for position of transfer arm and jig for position detection
KR20090058317A (en) * 2007-12-04 2009-06-09 주식회사 동부하이텍 System for measuring level of wafer supporting pin and method for measuring level of wafer supporting pin
DE102009016811A1 (en) * 2009-04-09 2010-10-14 Aes Motomation Gmbh Method for automatically measuring and teaching positional positions of objects within a substrate processing system by means of sensor carriers and associated sensor carriers
CN101872733B (en) * 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 System and method for sensing and removing residual charge of processed semiconductor process component
KR101090333B1 (en) * 2009-06-03 2011-12-07 주식회사 쎄믹스 Wafer probe station being capable of active chuck tilting control and control method thereof
JP5083339B2 (en) * 2010-02-04 2012-11-28 東京エレクトロン株式会社 Substrate transport apparatus, substrate transport method, and storage medium
US8840754B2 (en) * 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
JP2016146416A (en) * 2015-02-09 2016-08-12 株式会社Screenホールディングス Method and system for substrate processing
US11342210B2 (en) * 2018-09-04 2022-05-24 Applied Materials, Inc. Method and apparatus for measuring wafer movement and placement using vibration data
US11589474B2 (en) * 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070022832A1 (en) * 1998-03-06 2007-02-01 Reginald Hunter Sensor device for non-intrusive diagnosis of a semiconductor processing system
US20040031340A1 (en) * 2002-01-24 2004-02-19 Renken Wayne G. Process condition sensing wafer and data analysis system
JP2012004490A (en) * 2010-06-21 2012-01-05 Tokyo Electron Ltd Substrate conveying apparatus and substrate conveying method

Also Published As

Publication number Publication date
JP7341237B2 (en) 2023-09-08
TWI838432B (en) 2024-04-11
JP2022520692A (en) 2022-04-01
CN113169090A (en) 2021-07-23
TW202038361A (en) 2020-10-16
US20220013388A1 (en) 2022-01-13
KR20210089253A (en) 2021-07-15
WO2020117201A1 (en) 2020-06-11

Similar Documents

Publication Publication Date Title
KR102633264B1 (en) PIN-LIFTER TEST BOARD
US20210013079A1 (en) Apparatus, system, and method for handling aligned wafer pairs
KR102587203B1 (en) On-the-fly automatic wafer centering method and device
US9689916B2 (en) Method for determining set value of pressure for inspection in wafer inspection apparatus
US11430688B2 (en) Two-stage pin lifter for de-chuck operations
JP5449239B2 (en) Substrate processing apparatus, substrate processing method, and storage medium storing program
KR102537289B1 (en) The laminated substrate manufacturing method, the laminated substrate manufacturing device, and the laminated substrate manufacturing system and substrate processing apparatus
WO2021022291A1 (en) Integrated adaptive positioning systems and routines for automated wafer-handling robot teach and health check
JP6988801B2 (en) Laminating equipment and laminating method
JP5572575B2 (en) Substrate positioning apparatus, substrate processing apparatus, substrate positioning method, and storage medium storing program
TWI616975B (en) Substrate holder and substrate bonding device
KR20150052183A (en) Multifunction wafer and film frame handling system
CN110718491B (en) Wafer processing method and wafer processing module
JP7262170B2 (en) Smart vibrating wafer with optional integration with semiconductor processing tools
TWI827744B (en) Pin lifting device with condition monitoring
JP7285739B2 (en) How to clean the prober and probe card
JP5707793B2 (en) Substrate bonding apparatus, substrate bonding method, and laminated semiconductor device manufacturing method
JP5560590B2 (en) Substrate bonding equipment

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant