KR102616536B1 - 확률적 레티클 결함 처리 - Google Patents

확률적 레티클 결함 처리 Download PDF

Info

Publication number
KR102616536B1
KR102616536B1 KR1020217036240A KR20217036240A KR102616536B1 KR 102616536 B1 KR102616536 B1 KR 102616536B1 KR 1020217036240 A KR1020217036240 A KR 1020217036240A KR 20217036240 A KR20217036240 A KR 20217036240A KR 102616536 B1 KR102616536 B1 KR 102616536B1
Authority
KR
South Korea
Prior art keywords
product
reticle
simulated
samples
elements
Prior art date
Application number
KR1020217036240A
Other languages
English (en)
Other versions
KR20210138122A (ko
Inventor
모쉬 이. 프레일
존 제이. 비아포어
프렛 알렉스 바글리오
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20210138122A publication Critical patent/KR20210138122A/ko
Application granted granted Critical
Publication of KR102616536B1 publication Critical patent/KR102616536B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Signal Processing (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Measuring Or Testing Involving Enzymes Or Micro-Organisms (AREA)

Abstract

확률적 레티클 결함 처리를 위한 시스템이 개시된다. 본 시스템은 하나 이상의 프로세서와 메모리를 포함하는 제어기를 포함한다. 하나 이상의 프로세서는 제품 레티클의 제품 계측 데이터를 획득하도록 구성된다. 하나 이상의 프로세서는 요소들의 패턴을 포함하는 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 제품 계측 데이터에 기초하여 하나 이상의 확률적 시뮬레이션을 수행하도록 구성된다. 하나 이상의 프로세서는 제품 레티클에 의한 요소들의 패턴의 인쇄 프로세스를 모델링하는 제품 레티클의 제품 모델을 생성하도록 구성된다. 하나 이상의 프로세서는 제품 모델에 기초하여 제품 샘플 상에 확률적 결함을 인쇄하는 것에 영향을 받는 제품 레티클의 관리 영역 또는 인쇄된 확률적 결함에 영향을 받는 하나 이상의 시뮬레이션된 제품 샘플 상의 관리 영역 중 적어도 하나를 식별하도록 구성된다.

Description

확률적 레티클 결함 처리
[관련 출원의 상호 참조]
본 출원은, 그 전체가 본 명세서에 참고로 포함되는, 2019년 4월 9일자로 출원되고 발명의 명칭이 STOCHASTIC RETICLE DEFECT DISPOSITIONING이며 Moshe Preil, John J. Biafore, 및 Alex Vaglio-Pret가 발명자로서 이름이 기재되어 있는 미국 가출원 제62/831,524호의 35 U.S.C. § 119(e)에 따른 이익을 주장한다.
[기술 분야]
본 발명은 일반적으로 시료 특성분석 시스템에 관한 것으로, 보다 상세하게는 시료의 확률적 변동을 식별하기 위한 시스템에 관한 것이다.
종래의 레티클 결함 처리(reticle defect dispositioning) 프로세스는 전형적으로 아주 간단하다. 레티클에서 검출된 결함이 알려진 임계 크기(threshold size) 또는 임계 치수(critical dimension, CD) 오차 초과인 경우, 결함은 모든 웨이퍼의 모든 필드(field)에 인쇄되는 것으로 알려져 있다. 그러한 결함은 레티클이 거부되는 것을 결과할 것이다. 반대로, 결함이 임계 크기 또는 CD 오차 미만인 경우, 결함이 모든 웨이퍼에 인쇄되는 것은 아니며, 따라서 종래의 레티클 처리 프로세스에 따라 안전하게 폐기(waive)될 수 있다. 임계 크기 또는 CD 오차 근처에 있는 제한된 수의 결함이 특정 안전 마진을 허용하기 위해 거부될 수 있지만, 종래의 레티클 결함 처리 프로세스는 전형적으로 결국 명확한 합격/불합격 결정으로 된다.
그렇지만, 감소하는 파장을 갖는 조명 소스(예를 들어, 극자외선(EUV) 조명 소스)의 사용이 증가하는 것은 레티클 처리에서의 이러한 단순한 합격/불합격 결정을 복잡하게 하였다. 리소그래피 시스템에서의 조명 소스의 파장을 감소시키는 것은 확률적 결함도(stochastic defectivity)를 증가시킬 수 있으며, 이 확률적 결함도는 제조 동안 랜덤하게 또는 특정 확률로 발생하는 결함의 발생으로서 기술될 수 있다. 단파장 조명 소스의 경우 확률적 결함의 가능성의 이러한 증가는 광자 에너지 증가, 시료에 입사하는 광자 수 감소, 이러한 광자의 흡수의 확률적 변동은 물론, 레지스트 내에서의 광화학 반응의 이산적 특성을 포함한 다양한 인자들과 연관될 수 있다. 감소된 파장이 확률적 결함도의 증가를 결과함에 따라, 모든 레티클 제조 사양을 완벽하게 충족시키는 레티클 상의 주어진 패턴은 작지만 0이 아닌 퍼센트의 시간에 걸쳐 여전히 샘플 상에 결함을 인쇄할 수 있다. 이러한 샘플 결함이 레티클 결함으로서 특성분석되지 않을 수 있지만, 오히려 샘플 상에의 패턴의 제조에서의 확률적 이상치로서 단순히 특성분석된다.
확률적 결함도를 증가시키는 것은 종래의 레티클 결함 처리 프로세스(예를 들어, "합격/실패" 레티클 처리 프로세스)를 레티클을 정확하게 처리하고 확률적 결함을 고려하기에 불충분하게 만든다. 따라서, 위에서 식별된 이전의 접근법들의 단점들 중 하나 이상을 치유하는 시스템 및 방법에 대한 필요성이 본 기술 분야에서 존재한다.
확률적 레티클 결함 처리를 위한 시스템이 개시된다. 실시예들에서, 본 시스템은 메모리에 저장된 프로그램 명령어 세트를 실행하도록 구성된 하나 이상의 프로세서를 포함하는 제어기를 포함하고, 프로그램 명령어 세트는 하나 이상의 프로세서로 하여금: 제품 레티클의 제품 계측 데이터를 획득하게 하고 - 제품 레티클은 하나 이상의 제품 샘플 상에 요소들의 패턴을 인쇄하는 데 사용되도록 구성됨 -; 요소들의 패턴을 포함하는 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 제품 레티클의 제품 계측 데이터에 기초하여 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션을 수행하게 하며; 제품 레티클에 의한 요소들의 패턴의 인쇄 프로세스를 모델링하는 제품 레티클의 제품 모델을 생성하게 하고; 제품 모델에 기초하여 제품 샘플 상에 확률적 결함을 인쇄하는 것에 영향을 받는 제품 레티클의 관리 영역 또는 인쇄된 확률적 결함에 영향을 받는 하나 이상의 시뮬레이션된 제품 샘플 상의 관리 영역 중 적어도 하나를 식별하게 하도록 구성된다.
확률적 레티클 결함 처리를 위한 시스템이 개시된다. 실시예들에서, 본 시스템은 메모리에 저장된 프로그램 명령어 세트를 실행하도록 구성된 하나 이상의 프로세서를 포함하는 제어기를 포함하고, 프로그램 명령어 세트는 하나 이상의 프로세서로 하여금: 하나 이상의 기준 샘플 상에 인쇄될 요소들의 패턴의 기준 계측 데이터를 획득하게 하고; 기준 계측 데이터에 기초하여 요소들의 패턴에 대한 기준 모델을 생성하게 하며; 제품 레티클의 제품 계측 데이터를 획득하게 하고 - 제품 레티클은 하나 이상의 제품 샘플 상에 요소들의 패턴을 인쇄하는 데 사용되도록 구성됨 -; 요소들의 패턴을 포함하는 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 제품 레티클의 제품 계측 데이터에 기초하여 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션을 수행하게 하며; 제품 레티클에 의한 요소들의 패턴의 인쇄 프로세스를 모델링하는 제품 레티클에 대한 제품 모델을 생성하게 하고; 제품 모델과 기준 모델 간의 하나 이상의 차이점을 식별하게 하며; 하나 이상의 식별된 차이점에 기초하여, 하나 이상의 제품 샘플 상에 확률적 결함을 인쇄하는 것에 영향을 받는 제품 레티클의 관리 영역 또는 인쇄된 확률적 결함에 영향을 받는 하나 이상의 제품 샘플 상의 관리 영역 중 적어도 하나를 식별하게 하도록 구성된다.
확률적 결함을 식별하기 위한 방법이 개시된다. 실시예들에서, 본 방법은: 제품 레티클의 제품 계측 데이터를 획득하는 단계 - 제품 레티클은 하나 이상의 제품 샘플 상에 요소들의 패턴을 인쇄하는 데 사용되도록 구성됨 -; 요소들의 패턴을 포함하는 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 제품 레티클의 제품 계측 데이터에 기초하여 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션을 수행하는 단계; 제품 레티클에 의한 요소들의 패턴의 인쇄 프로세스를 모델링하는 제품 레티클의 제품 모델을 생성하는 단계; 및 제품 샘플 상에 확률적 결함을 인쇄하는 것에 영향을 받는 제품 레티클의 관리 영역 또는 인쇄된 확률적 결함에 영향을 받는 하나 이상의 시뮬레이션된 제품 샘플 상의 관리 영역 중 적어도 하나를 식별하는 단계를 포함한다.
전술한 전반적인 설명 및 이하의 상세한 설명 둘 모두가 예시적이고 설명적인 것에 불과하며, 청구된 바와 같은 본 발명을 반드시 제한하는 것은 아님이 이해되어야 한다. 본 명세서에 포함되어 그 일부를 구성하는 첨부 도면은 본 발명의 실시예들을 예시하고, 전반적인 설명과 함께, 본 발명의 원리들을 설명하는 역할을 한다.
본 개시의 수많은 장점은 첨부 도면을 참조하면 본 기술 분야의 통상의 기술자에 의해 보다 잘 이해될 수 있다.
도 1은 본 개시의 하나 이상의 실시예에 따른, 시료를 특성분석하기 위한 시스템의 단순화된 블록 다이어그램도이다.
도 2는 본 개시의 하나 이상의 실시예에 따른, 리소그래피 서브시스템의 단순화된 블록 다이어그램도이다.
도 3a는 본 개시의 하나 이상의 실시예에 따른, 시료를 특성분석하기 위한 시스템의 계측 서브시스템의 단순화된 블록 다이어그램도이다.
도 3b는 본 개시의 하나 이상의 실시예에 따른, 시료를 특성분석하기 위한 시스템의 계측 서브시스템의 단순화된 블록 다이어그램도이다.
도 4a는 본 개시의 하나 이상의 실시예에 따른, 시료 상의 확률적 결함들을 식별하기 위한 방법의 플로차트를 예시한다.
도 4b는 본 개시의 하나 이상의 실시예에 따른, 시료 상의 확률적 결함들을 식별하기 위한 방법의 플로차트를 예시한다.
도 5는 본 개시의 하나 이상의 실시예에 따른, 샘플의 콘택트 홀들 간의 확률적 변동을 예시하는 이미지이다.
도 6은 본 개시의 하나 이상의 실시예에 따른, 개방되지 않은 샘플 상에 제조된 콘택트 홀의 정규 확률 분포를 예시하는 플롯이다.
도 7은 본 개시의 하나 이상의 실시예에 따른, 개방되지 않은 샘플 상에 제조된 콘택트 홀의 확률을 가우스 분포로서 예시하는 플롯이다.
첨부 도면에 예시되는, 개시된 주제(subject matter)에 대해 이제 상세하게 언급될 것이다. 본 개시는 특히 특정 실시예들 및 그의 특정 특징들과 관련하여 도시되고 기술되었다. 본 명세서에 기재된 실시예들은 제한적인 것이 아니라 예시적인 것으로 간주된다. 본 개시의 사상 및 범위를 벗어나지 않으면서 형태 및 세부 사항에서의 다양한 변경들 및 수정들이 이루어질 수 있다는 것이 본 기술 분야의 통상의 기술자에게 즉각 명백할 것이다.
감소하는 파장을 갖는 조명 소스(예를 들면, 리소그래피 시스템 조명 소스)의 사용은 확률적 결함도를 증가시키는 것으로 밝혀졌으며, 이 확률적 결함도는 제조 동안 랜덤하게 또는 특정 확률로 발생하는 결함의 발생으로서 기술될 수 있다. 단파장 조명 소스의 경우 확률적 결함의 가능성의 이러한 증가는 광자 에너지 증가, 시료에 입사하는 광자 수 감소, 이러한 광자의 흡수의 확률적 변동은 물론, 레지스트 내에서의 광화학 반응의 이산적 특성을 포함한 다양한 인자들과 연관될 수 있다. 감소된 파장이 확률적 결함도의 증가를 결과함에 따라, 모든 레티클 제조 사양을 완벽하게 충족시키는 레티클 상의 주어진 패턴은 작지만 0이 아닌 퍼센트의 시간에 걸쳐 여전히 샘플 상에 결함을 인쇄할 수 있다. 이러한 샘플 결함이 레티클 결함으로서 특성분석되지 않을 수 있지만, 오히려 샘플 상에의 패턴의 제조에서의 확률적 이상치로서 단순히 특성분석된다.
예를 들어, 샘플 상에 복수의 콘택트 홀들을 인쇄하는 데 사용되는 레티클을 고려한다. 예를 들어, 도 5는 본 개시의 하나 이상의 실시예에 따른, 샘플(124)의 콘택트 홀들(502a 내지 502n) 간의 확률적 변동을 예시하는 이미지(500)이다. 이 예에서, 레티클은 "반복하는 결함"으로 간주되도록 모든 샘플(124) 상에 인쇄하기에 충분히 크지는 않지만 확률적 고장율에 상당한 영향을 미칠 수 있는 결함을 나타낼 수 있다. 따라서, 결함이 레티클을 거부할 정도로 상당하지 않을 수 있지만, 여전히 0이 아닌 퍼센트의 시간에 걸쳐 샘플(124) 상에 확률적 결함을 인쇄할 것이다. 예를 들어, 도 5에 도시된 바와 같이, 인쇄 프로세스에서 사용되는 레티클은 샘플(124) 상에 요소들(예를 들면, 콘택트 홀들(502a 내지 502n))의 패턴을 제조하도록 구성될 수 있다. 이상적으로, 샘플(124) 상에 인쇄되는 콘택트 홀들(502a 내지 502n)은 동일할 수 있다. 그렇지만, 콘택트 홀들(502a 내지 502n)을 인쇄하는 데 사용되는 레티클에서의 작은 "결함"은, 다양한 크기(예를 들면, 임계 치수(CD)) 및 형상의 콘택트 홀들(502a 내지 502n)에서 알 수 있는 바와 같이, 콘택트 홀들(502a 내지 502n)에서의 확률적 변동/확률적 결함을 결과할 수 있다. 종래의 레티클 처리 기술은 레티클에 의해 인쇄되는 콘택트 홀들의 CD 분포의 테일에 이르기까지 멀리 결함 있는 패턴에 대한 그러한 확률적 변동의 영향을 정확하게 특성분석, 식별 또는 처리할 수 없을 것이다. 따라서, 종래의 레티클 결함 처리 프로세스(예를 들면, "합격/실패" 레티클 처리 프로세스)는 레티클을 정확하게 처리하고 확률적 결함을 고려하기에 불충분한 것으로 밝혀졌다.
그에 따라, 본 개시의 실시예들은 위에서 식별된 이전의 접근법들의 결점들 중 하나 이상을 치유하는 시스템 및 방법에 관한 것이다. 본 개시의 실시예들은 레티클 및/또는 레티클에 의해 인쇄되는 샘플들 내의 확률적 변동/결함을 식별하기 위한 시스템에 관한 것이다. 보다 상세하게는, 본 개시의 실시예들은 레티클의 계측 데이터에 기초하여 레티클의 확률적 결함도를 모델링하기 위한 시스템 및 방법에 관한 것이다. 본 개시의 추가적인 실시예들은 전체 샘플 수율에 대한 확률적 변동의 영향을 정량화하기 위해 레티클의 인쇄 프로세스의 수많은 반복을 시뮬레이션하도록 구성된 시스템에 관한 것이다. 본 개시의 실시예들이 확률적 변동을 보다 효율적이고 신뢰성 있게 정량화할 수 있는 보다 미묘한 차이가 있는 레티클 처리를 가능하게 할 수 있다는 것이 본 명세서에서 고려된다.
도 1은 본 개시의 하나 이상의 실시예에 따른, 시료를 특성분석하기 위한 시스템(100)의 단순화된 블록 다이어그램도이다. 특히, 도 1은 레티클 및/또는 레티클에 의해 제조된 샘플 상의 확률적 변동/결함을 식별하기 위한 시스템(100)을 예시한다. 시스템(100)은 하나 이상의 리소그래피 서브시스템(102) 및 하나 이상의 계측 서브시스템(104)을 포함할 수 있지만 이에 제한되지 않는다. 시스템(100)은 하나 이상의 프로세서(108), 메모리(110), 및 사용자 인터페이스(112)를 포함하는 제어기(106)를 더 포함할 수 있다.
일 실시예에서, 시스템(100)은 샘플 상에 하나 이상의 패턴(예를 들면, 디바이스 패턴, 계측 패턴 등)을 리소그래피 방식으로 인쇄하기 위한 리소그래피 서브시스템(102)을 포함하는 것을 포함한다. 리소그래피 서브시스템(102)은 스캐너 또는 스테퍼를 포함하지만 이에 제한되지 않는 본 기술 분야에서 알려진 임의의 리소그래피 인쇄 툴을 포함할 수 있다. 실시예들에서, 리소그래피 서브시스템(102)은 샘플 상에 요소들의 구조들 및 다른 패턴들을 인쇄하기 위해 하나 이상의 레티클을 활용할 수 있다.
다른 실시예에서, 시스템(100)은 시료를 특성분석하도록 구성된 계측 서브시스템(104)을 포함한다. 본 개시의 목적을 위해, "시료"라는 용어는 계측 서브시스템(104)에 의해 특성분석/측정될 수 있는 임의의 레티클, 샘플, 웨이퍼, 또는 다른 대상물을 지칭하는 데 사용될 수 있다. 예를 들어, 계측 서브시스템(104)은 레티클의 피처/구조를 측정하고 특성분석하기 위해 리소그래피 서브시스템(102)에 의해 사용되는 레티클(예를 들면, 리소그래피 서브시스템(102)에 의해 샘플 상에 노광될 디바이스 요소들의 패턴을 포함하는 패턴 마스크)의 이미지를 획득하도록 구성될 수 있다. 이와 관련하여, 계측 서브시스템(104)은 확률적 결함을 인쇄하는 것에 영향을 받는 레티클의 하나 이상의 부분을 측정할 수 있다. 다른 예로서, 계측 서브시스템(104)은 샘플 상에 인쇄된 요소들의 패턴을 측정하고 특성분석하기 위해 레티클/리소그래피 서브시스템(102)에 의해 제조되는 샘플의 이미지를 획득하도록 구성될 수 있다. 이와 관련하여, 계측 서브시스템(104)은 확률적 결함에 영향을 받는 샘플 상에 인쇄된 요소들의 패턴을 측정할 수 있다.
일반적인 의미에서, 계측 서브시스템(104)은 오버레이 오류, 패턴 배치 오류, 임계 치수(CD), 샘플 피처의 치수, 측벽 각도, 라인 에지 거칠기, 패턴 배치 오류(pattern placement error, PPE), 에지 배치 오류(edge placement error, EPE), 브리지, 라인 패턴에서의 마이크로 브레이크(micro-break), 팁 간 라인 단부 병합(tip-to-tip line end merging) 등을 포함하지만 이에 제한되지 않는 임의의 계측 메트릭을 측정할 수 있다. 계측 서브시스템(104)은 본 기술 분야에서 알려진 임의의 방법을 사용하여 계측 메트릭을 측정하도록 구성될 수 있다. 일 실시예에서, 계측 서브시스템(104)은 시료(예를 들면, 레티클, 샘플 등)의 하나 이상의 이미지의 생성에 기초하여 계측 데이터를 측정하기 위한 이미지 기반 계측 툴을 포함한다. 다른 실시예에서, 계측 서브시스템(104)은 시료로부터의 광의 산란(반사, 회절, 확산 산란 등)에 기초하여 계측 데이터를 측정하기 위한 산란측정 기반 계측 시스템을 포함한다.
다른 실시예에서, 시스템(100)은 리소그래피 서브시스템(102) 및/또는 계측 서브시스템(104)에 통신 가능하게 결합된 제어기(106)를 포함한다. 제어기(106)는 제어기(106)에 통신 가능하게 결합된 사용자 인터페이스(112)를 포함할 수 있고, 사용자 인터페이스(112)는 시스템(100)의 데이터를 사용자에게 디스플레이하고/하거나 사용자로부터 입력 커맨드를 수신하도록 구성된다. 다른 실시예에서, 제어기(106)는 메모리(110)에 유지되는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서(108)를 포함하고, 여기서 프로그램 명령어들은 하나 이상의 프로세서(108)로 하여금 본 개시의 다양한 단계들/기능들을 수행하게 하도록 구성된다. 이와 관련하여, 제어기(106)의 하나 이상의 프로세서(108)는 본 개시 전반에 걸쳐 기술된 다양한 프로세스 단계들 중 임의의 것을 실행할 수 있다.
도 2는 본 개시의 하나 이상의 실시예에 따른, 리소그래피 서브시스템의 단순화된 블록 다이어그램도이다. 일 실시예에서, 리소그래피 서브시스템(102)은 하나 이상의 조명 빔(114)을 생성하도록 구성된 리소그래피 조명 소스(113)를 포함한다. 하나 이상의 조명 빔(114)은 자외선(UV) 방사선, 극자외선(EUV) 방사선, 가시 방사선, 적외선(IR) 방사선 등을 포함하지만 이에 제한되지 않는 하나 이상의 선택된 파장의 광을 포함할 수 있다.
리소그래피 조명 소스(113)는 조명 빔(114)을 생성하기에 적합한 본 기술 분야에서 알려진 임의의 유형의 조명 소스를 포함할 수 있다. 예를 들어, 리소그래피 조명 소스(113)는 하나 이상의 레이저 시스템(예를 들면, 가스 레이저, 다이오드 레이저, 자유 전자 레이저, 파이버 레이저, 디스크 레이저 등)을 포함할 수 있다. 다른 예로서, 리소그래피 조명 소스(113)는 하나 이상의 램프 시스템(예를 들면, 아크 램프 등)을 포함할 수 있다. 다른 예로서, 리소그래피 조명 소스(113)는 플라스마 조명 소스(예를 들면, LPP(laser-pulsed plasma) 소스, DPP(discharge pumped plasma) 소스, LSP(laser-sustained plasma) 소스 등)를 포함한다.
리소그래피 조명 소스(113)는 필터, 편광기, 파장판 또는 확산기와 같은, 그러나 이에 제한되지 않는 조명 빔(114)의 하나 이상의 측면을 조작하기에 적합한 임의의 수의 광학 요소를 추가로 포함할 수 있다.
리소그래피 조명 소스(113)로부터의 조명은 임의의 공간 분포(예를 들면, 조명 패턴)를 가질 수 있다. 예를 들어, 리소그래피 조명 소스(113)는 단극(single-pole) 조명 소스, 쌍극(dipole) 조명 소스, C-쿼드(C-Quad) 조명 소스, 퀘이사(Quasar) 조명 소스, 또는 자유 형태(free-form) 조명 소스를 포함할 수 있지만, 이에 제한되지 않는다. 이와 관련하여, 리소그래피 조명 소스(113)는 조명이 광학 축(116)을 따라(또는 그에 평행하게) 전파하는 축상(on-axis) 조명 빔(114) 및/또는 조명이 광학 축(116)에 대해 일정 각도로 전파하는 임의의 수의 비축(off-axis) 조명 빔(114)을 생성할 수 있다.
다른 실시예에서, 리소그래피 서브시스템(102)은 마스크 지지 디바이스(118)를 포함한다. 마스크 지지 디바이스(118)는 샘플의 제조 동안 노광될 요소들의 패턴을 포함하는 패턴 마스크(120)(예를 들면, 레티클(120))를 고정시키도록 구성된다. 다른 실시예에서, 리소그래피 서브시스템(102)은 레티클(120)의 이미지에 대응하는 인쇄된 패턴 요소들을 생성하기 위해 하나 이상의 조명 빔(114)에 의해 조명되는 레티클(120)의 이미지를 샘플 스테이지(126) 상에 배치된 리소그래피 샘플(124) 상으로 투영하도록 구성된 투영 광학장치(122)의 세트를 포함한다. 다른 실시예에서, 마스크 지지 디바이스(118)는 레티클(120)을 작동시키거나 위치시키도록 구성될 수 있다. 예를 들어, 마스크 지지 디바이스(118)는 시스템(100)의 투영 광학장치(122)에 대해 선택된 위치로 레티클(120)을 작동시킬 수 있다.
본 개시 전체에 걸쳐 사용되는 바와 같이, "리소그래피 샘플" 또는 "샘플"이라는 용어는 일반적으로 반도체 또는 비반도체 재료로 형성된 기판(예를 들면, 웨이퍼 등)을 지칭한다. 예를 들어, 반도체 또는 비반도체 재료는 단결정(monocrystalline) 실리콘, 갈륨 비화물, 및 인듐 인화물을 포함할 수 있지만, 이에 제한되지 않는다. 본 개시의 목적을 위해, "리소그래피 샘플", "샘플" 및 "웨이퍼"라는 용어들은 상호 교환 가능한 것으로 해석되어야 한다.
샘플(124)은 레티클(120)의 이미지를 수용하기에 적합한 임의의 수의 감광성 재료 및/또는 재료 층을 포함할 수 있다. 예를 들어, 샘플(124)은 레지스트 층(128)을 포함할 수 있다. 이와 관련하여, 투영 광학장치(122)의 세트는 레지스트 층(128)을 노광시키기 위해 레지스트 층(128) 상으로 레티클(120)의 이미지를 투영할 수 있고, 후속 에칭 단계는 샘플(124) 상에 인쇄된 피처를 제공하기 위해 노광된 재료(예를 들면, 포지티브 에칭) 또는 노광되지 않은 재료(예를 들면, 네거티브 에칭)를 제거할 수 있다. 게다가, 레티클(120)은 본 기술 분야에서 알려진 임의의 이미징 구성으로 활용될 수 있다. 예를 들어, 레티클(120)은 패턴 요소들이 인쇄된 패턴 요소들로서 포지티브적으로 이미징되는 포지티브 마스크(예를 들면, 명시야 마스크)일 수 있다. 다른 예로서, 레티클(120)은 레티클(120)의 패턴 요소들이 네거티브 인쇄된 패턴 요소들(예를 들면, 갭, 공간 등)을 형성하는 네거티브 마스크(예를 들면, 암시야 마스크)일 수 있다.
제어기(106)는 리소그래피 서브시스템(102) 내의 임의의 수의 요소들에 통신 가능하게 결합될 수 있다. 예를 들어, 제어기(106)는 레티클(120) 상의 패턴 요소들의 노광을 샘플(124)(예를 들면, 샘플 상의 레지스트 층(128) 등)로 지향시키기 위해 마스크 지지 디바이스(118), 리소그래피 조명 소스(113), 및/또는 샘플 스테이지(126)에 통신 가능하게 결합될 수 있다. 이와 관련하여, 리소그래피 서브시스템(102) 내에서의 노광 선량, 레티클(120)의 초점 위치, 샘플(124) 등과 같은 노광 조건이 조정될 수 있다.
도 3a는 본 개시의 하나 이상의 실시예에 따른, 계측 서브시스템(104)의 단순화된 블록 다이어그램도이다. 상세하게는, 도 3a는 광학 계측 서브시스템(104a)을 포함하는 시스템(100)을 예시한다.
일 실시예에서, 계측 서브시스템(104)은 계측 조명 빔(132)을 생성하기 위한 계측 조명 소스(130)를 포함한다. 다른 실시예에서, 계측 조명 소스(130)는 리소그래피 조명 소스(113)와 동일하다. 추가의 실시예에서, 계측 조명 소스(130)는 별개의 계측 조명 빔(132)을 생성하도록 구성된 별개의 조명 소스이다. 계측 조명 빔(132)은 자외선(UV) 방사선, 극자외선(EUV) 방사선, 가시 방사선, 적외선(IR) 방사선 등을 포함하지만 이에 제한되지 않는 하나 이상의 선택된 파장의 광을 포함할 수 있다.
다른 실시예에서, 계측 조명 소스(130)는 조명 경로(134)를 통해 계측 조명 빔(132)을 계측 시료(125)로 지향시킨다. 본 개시 전반에 걸쳐 사용되는 바와 같이, "계측 시료(125)"라는 용어는 일반적으로 계측 서브시스템(104)에 의해 검사될 대상물을 지칭한다. 예를 들어, 계측 시료(125)는 레티클(120), 샘플(124) 등을 포함할 수 있지만 이에 제한되지 않는다. 이와 관련하여, 계측 서브시스템(104)은 레티클 검사 서브시스템 및/또는 웨이퍼 검사 서브시스템으로서 동작할 수 있다.
조명 경로(134)는 계측 조명 빔(132)을 수정 및/또는 컨디셔닝하기에 적합한 하나 이상의 렌즈 또는 추가 광학 컴포넌트(138)를 포함할 수 있다. 예를 들어, 하나 이상의 광학 컴포넌트(138)는 편광기, 필터, 빔 스플리터, 확산기, 호모지나이저(homogenizer), 아포다이저(apodizer), 빔 셰이퍼(beam shaper) 등을 포함할 수 있지만, 이에 제한되지 않는다. 다른 실시예에서, 계측 서브시스템(104)은 계측 조명 빔(132)을 계측 시료(125) 상으로 집속시키기 위한 대물 렌즈(140)를 포함한다.
다른 실시예에서, 계측 서브시스템(104)은 수집 경로(144)를 통해 계측 시료(125)로부터 방출되는 방사선을 캡처하도록 구성된 검출기(142)를 포함한다. 예를 들어, 검출기(142)는 수집 경로(144) 내의 요소들(예를 들면, 대물 렌즈(140), 렌즈(146) 등)에 의해 제공되는 샘플(124) 및/또는 레티클(120)의 하나 이상의 이미지를 수용하도록 구성될 수 있다. 검출기(142)는 계측 시료(125)로부터 (예를 들면, 경면 반사(specular reflection), 확산 반사(diffuse reflection) 등을 통해) 반사되거나 산란된 방사선, 계측 시료(125)에 의해 생성된 방사선(예를 들면, 계측 조명 빔(132)의 흡수와 연관된 발광 등) 등을 수용할 수 있다. 다른 예로서, 검출기(142)는 계측 시료(125)로부터 하나 이상의 회절 차수의 방사선(예를 들면, 0차 회절, ±1차 회절, ±2차 회절 등)을 수용할 수 있다.
검출기(142)는 계측 시료(125)로부터 수용되는 조명을 측정하기에 적합한 본 기술 분야에서 알려진 임의의 유형의 광학 검출기를 포함할 수 있다. 예를 들어, 검출기(142)는 CCD(charge-coupled device) 검출기, TDI(time delay integration) 검출기, PMT(photomultiplier tube), APD(avalanche photodiode) 등을 포함할 수 있지만, 이에 제한되지 않는다. 다른 실시예에서, 검출기(142)는 계측 시료(125)로부터 방출되는 방사선의 파장을 식별하기에 적합한 분광 검출기(spectroscopic detector)를 포함할 수 있다. 다른 실시예에서, 계측 서브시스템(104)은 계측 서브시스템(104)에 의한 다수의 계측 측정들(예를 들면, 다수의 계측 툴들)을 용이하게 하기 위해 (예를 들면, 하나 이상의 빔 스플리터에 의해 생성되는 다수의 빔 경로들과 연관된) 다수의 검출기들(142)을 포함할 수 있다.
수집 경로(144)는 하나 이상의 렌즈(146), 하나 이상의 필터, 하나 이상의 편광기, 또는 하나 이상의 빔 블록을 포함하지만 이에 제한되지 않는, 대물 렌즈(140)에 의해 수집된 조명을 지향 및/또는 수정하기 위한 임의의 수의 광학 요소들을 더 포함할 수 있다.
일 실시예에서, 도 1에 예시된 바와 같이, 계측 서브시스템(104)은 대물 렌즈(140)가 계측 조명 빔(132)을 계측 시료(125)로 지향시키는 것과 계측 시료(125)로부터 방출되는 방사선을 수집하는 것을 동시에 할 수 있도록 배향된 빔 스플리터(148)를 포함할 수 있다. 이와 관련하여, 계측 서브시스템(104)은 에피 조명 모드(epi-illumination mode)로 구성될 수 있다. 다른 실시예에서, 계측 시료(125) 상에서의 계측 조명 빔(132)의 입사각은 조정 가능하다. 예를 들어, 계측 시료(125) 상에서의 계측 조명 빔(132)의 입사각을 제어하기 위해 빔 스플리터(148) 및 대물 렌즈(140)를 통한 계측 조명 빔(132)의 경로가 조정될 수 있다. 이와 관련하여, 계측 조명 빔(132)이 계측 시료(125) 상에서 수직 입사각을 갖도록, 계측 조명 빔(132)은 빔 스플리터(148) 및 대물 렌즈(140)를 통한 공칭 경로를 가질 수 있다. 게다가, 계측 시료(125) 상에서의 계측 조명 빔(132)의 입사각은 (예를 들면, 회전 가능한 미러, 공간 광 변조기, 자유 형태 조명 소스 등에 의해) 빔 스플리터(148) 상에서의 계측 조명 빔(132)의 위치 및/또는 각도를 수정하는 것에 의해 제어될 수 있다. 다른 실시예에서, 계측 조명 소스(130)는 일정 각도(예를 들면, 스침각(glancing angle), 45도 각도 등)로 하나 이상의 계측 조명 빔(132)을 계측 시료(125)로 지향시킨다.
도 3b는 본 개시의 하나 이상의 실시예에 따른, 계측 서브시스템의 단순화된 블록 다이어그램도이다. 상세하게는, 도 3b는 SEM 계측 서브시스템(104b)을 포함하는 시스템(100)을 예시한다.
일 실시예에서, SEM 계측 서브시스템(104b)은 계측 시료(125)에 대해 하나 이상의 측정을 수행하도록 구성된다. 이와 관련하여, SEM 계측 서브시스템(104b)은 계측 시료(125)의 하나 이상의 이미지를 획득하도록 구성될 수 있다. SEM 계측 서브시스템(104b)은 전자 빔 소스(150), 하나 이상의 전자 광학 요소(152), 하나 이상의 전자 광학 요소(154), 및 하나 이상의 전자 센서(158)를 포함하는 전자 검출기 어셈블리(156)를 포함할 수 있지만, 이에 제한되지 않는다.
일 실시예에서, 전자 빔 소스(150)는 하나 이상의 전자 빔(151)을 계측 시료(125)(예를 들면, 레티클(120), 샘플(124))로 지향시키도록 구성된다. 전자 빔 소스(150)는 전자 광학 칼럼(electron-optical column)을 형성할 수 있다. 다른 실시예에서, 전자 빔 소스(150)는 하나 이상의 전자 빔(151)을 계측 시료(125)의 표면으로 집속 및/또는 지향시키도록 구성된 하나 이상의 추가적인 및/또는 대안적인 전자 광학 요소(152)를 포함한다. 다른 실시예에서, SEM 계측 서브시스템(104b)은 하나 이상의 전자 빔(151)에 응답하여 계측 시료(125)의 표면으로부터 방출되는 2차 및/또는 후방 산란 전자(153)를 수집하도록 구성된 하나 이상의 전자 광학 요소(154)를 포함한다. 하나 이상의 전자 광학 요소(152) 및 하나 이상의 전자 광학 요소(154)가 하나 이상의 편향기, 하나 이상의 전자 광학 렌즈, 하나 이상의 집광 렌즈(예를 들어, 자기 집광 렌즈), 하나 이상의 대물 렌즈(예를 들어, 자기 집광 렌즈) 등을 포함하지만 이에 제한되지 않는, 전자를 지향, 집속, 및/또는 수집하도록 구성된 임의의 전자 광학 요소를 포함할 수 있다는 점에 본 명세서에서 유의한다.
SEM 계측 서브시스템(104b)의 전자 광학 어셈블리가, 단지 예시 목적으로 제공되는, 도 1c에 묘사된 전자 광학 요소로 제한되지 않는다는 점에 유의한다. 시스템(100)이 하나 이상의 전자 빔(151)을 계측 시료(125) 상으로 지향/집속시키고, 이에 응답하여, 방출된 2차 및/또는 후방 산란 전자(153)를 수집하여 전자 검출기 어셈블리(134) 상으로 이미징하는 데 필요한 임의의 수 및 유형의 전자 광학 요소를 포함할 수 있다는 점에 더 유의한다.
예를 들어, 시스템(100)은 하나 이상의 전자 빔 스캐닝 요소(도시되지 않음)를 포함할 수 있다. 예를 들어, 하나 이상의 전자 빔 스캐닝 요소는 계측 시료(125)의 표면에 상대적인 하나 이상의 전자 빔(151)의 위치를 제어하기에 적합한 하나 이상의 전자기 스캐닝 코일 또는 정전 편향기를 포함할 수 있지만 이에 제한되지 않는다. 게다가, 하나 이상의 스캐닝 요소는 선택된 패턴으로 계측 시료(125)를 가로질러 하나 이상의 전자 빔(151)을 스캔하는 데 활용될 수 있다.
다른 실시예에서, 2차 및/또는 후방 산란 전자(153)는 전자 검출기 어셈블리(156)의 하나 이상의 센서(158)로 지향된다. SEM 계측 서브시스템(104)의 전자 검출기 어셈블리(156)는 계측 시료(125)의 표면으로부터 방출되는 후방 산란 및/또는 2차 전자(153)를 검출하기에 적합한 본 기술 분야에서 알려진 임의의 전자 검출기 어셈블리를 포함할 수 있다. 일 실시예에서, 전자 검출기 어셈블리(156)는 전자 검출기 어레이를 포함한다. 이와 관련하여, 전자 검출기 어셈블리(156)는 전자 검출 부분들의 어레이를 포함할 수 있다. 게다가, 전자 검출기 어셈블리(156)의 검출기 어레이의 각각의 전자 검출 부분은 입사하는 하나 이상의 전자 빔(151) 중 하나와 연관된 계측 시료(125)로부터의 전자 신호를 검출하도록 위치될 수 있다. 전자 검출기 어셈블리(156)는 본 기술 분야에서 알려진 임의의 유형의 전자 검출기를 포함할 수 있다. 예를 들어, 전자 검출기 어셈블리(156)는, 다이오드 어레이 또는 애벌랜치 포토 다이오드(avalanche photo diode, APD)와 같은, 그러나 이에 제한되지 않는, 마이크로 채널 플레이트(micro-channel plate, MCP), PIN 또는 p-n 접합 검출기 어레이를 포함할 수 있다. 다른 예로서, 전자 검출기 어셈블리(156)는 고속 신틸레이터(high-speed scintillator) 또는 광전자 증배관(photomultiplier tube, PMT) 검출기를 포함할 수 있다.
도 3b가 2차 전자 검출기 어셈블리만을 포함하는 전자 검출기 어셈블리(156)를 포함하는 것으로 SEM 계측 서브시스템(104b)을 예시하지만, 이것이 본 개시의 제한으로서 간주되어서는 안된다. 이와 관련하여, 전자 검출기 어셈블리(156)가 2차 전자 검출기, 후방 산란 전자 검출기, 및/또는 1차 전자 검출기(예를 들면, 칼럼 내(in-column) 전자 검출기)를 포함할 수 있지만 이에 제한되지 않는다는 점에 유의한다. 다른 실시예에서, SEM 계측 서브시스템(104)은 복수의 전자 검출기 어셈블리들(134)을 포함할 수 있다. 예를 들어, 시스템(100)은 2차 전자 검출기 어셈블리(134a), 후방 산란 전자 검출기 어셈블리(134b), 및 칼럼 내 전자 검출기 어셈블리(134c)를 포함할 수 있다.
일 실시예에서, 제어기(106)의 하나 이상의 프로세서(108)는 검출기 어셈블리(142)/전자 검출기 어셈블리(156)의 출력을 분석하도록 구성된다. 일 실시예에서, 프로그램 명령어 세트는 하나 이상의 프로세서(108)로 하여금 검출기 어셈블리(142)/전자 검출기 어셈블리(156)로부터 수신되는 이미지에 기초하여 계측 시료(125)의 하나 이상의 특성을 분석하게 하도록 구성된다. 다른 실시예에서, 프로그램 명령어 세트는 계측 시료(125) 및/또는 검출기 어셈블리(142)/전자 검출기 어셈블리(156) 상에 초점을 유지하기 위해 하나 이상의 프로세서(108)로 하여금 시스템(100)의 하나 이상의 특성을 수정하게 하도록 구성된다. 예를 들어, 하나 이상의 프로세서(108)는 조명(132) 및/또는 하나 이상의 전자 빔(151)을 계측 시료(125)의 표면 상으로 집속시키기 위해 조명 소스(113)/전자 빔 소스(150) 및/또는 시스템(100)의 다른 요소들의 하나 이상의 특성을 조정하도록 구성될 수 있다. 다른 예로서, 하나 이상의 프로세서(108)는 계측 시료(125)의 표면으로부터 조명 및/또는 2차 전자(153)를 수집하고 수집된 조명을 검출기 어셈블리(142)/전자 검출기 어셈블리(156) 상에 집속시키기 위해 시스템(100)의 하나 이상의 요소를 조정하도록 구성될 수 있다. 다른 예로서, 하나 이상의 프로세서(108)는 하나 이상의 전자 빔의 위치 또는 정렬을 독립적으로 조정하고 계측 시료(125)를 가로질러 전자 빔(151)을 스캔하기 위해 전자 빔 소스(150)의 하나 이상의 정전 편향기에 인가되는 하나 이상의 집속 전압을 조정하도록 구성될 수 있다.
다른 실시예에서, 도 1 내지 도 3b에 도시된 바와 같이, 시스템(100)은 제어기(106)에 통신 가능하게 결합된 사용자 인터페이스(112)를 포함한다. 다른 실시예에서, 사용자 인터페이스(112)는 사용자 입력 디바이스 및 디스플레이를 포함한다. 사용자 인터페이스(112)의 사용자 입력 디바이스는 사용자로부터 하나 이상의 입력 커맨드를 수신하도록 구성될 수 있으며, 하나 이상의 입력 커맨드는 시스템(100)에 데이터를 입력하고/하거나 시스템(100)의 하나 이상의 특성을 조정하도록 구성된다. 다른 실시예에서, 사용자 인터페이스(112)의 디스플레이는 시스템(100)의 데이터를 사용자에게 디스플레이하도록 구성될 수 있다.
본 명세서에서 이전에 언급된 바와 같이, 하나 이상의 프로세서(108)는 메모리(110)에 저장된 프로그램 명령어 세트를 실행하도록 구성되고, 프로그램 명령어 세트는 하나 이상의 프로세서(108)로 하여금 본 개시의 다양한 기능들 및 단계들을 수행하게 하도록 구성된다. 이와 관련하여, 제어기(106)/프로세서(108)는: 제품 레티클의 제품 계측 데이터를 획득하고 - 제품 레티클은 하나 이상의 제품 샘플 상에 요소들의 패턴을 인쇄하는 데 사용되도록 구성됨 -; 요소들의 패턴을 포함하는 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 제품 레티클의 제품 계측 데이터에 기초하여 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션을 수행하며; 제품 레티클에 의한 요소들의 패턴의 인쇄 프로세스를 모델링하는 제품 레티클의 제품 모델을 생성하고; 제품 모델에 기초하여 제품 샘플 상에 확률적 결함을 인쇄하는 것에 영향을 받는 제품 레티클의 관리 영역 또는 인쇄된 확률적 결함에 영향을 받는 하나 이상의 시뮬레이션된 제품 샘플 상의 관리 영역 중 적어도 하나를 식별하도록 구성될 수 있다. 제어기(106)의 이러한 단계들/기능들 각각은 도 4a 및 도 4b를 참조하여 본 명세서에 더 상세히 각각 기술될 것이다.
도 4a는 본 개시의 하나 이상의 실시예에 따른, 시료(125)(예를 들면, 레티클(120), 샘플(124)) 상의 확률적 결함을 식별하기 위한 방법(400)의 플로차트를 예시한다. 방법(400)의 단계들이 전부 또는 부분적으로 시스템(100)에 의해 구현될 수 있음에 본 명세서에서 유의한다. 그렇지만, 추가적인 또는 대안적인 시스템 레벨 실시예들이 방법(400)의 단계들의 전부 또는 일부를 수행할 수 있다는 점에서 방법(400)이 시스템(100)으로 제한되지 않는다는 것이 더 인식된다.
단계(402)에서, 제품 레티클(120)의 제품 계측 데이터가 획득된다. 실시예들에서, 제품 레티클(120)은 하나 이상의 제품 샘플(124) 상에 요소들의 패턴을 인쇄하기 위해 하나 이상의 인쇄 프로세스에서 사용되도록 구성될 수 있다. 이와 관련하여, 제품 레티클(120)은 제품 샘플(124)을 제조하기 위해 인쇄 프로세스를 수행하는 데 리소그래피 서브시스템(102)에 의해 사용될 수 있다. 본 명세서에서 사용되는 바와 같이, "제품 레티클"이라는 용어는, 확률적 변동성에 대해 검사되어야 하고 검사를 통과하는 것으로 결정되는 경우 제품 샘플(124)을 제조하는 데 사용될 수 있는, 레티클(120)을 지칭하는 데 사용될 수 있다. 이와 관련하여, 제품 레티클(120)은 확률적 결함을 인쇄하는 것에 영향을 받는 피처/결함을 포함하는 것으로 이해될 수 있다.
이와 비교하여, "기준 레티클(reference reticle)"이라는 용어는 양호한 확률적 변동성을 나타내는 것으로 알려진 레티클(120)을 지칭하는 데 사용될 수 있다. 이와 관련하여, 기준 레티클(120)은 낮은 확률적 변동성을 갖는 기준 샘플(124)을 제조하기 위해 하나 이상의 인쇄 프로세스를 활용하는 것으로 알려져 있을 수 있다. 따라서, 제품 레티클(120)을 통해 제조된 제품 샘플(124) 상의 확률적 결함을 기준 레티클(120)로 수행되는 인쇄 프로세스로 제조된 기준 샘플(124) 상의 확률적 결함과 비교하는 것에 의해 제품 레티클(120)의 확률적 변동성이 평가될 수 있다는 것이 본 명세서에서 고려된다. 제품 레티클(120)과 기준 레티클(120)(은 물론 제품 샘플(124)과 기준 샘플(124)) 사이의 구별이 본 명세서에서 더 상세히 논의될 것이다.
제품 레티클(120)을 통해 적용되는 인쇄 프로세스를 통해 제품 샘플(124) 상에 인쇄되는 요소들의 패턴이 본 기술 분야에서 알려진 임의의 구조, 패턴 또는 요소를 포함할 수 있다는 점에 본 명세서에서 유의한다. 예를 들어, 요소들의 패턴은 동작 반도체 디바이스의 일부로서 제조될 피처들에 대응하는 하나 이상의 디바이스 요소 또는 하나 이상의 계측 타깃을 포함할 수 있다. 예를 들어, 제품 레티클에 의해 인쇄되는 요소들의 패턴은 콘택트 홀(예를 들면, 도 5에서의 콘택트 홀(502a 내지 502n), 격자, 오버레이 타깃, 샘플(124)의 초점 위치에 민감한 타깃 등을 포함할 수 있지만, 이에 제한되지 않는다. 이와 관련하여, 확률적 결함/변동에 대한 요소들의 패턴의 임의의 부분의 취약성이 특성분석될 수 있다.
제품 레티클(120)의 제품 계측 데이터는 본 기술 분야에서 알려진 임의의 소스로부터 획득될 수 있다. 예를 들어, 제어기(106)는 계측 서브시스템(104)으로 하여금 제품 레티클(120)(예를 들면, 계측 시료(125))의 하나 이상의 이미지를 획득하게 하도록 구성된 하나 이상의 제어 신호를 생성하도록 구성될 수 있다. 획득된 이미지는 광학 계측 서브시스템(104a)으로부터의 광학 이미지 및/또는 SEM 계측 서브시스템(104b)으로부터의 SEM 이미지를 포함할 수 있다. 이어서 제어기(106)는 획득된 이미지를 수신하고 획득된 이미지에 기초하여 제품 레티클(120)의 제품 계측 데이터를 생성하도록 구성될 수 있다.
추가적인 및/또는 대안적인 실시예들에서, 제품 레티클(120)의 제품 계측 데이터는 제품 레티클(120) 자체의 설계 데이터에 기초할 수 있다. 제품 레티클(120)의 설계 데이터는 제품 레티클(120)을 제조하는 데 사용되는 제조 레시피를 포함할 수 있다. 예를 들어, 제품 레티클(120)과 연관된 설계 데이터(예를 들면, 제조 레시피)는 메모리(110) 및/또는 원격 메모리 또는 서버에 저장될 수 있다. 이 예에서, 제어기(106)는 제품 레티클(120)의 설계 데이터에 기초하여 제품 레티클(120)의 제품 계측 데이터를 획득하도록 구성될 수 있다. 실시예들에서, 제어기(106)는 제품 레티클(120)의 제품 계측 데이터를 메모리(110)에 저장하도록 구성될 수 있다.
단계(404)에서, 요소들의 패턴을 포함하는 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 제품 레티클(120)의 제품 계측 데이터에 기초하여 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션이 수행된다. 본 명세서에서 사용되는 바와 같이, "시뮬레이션된 제품 샘플"이라는 용어는 하나 이상의 확률적 시뮬레이션을 통해 생성되는 이론적인 제품 샘플을 지칭하기 위해 사용될 수 있다. 이와 관련하여, 시뮬레이션된 제품 샘플은 레티클을 활용하는 시뮬레이션된 인쇄 프로세스가 리소그래피 서브시스템(102)으로 수행된 경우 생성될 수 있는 샘플(124)의 예를 포함할 수 있다.
예를 들어, 제어기(106)는 메모리에 저장된 제품 레티클(120)의 제품 계측 데이터를 리트리브(retrieve)하고, 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션을 수행하도록 구성될 수 있다. 시뮬레이션된 제품 샘플과 연관된 데이터는 메모리(110)에 저장될 수 있다. 실시예들에서, 제어기(106)는 시뮬레이션된 제품 샘플 상에 요소들의 패턴을 제조하는 것을 시뮬레이션하기 위해 하나 이상의 인쇄 프로세스의 확률적 시뮬레이션을 수행할 수 있다. 예를 들어, 제어기(106)는 2,000개의 시뮬레이션된 제품 샘플을 생성하기 위해 인쇄 프로세스의 2000번의 확률적 시뮬레이션을 수행하고, 이에 의해 2,000번 이상 요소들의 패턴의 제조를 시뮬레이션하도록 구성될 수 있다.
제어기(106)는 메모리(110)에 저장되거나 외부 메모리, 네트워크 등으로부터 리트리브된 생산 레시피에 기초하여 확률적 시뮬레이션을 수행하도록 구성될 수 있다. 생산 레시피는 제품 레티클(120) 및/또는 시뮬레이션된 제품 샘플의 위치, 인쇄될 요소들의 패턴, 리소그래피 서브시스템(102)의 특성, 노광 파라미터(예를 들면, 리소그래피 조명 소스(113) 파장, 조명 선량, 시뮬레이션된 제품 샘플의 초점 위치, 노광 시간, 리소그래피 조명 소스(113)의 공간 프로파일, 시뮬레이션된 제품 샘플 상에서의 조명의 공간 분포 등)를 포함하지만 이에 제한되지 않는 인쇄 프로세스와 연관된 임의의 데이터를 포함할 수 있다.
제어기(106)가 제품 레티클(120) 상에서의 결함의 인쇄 가능성 및 시뮬레이션된 제품 샘플 및/또는 미래의 샘플(124) 상에 인쇄된 요소들의 패턴에 대한 확률적 변동의 영향을 결정하기 위해 제품 레티클(120)을 사용하여 인쇄 프로세스의 확률적 시뮬레이션을 수행하도록 구성될 수 있다는 점에 본 명세서에서 유의한다. 제어기(106)는 본 기술 분야에서 알려진 임의의 시뮬레이션 또는 모델링 기술을 사용하여 하나 이상의 확률적 시뮬레이션을 수행하도록 구성될 수 있다. 예를 들어, 제어기(106)는 인쇄 프로세스의 하나 이상의 몬테카를로(Monte Carlo) 시뮬레이션을 수행하도록 구성될 수 있다.
단계(406)에서, 제품 레티클에 의한 요소들의 패턴의 인쇄 프로세스를 모델링하는 제품 레티클(120)의 제품 모델이 생성된다. 실시예들에서, 제품 모델은 생성된 시뮬레이션된 제품 샘플에 기초하여 생성될 수 있다. 예를 들어, 제어기(106)는 하나 이상의 시뮬레이션된 제품 샘플의 시뮬레이션된 계측 데이터를 획득하고 시뮬레이션된 계측 데이터에 기초하여 제품 모델을 생성하도록 구성될 수 있다. 예를 들어, 콘택트 홀(예를 들면, 도 5에서의 콘택트 홀(502a 내지 502n))을 인쇄하는 데 사용되는 제품 레티클(120)의 맥락에서, 제어기(106)는 시뮬레이션된 제품 샘플 상에 인쇄된 시뮬레이션된 콘택트 홀의 임계 치수(예를 들면, 시뮬레이션된 계측 데이터)를 획득하도록 구성될 수 있다. 후속적으로, 획득된 임계 치수(예를 들면, 시뮬레이션된 계측 데이터)에 기초하여, 제어기(106)는 시뮬레이션된 제품 샘플 상에서의 콘택트 홀의 인쇄 프로세스를 모델링하는 제품 모델을 생성할 수 있다.
실시예들에서, 제품 모델은 제품 레티클(120)에 의해 수행되는 인쇄 프로세스를 모델링하는 본 기술 분야에서 알려진 임의의 모델을 포함할 수 있다. 이것은 도 6 및 도 7을 참조하면 더 이해될 수 있다.
도 6은 본 개시의 하나 이상의 실시예에 따른, 개방되지 않은 샘플(예를 들면, 시뮬레이션된 제품 샘플) 상에 제조된 콘택트 홀(예를 들면, 도 5에서의 콘택트 홀(502a 내지 502n))의 정규 확률 분포를 예시하는 플롯(600)이다. 보다 상세하게는, 플롯(600)은 고급 유기 화학 증폭형 레지스트(chemically amplified resist, CAR) 또는 금속 산화물(MOX) 레지스트와 같은 임의의 다른 감광성 재료 등을 활용하여 콘택트 홀을 인쇄하는 데 사용되는 인쇄 프로세스의 10만번의 확률 시뮬레이션의 시뮬레이션된 분포를 예시한다. (예를 들면, 레티클(120)). 도 7은 본 개시의 하나 이상의 실시예에 따른, 개방되지 않은 샘플(예를 들면, 시뮬레이션된 제품 샘플) 상에 제조된 콘택트 홀(예를 들면, 도 5에서의 콘택트 홀(502a 내지 502n))의 확률을 가우시안 분포로서 예시하는 플롯(700) 및 곡선(702)이다.
이 예에서, 제어기(106)는 제품 레티클(120)을 사용하여 인쇄 프로세스의 수천 번의 확률적 시뮬레이션을 수행하고 따라서 수천 개의 시뮬레이션된 제품 샘플 상에 콘택 홀을 제조하는 것을 시뮬레이션할 수 있다. 후속적으로, 제어기(106)는 시뮬레이션된 콘택 홀의 임계 치수(CD) 측정치(예를 들면, 시뮬레이션된 계측 데이터)를 획득할 수 있다. 이어서 제어기(106)는, 플롯(600)의 곡선(602)에 도시된 바와 같이, y축에서의 콘택트 홀이 개방되지 않을(CD=0일) 확률에 대한 x축에서의 시뮬레이션된 콘택트 홀의 식별된 CD 측정치를 플롯할 수 있다. 이와 관련하여, 곡선(602)은 시뮬레이션된 콘택트 홀이 특정 CD를 가질 확률을 예시한다.
곡선(602)은 제품 레티클(120)에 대해 적용되는 인쇄 프로세스에 따르면 콘택트 홀이 개방되지 않을 확률이 0.001 미만임을 예시한다. 그렇지만, 곡선(602)의 비정규 분포 테일(604)은 낮은 CD에 대해 0.05 미만의 확률을 나타낸다. 이러한 비정규 분포 테일(604)은 레티클(120) 및/또는 인쇄 프로세스 자체에서의 결함으로부터 결과하는 확률적 변동에 기인할 수 있다.
플롯(600) 및 곡선(602)이 인쇄된 요소들의 패턴들 내에서의 CD 측정치들의 잠재적인 확률적 변동을 예시하지만, 플롯(600)(또는 유사한 플롯)이 추가적으로 및/또는 대안적으로 오버레이 오류, 패턴 배치 오류, 임계 치수(CD), 샘플 피처의 치수, 측벽 각도, 라인 에지 거칠기, 패턴 배치 오류(PPE), 에지 배치 오류(EPE) 등을 포함하지만 이에 제한되지 않는 시뮬레이션된 제품 샘플의 다양한 다른 특성들을 예시하기 위해 생성될 수 있음이 본 명세서에서 고려된다. 다른 예로서, 플롯(600)(또는 유사한 플롯)은 추가적으로 및/또는 대안적으로 마이크로 브리지(micro-bridge) 또는 마이크로 브레이크(이에 제한되지 않음)를 유도하는 폐쇄된 콘택트 홀(CD=0)의 백분율 이외의 콘택트 홀의 다른 특성을 예시하기 위해 생성될 수 있다.
본 명세서에서 이전에 언급된 바와 같이, 제품 모델은 시뮬레이션된 제품 샘플에 기초하여 제어기(106)에 의해 생성될 수 있으며, PCA(principal component analysis), 머신 러닝 알고리즘 또는 분류기 등을 포함하지만 이에 제한되지 않는 본 기술 분야에서 알려진 임의의 수학적 모델 또는 모델링 기술을 포함할 수 있다. 예를 들어, 일부 실시예들에서, 제품 모델은 도 6에 예시된 곡선(602)을 기술/모델링하는 제품 모델을 포함할 수 있다. 예를 들어, 곡선(602)을 플로팅한 후에, 제어기(106)는 하나 이상의 분포 함수를 곡선(602)에 피팅하는 것 및 다양한 모델을 하나 이상의 분포 함수에 피팅하는 것에 의해 제품 모델을 생성할 수 있다. 이 예에서, 제품 모델은, 비정규 분포 테일(604)을 포함하여, 전통적인 3 시그마 한계를 훨씬 넘어 곡선(602) 전체를 모델링하도록 생성될 수 있다. 이와 관련하여, 제품 모델은 시뮬레이션된 제품 샘플의 시뮬레이션된 계측 데이터를 피팅하도록 생성될 수 있다.
일반적인 의미에서, 제품 모델은 인쇄 프로세스를 통해 인쇄되는 요소들의 패턴의 다양한 특성들에 대한 제품 레티클(120)을 활용하는 인쇄 프로세스의 영향을 기술/모델링하는 본 기술 분야에서 알려진 임의의 모델을 포함할 수 있다.
본 개시의 실시예들이 인쇄 프로세스의 영향을 시뮬레이션 및/또는 모델링하기 위한 계산 요구사항을 감소시킬 수 있다는 점에 본 명세서에서 유의한다. 상세하게는, 본 개시의 실시예들은, 종래의 레티클 처리 기술과 비교하여, 감소된 항으로(in reduced terms) 분포 함수(예를 들면, 플롯(600)에 예시된 CD의 분포 함수)를 특성분석하는 것에 의해 계산 시간을 수만 번의 시뮬레이션으로부터 관리 가능한 수의 확률적 시뮬레이션(예를 들면, 몬테카를로 시뮬레이션)으로 크게 줄이는 것으로 밝혀졌다.
예를 들어, 일부 종래의 레티클 처리 기술들이 레티클 결함 인쇄 가능성의 영향에 대한 단일 시뮬레이션을 활용할 수 있다는 점에 본 명세서에서 유의한다. 이러한 종래의 단일 시뮬레이션은 CD 분포의 중심(곡선(602)의 피크)의 이동만을 추정할 수 있으며, 곡선(602)의 비정규 분포 테일(604) 내에서의 확률적 결함도의 영향을 이해하지 못할 수 있다. 그에 따라, 일부 종래의 레티클 처리 기술들은 확률적 결함도에 기인하는 콘택트 홀들 중의 폐쇄된 콘택트들(CD=0)의 수를 식별 또는 포착하지 못할 것이며, 이는 감소된 디바이스 수율 및/또는 전면적인 회로 고장을 결과할 수 있다. 최종 결과는 분포의 전체 형상(특히 결함 있는 패턴/요소의 백분율)를 고려하지 못하는 종래의 레티클 처리 기술이 결함 있는 레티클을 합격시키는 결정을 결과할 수 있으며, 이는 상당한 재정적 손실을 초래할 수 있다는 것이다. 따라서, 단일 값(예를 들면, 단일 CD 값)이 아니라 요소 분포 전체에 걸친 불합격 패턴/요소의 빈도(예를 들면, 불합격(not-OK) 백분율)에 기초하여 제품 레티클의 합격/불합격 결정이 내려질 수 있게 하는 것에 의해, 본 개시의 실시예들은 보다 정확하고 효율적인 레티클 처리 능력을 가능하게 할 수 있다.
도 4가 또다시 참조될 것이다. 단계(408)에서, 제품 모델에 기초하여 제품 샘플 상에 확률적 결함을 인쇄하는 것에 영향을 받는 제품 레티클(120)의 관리 영역 또는 인쇄된 확률적 결함에 영향을 받는 하나 이상의 시뮬레이션된 제품 샘플 상의 관리 영역 중 적어도 하나가 결정된다. "관리 영역"이라는 용어가 확률적 결함에 영향을 받는 제품 레티클(120) 및/또는 시뮬레이션된 제품 샘플(또는 제품 레티클(120)을 통해 제조되는 미래의 샘플(124)) 상의 위치/영역을 지칭하는 데 사용될 수 있음에 본 명세서에서 유의한다. 이와 관련하여, 관리 영역은 본 명세서에서 이전에 기술된 바와 같은 생산 레시피에 따라 제조될 때 확률적으로 발생할 것으로 예측되는 제조 결함을 포함할 수 있다. 그에 따라, 관리 영역은 하나 이상의 결함이 확률적으로 발생할 수 있는 위치에 대응할 수 있다. 예를 들어, 관리 영역은 결정적 리피터(deterministic repeater)(예를 들면, 동일한 위치에서의 각각의 제조 실행(fabrication run)에 대해 발생할 것으로 예측되는 결함)와 연관된 고장 지점(failure point)은 물론 확률적 리피터(stochastic repeater)에 영향을 받을 수 있는 식별된 취약 지점(weak point) 둘 모두를 포함할 수 있다. 식별된 취약 지점은 사양 내에 있을 수 있지만 공칭 값으로부터 멀리 떨어져 있는 요소들의 패턴 내의 특징들(예를 들면, 요소들의 특정 크기 또는 형상, 특정 요소들 사이의 거리 등)을 포함할 수 있다.
예를 들어, 제품 레티클(120) 상의 관리 영역은 제품 샘플이 제품 레티클(120)을 사용하여 시뮬레이션된 인쇄 프로세스를 통해 제조될 때 제품 샘플 내에 확률적 결함을 잠재적으로 유도하는 것으로 식별된 제품 레티클(120)의 위치, 구역 또는 영역을 포함할 수 있다. 다른 예로서, 시뮬레이션된 제품 샘플 상의 관리 영역은 확률적 결함 또는 변동에 보다 많은 영향을 받을 수 있는 시뮬레이션된 제품 샘플(또는 제품 레티클(120)을 통해 제조되는 미래의 샘플(124))의 위치, 구역 또는 영역을 포함할 수 있다. 실시예들에서, 제어기(106)는 제품 레티클(120)의 하나 이상의 식별된 관리 영역 및/또는 시뮬레이션된 제품 샘플의 하나 이상의 식별된 관리 영역을 메모리(110)에 저장하도록 구성될 수 있다.
확률적 리피터에 영향을 받는 관리 영역은 제품 샘플(125) 상의 노광될 요소들의 패턴을 포함한 패턴 마스크의 레티클(예를 들면, 제품 레티클(120)) 또는 임의의 생산 단계(예를 들면, 임의의 층의 ADI 또는 AEI) 이후의 제품 샘플(125)의 층과 연관될 수 있다. 예를 들어, 확률적 결함에 영향을 받는 제품 레티클(120) 상의 관리 영역의 식별은 웨이퍼 제조 이전에 교정 조치(예를 들면, 확률적 결함을 완화시키기 위한 생산 레시피의 수정 또는 확률적 결함을 모니터링하기 위한 계측 레시피의 생성)를 가능하게 하기 위해 인쇄 확인(print check) 프로세스에서 활용될 수 있다. 다른 예로서, 확률적 리피터에 영향을 받는 시뮬레이션된 제품 샘플 상의 관리 영역의 식별은 핫 스폿 식별, PWG 분석 또는 WET 분석을 포함하지만 이에 제한되지 않는 임의의 프로세스 모니터링 응용에서 활용될 수 있다. 확률적 결함의 관리 영역 및 식별은, 그 전체가 본 명세서에 참고로 포함되는, 2017년 6월 2일에 출원되고, 발명의 명칭이 STOCHASTICALLY-AWARE METROLOGY FABRICATION인 미국 특허 제10,474,042호에서 Biafore 등에 의해 더 도시되고 기술되어 있다.
일부 실시예들에서, 제어기(106)는 생성된 제품 모델을 기준 모델과 비교하는 것에 의해 확률적 결함/확률적 변동에 영향을 받는 관리 영역을 식별하도록 구성될 수 있다. 이것은 도 4b를 참조하면 더 이해될 수 있다.
도 4b는 본 개시의 하나 이상의 실시예에 따른, 시료(125)(예를 들면, 레티클(120), 샘플(124)) 상의 확률적 결함을 식별하기 위한 방법(400)의 일 부분의 플로차트를 예시한다. 보다 상세하게는, 도 4b는 제품 모델을 기준 모델과 비교하는 것에 의해 관리 영역을 식별하기 위한 서브단계들을 예시한다.
단계(410)에서, 하나 이상의 기준 샘플 상에 인쇄될 요소들의 패턴의 기준 계측 데이터가 획득된다. 본 명세서에서 이전에 언급된 바와 같이, "기준 샘플", "기준 레티클"이라는 용어는 양호한 확률적 변동성을 나타내는 것으로 알려진 레티클(120)을 지칭하는 데 사용될 수 있다. 특히, 기준 레티클(120)은 양호한 확률적 변동성을 나타내는 것으로 알려져 있는 레티클을 포함할 수 있고, 이는 양호한 확률적 변동성을 나타내는 것으로 역시 알려져 있는 기준 샘플(124) 상에 요소들의 패턴을 제조하기 위해 인쇄 프로세스에 사용될 수 있다. 이와 관련하여, 기준 레티클(120)은 낮은 확률적 변동성을 갖는 기준 샘플(124)을 제조하기 위해 하나 이상의 인쇄 프로세스를 활용하는 것으로 알려져 있을 수 있다. 따라서, 제품 레티클(120)/제품 샘플의 확률적 결함도/확률적 변동성을 기준 레티클(120)/기준 샘플의 것과 비교하는 것이 제품 레티클(120)의 개선된 처리 및 분석을 가능하게 할 수 있다는 것이 본 명세서에서 고려된다.
기준 샘플(124)의 기준 계측 데이터는 본 기술 분야에서 알려진 임의의 소스로부터 획득될 수 있다. 예를 들어, 제어기(106)는 리소그래피 서브시스템(102)으로 하여금 기준 레티클(120)을 사용하여 하나 이상의 기준 샘플(124) 상에 요소들의 패턴을 인쇄하게 할 수 있다. 후속적으로, 제어기(106)는 계측 서브시스템(104)으로 하여금 기준 샘플(124)(예를 들면, 계측 시료(125))의 하나 이상의 이미지를 획득하게 하도록 구성된 하나 이상의 제어 신호를 생성하도록 구성될 수 있다. 획득된 이미지는 광학 계측 서브시스템(104a)으로부터의 광학 이미지 및/또는 SEM 계측 서브시스템(104b)으로부터의 SEM 이미지를 포함할 수 있다. 이어서 제어기(106)는 획득된 이미지를 수신하고 획득된 이미지에 기초하여 기준 샘플(124)의 기준 계측 데이터를 생성하도록 구성될 수 있다.
추가적인 및/또는 대안적인 실시예들에서, 기준 샘플(124)의 기준 계측 데이터는 기준 샘플(124) 자체의 설계 데이터에 기초할 수 있다. 기준 레티클(120)의 설계 데이터는 기준 샘플(120)을 제조하는 데 사용되는 제조 레시피를 포함할 수 있다. 예를 들어, 기준 샘플(124)과 연관된 설계 데이터(예를 들면, 제조 레시피)는 메모리(110) 및/또는 원격 메모리 또는 서버에 저장될 수 있다. 이 예에서, 제어기(106)는 기준 샘플(124)의 설계 데이터에 기초하여 기준 샘플(124)의 기준 계측 데이터를 획득하도록 구성될 수 있다. 실시예들에서, 제어기(106)는 기준 샘플(124)의 기준 계측 데이터를 메모리(110)에 저장하도록 구성될 수 있다.
추가적인 및/또는 대안적인 실시예들에서, 기준 샘플(124)의 기준 계측 데이터는, 본 명세서에서 이전에 기술된 바와 같이, 기준 레티클(120)에 대한 확률적 시뮬레이션(예를 들면, 몬테카를로 시뮬레이션)을 수행하는 것에 의해 획득될 수 있다. 예를 들어, 제어기(106)는, 하나 이상의 시뮬레이션된 기준 샘플을 생성하기 위해, 기준 레티클(120)의 하나 이상의 측정치를 획득하고, 기준 레티클의 하나 이상의 측정치에 기초하여 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션을 수행하도록 구성될 수 있다. 후속적으로, 본 명세서에서 이전에 기술된 바와 같이, 하나 이상의 시뮬레이션된 기준 샘플의 시뮬레이션된 계측 데이터가 획득될 수 있다.
단계(412)에서, 기준 레티클에 의한 요소들의 패턴의 인쇄 프로세스를 모델링하는 기준 레티클(120)의 기준 모델이 생성된다. 단계(406)에서 제품 모델을 생성하는 것과 연관된 임의의 설명이, 적용 가능한 한 그리고 본 명세서에서 달리 언급되지 않는 한, 단계(412)에서 기준 모델을 생성하는 것에 적용되는 것으로 간주될 수 있다는 점에 본 명세서에서 유의한다.
실시예들에서, 기준 레티클(120)의 기준 모델은 단계(410)에서 획득된 기준 계측 데이터에 기초하여 생성될 수 있다. 예를 들어, 기준 모델은 계측 서브시스템(104)을 통해 하나 이상의 기준 샘플(124)로부터 직접 획득되는 기준 계측 데이터에 기초하여 생성될 수 있다. 다른 예로서, 기준 모델은 하나 이상의 시뮬레이션된 기준 샘플로부터 획득되는 시뮬레이션된 계측 데이터에 기초하여 생성될 수 있다. 예를 들어, 콘택트 홀(예를 들면, 도 5에서의 콘택트 홀(502a 내지 502n))을 인쇄하는 데 사용되는 기준 레티클(120)의 맥락에서, 제어기(106)는 시뮬레이션된 기준 샘플 상에 인쇄된 시뮬레이션된 콘택트 홀의 임계 치수(예를 들면, 시뮬레이션된 계측 데이터)를 획득하도록 구성될 수 있다. 후속적으로, 획득된 임계 치수(예를 들면, 시뮬레이션된 계측 데이터)에 기초하여, 제어기(106)는 시뮬레이션된 기준 샘플 상에서의 콘택트 홀의 인쇄 프로세스를 모델링하는 기준 모델을 생성할 수 있다.
일반적인 의미에서, 기준 모델은 인쇄 프로세스를 통해 기준 샘플(124) 상에 인쇄되는 요소들의 패턴의 다양한 특성들에 대한 기준 레티클(120)을 활용하는 인쇄 프로세스의 영향을 기술/모델링하는 본 기술 분야에서 알려진 임의의 모델을 포함할 수 있다. 기준 모델은 PCA(principal component analysis), 머신 러닝 알고리즘 또는 분류기 등을 포함하지만 이에 제한되지 않는 본 기술 분야에서 알려진 임의의 수학적 모델 또는 모델링 기술을 사용하여 제어기(106)에 의해 생성될 수 있다. 예를 들어, 일부 실시예들에서, 기준 모델은 도 6에 예시된 곡선(602)과 유사한 곡선을 기술/모델링하는 기준 모델을 포함할 수 있다.
단계(414)에서, 제품 모델과 기준 모델 간의 하나 이상의 차이점이 식별된다. 예를 들어, 제어기(106)는 제품 모델과 기준 모델 둘 모두를 메모리(110)에 저장하도록 구성될 수 있다. 제어기(106)는 제품 모델과 기준 모델을 비교하고, 제품 모델과 기준 모델 간의 하나 이상의 차이점을 식별하도록 더 구성될 수 있다.
예를 들어, 기준 모델은 기준 샘플(또는 시뮬레이션된 기준 샘플) 상에 인쇄된 콘택트 홀(502a 내지 502n)의 CD의 이상적인 분포를 기술/모델링하는 모델을 포함할 수 있다. 따라서, 기준 모델은 양호한(예를 들면, 낮은) 확률적 결함도를 갖는 기준 샘플의 CD를 예시하는 곡선(602)을 기술/모델링하는 모델을 포함할 수 있다. 유사하게, 제품 모델은 제품 샘플(또는 시뮬레이션된 제품 샘플) 상에 인쇄된 콘택트 홀(502a 내지 502n)의 CD 분포를 기술/모델링하는 모델을 포함할 수 있다. 따라서, 제품 모델은 기준 샘플의 CD를 예시하는 곡선(602)을 기술/모델링하는 모델을 포함할 수 있다. 이 예에서, (확률적 결함도가 검사될) 제품 모델과 (알려진 양호한 확률적 결함도를 가진) 기준 모델을 비교하는 것에 의해, 제어기(106)는 제품 샘플(124) 및/또는 제품 레티클(120) 내에서의 확률적 결함도의 차이를 식별하도록 구성될 수 있다.
단계(416)에서, 하나 이상의 식별된 차이점에 기초하여 제품 레티클(120)의 관리 영역 또는 인쇄된 확률적 결함에 영향을 받는 하나 이상의 시뮬레이션된 제품 샘플 상의 관리 영역 중 적어도 하나가 결정된다. 본 명세서에서 이전에 언급된 바와 같이, "관리 영역"이라는 용어는 확률적 결함에 영향을 받는 제품 레티클(120) 및/또는 시뮬레이션된 제품 샘플(또는 제품 레티클(120)을 통해 제조되는 미래의 샘플(125)) 상의 위치/영역을 지칭하는 데 사용될 수 있다. 실시예들에서, 기준 모델과 제품 모델 간의 차이점을 식별한 후에, 제어기(106)는 식별된 차이점에 기초하여 제품 레티클(120) 및/또는 제품 샘플(124) 상의 하나 이상의 관리 영역을 식별하도록 구성될 수 있다.
일부 실시예들에서, 제품 레티클(120) 및/또는 제품 샘플(124) 상의 하나 이상의 관리 영역을 식별한 후에, 제어기(106)는 식별된 관리 영역에 기초하여 그리고/또는 제품 모델과 기준 모델 사이의 식별된 차이점에 기초하여 확률적 결함의 빈도를 결정하도록 구성될 수 있다. 추가적인 및/또는 대안적인 실시예들에서, 제어기(106)는 확률적 결함의 빈도에 기초하여 제품 레티클과 연관된 레티클 처리 평가를 보고하도록 구성될 수 있다. 일부 실시예들에서, 제어기(106)는 사용자 인터페이스(112)로 하여금 레티클 처리 평가를 사용자에게 디스플레이하게 하도록 구성된 하나 이상의 제어 신호를 생성할 수 있다. 레티클 처리 평가는 본 기술 분야에서 알려진 임의의 평가, 등급 지정(rating) 또는 특성분석을 포함할 수 있다. 예를 들어, 레티클 처리 평가는 "합격" 평가 또는 "불합격" 평가를 포함할 수 있다. 예를 들어, 제어기(106)가 제품 레티클(120) 및/또는 제품 샘플(124) 상의 확률적 결함의 높은 빈도를 식별하는 예에서, 제어기(106)는 제품 레티클과 연관된 "불합격" 레티클 처리 평가를 할당/보고하도록 구성될 수 있다. 다른 예로서, 제어기(106)가 제품 레티클(120) 및/또는 제품 샘플(124) 상의 확률적 결함의 낮은 빈도를 식별하는 예에서, 제어기(106)는 제품 레티클과 연관된 "합격" 레티클 처리 평가를 할당/보고하도록 구성될 수 있다.
추가적인 및/또는 대안적인 실시예들에서, 제어기(106)는 제품 레티클(120)의 관리 영역 또는 하나 이상의 시뮬레이션된 제품 샘플(124)의 관리 영역 중 적어도 하나에 기초하여 하나 이상의 프로세스 툴(예를 들면, 리소그래피 서브시스템(102), 계측 서브시스템(104))의 하나 이상의 특성을 선택적으로 조정하도록 구성된 하나 이상의 제어 신호를 생성하도록 구성될 수 있다. 이와 관련하여, 제어기(106)는 제품 레티클(120) 및/또는 제품 샘플(124)에 대해 수행되는 하나 이상의 제조 또는 검사 프로세스를 선택적으로 조정하기 위해 피드백 및/또는 피드포워드 제어 루프에서 제어 신호를 전송하도록 구성될 수 있다.
예를 들어, 제어기(106)는 제품 샘플(124) 내의 확률적 결함의 존재를 감소시키거나 제거하기 위해 제품 샘플(124)을 제조하는 데 리소그래피 서브시스템(102) 및/또는 다른 프로세스 툴(예를 들면, 퇴적 툴, 에칭 툴 등)에 의해 사용되는 제조 레시피를 선택적으로 수정하도록 구성될 수 있다. 다른 예로서, 제어기(106)는 제품 샘플(124) 상의 식별된 관리 영역을 검사하는 데 계측 서브시스템(102)에 의해 사용될 수 있는 검사 레시피를 생성하도록 구성될 수 있다. 이와 관련하여, 제품 레티클(120) 및/또는 제품 샘플(124) 상의 관리 영역의 식별은 후속 검사 프로세스(예를 들면, 인쇄 확인)를 용이하게 하고 안내하는 데 제어기(106) 및 시스템(100)에 의해 사용될 수 있다. 다른 예로서, 제어기(106)는 제품 레티클 상의 식별된 관리 영역을 감소 및/또는 제거하는 후속 제품 레티클(120)을 제조하기 위해 제품 레티클(120)의 제조 레시피를 선택적으로 수정할 수 있다.
시스템(100)의 하나 이상의 컴포넌트가 본 기술 분야에서 알려진 임의의 방식으로 시스템(100)의 다양한 다른 컴포넌트들에 통신 가능하게 결합될 수 있다는 점에 본 명세서에서 유의한다. 예를 들어, 제어기(106), 리소그래피 서브시스템(102), 계측 서브시스템(104), 및 사용자 인터페이스(112)는 유선 연결(예를 들면, 구리 와이어, 광섬유 케이블 등) 또는 무선 연결(예를 들면, RF 결합, IR 결합, 데이터 네트워크 통신, WiFi, WiMax, 블루투스, 3G, 4G, 4G LTE, 5G 등)을 통해 서로 및 다른 컴포넌트에 통신 가능하게 결합될 수 있다.
일 실시예에서, 하나 이상의 프로세서(108)는 본 기술 분야에서 알려진 임의의 하나 이상의 프로세싱 요소를 포함할 수 있다. 이러한 의미에서, 하나 이상의 프로세서(108)는 소프트웨어 알고리즘 및/또는 명령어를 실행하도록 구성된 임의의 마이크로프로세서형 디바이스(microprocessor-type device)를 포함할 수 있다. 일 실시예에서, 하나 이상의 프로세서(108)는, 본 개시 전반에 걸쳐 기술된 바와 같이, 시스템(100)을 동작시키도록 구성된 프로그램을 실행하도록 구성된 데스크톱 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 다른 컴퓨터 시스템(예컨대, 네트워크화된 컴퓨터)로 구성될 수 있다. 본 개시 전반에 걸쳐 기술된 단계들이 단일 컴퓨터 시스템 또는, 대안적으로, 다수의 컴퓨터 시스템들에 의해 수행될 수 있음이 인식되어야 한다. 게다가, 본 개시 전반에 걸쳐 기술된 단계들이 하나 이상의 프로세서(108) 중 임의의 하나 이상에서 수행될 수 있음이 인식되어야 한다. 일반적으로, "프로세서"라는 용어는 메모리(110)로부터의 프로그램 명령어들을 실행하는, 하나 이상의 프로세싱 요소를 가지는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수 있다. 더욱이, 시스템(100)의 상이한 서브시스템들(예를 들면, 리소그래피 서브시스템(102), 계측 서브시스템(104), 제어기(106))은 본 개시 전반에 걸쳐 기술된 단계들의 적어도 일 부분을 수행하기에 적합한 프로세서 또는 로직 요소들을 포함할 수 있다. 따라서, 상기 설명은 본 개시에 대한 제한으로서 해석되어서는 안되며 단지 예시로서 해석되어야 한다.
메모리(110)는 연관된 하나 이상의 프로세서(108)에 의해 실행 가능한 프로그램 명령어들을 저장하기에 적합한 본 기술 분야에서 알려진 임의의 저장 매체를 포함할 수 있다. 예를 들어, 메모리(110)는 비일시적 메모리 매체를 포함할 수 있다. 예를 들어, 메모리(110)는 판독 전용 메모리(ROM), 랜덤 액세스 메모리(RAM), 자기 또는 광학 메모리 디바이스(예를 들면, 디스크), 자기 테이프, 솔리드 스테이트 드라이브 등을 포함할 수 있지만, 이에 제한되지 않는다. 메모리(110)가 하나 이상의 프로세서(108)와 함께 공통 제어기 하우징 내에 하우징될 수 있다는 점에 더 유의한다. 대안적인 실시예에서, 메모리(110)는 프로세서들(108), 제어기(106) 등의 물리적 위치에 대해 원격으로 위치할 수 있다. 다른 실시예에서, 메모리(110)는 하나 이상의 프로세서(108)로 하여금 본 개시 전반에 걸쳐 기술된 다양한 단계들을 수행하게 하기 위한 프로그램 명령어들을 유지한다.
일 실시예에서, 사용자 인터페이스(112)는 제어기(106)에 통신 가능하게 결합된다. 일 실시예에서, 사용자 인터페이스(112)는 하나 이상의 데스크톱, 태블릿, 스마트 폰, 스마트 워치 등을 포함할 수 있지만 이에 제한되지 않는다. 다른 실시예에서, 사용자 인터페이스(112)는 시스템(100)의 데이터를 사용자에게 디스플레이하는 데 사용되는 디스플레이를 포함한다. 사용자 인터페이스(112)의 디스플레이는 본 기술 분야에서 알려진 임의의 디스플레이를 포함할 수 있다. 예를 들어, 디스플레이는 LCD(liquid crystal display), OLED(organic light-emitting diode) 기반 디스플레이, 또는 CRT 디스플레이를 포함할 수 있지만, 이에 제한되지 않는다. 본 기술 분야의 통상의 기술자라면 사용자 인터페이스(112)와 통합될 수 있는 임의의 디스플레이 디바이스가 본 개시에서의 구현에 적합하다는 것을 인식할 것이다. 다른 실시예에서, 사용자는 사용자 인터페이스(112)를 통해 사용자에게 디스플레이되는 데이터에 응답하여 선택 및/또는 지시를 입력할 수 있다.
본 기술 분야의 통상의 기술자는 본 명세서에 기술된 컴포넌트(예를 들면, 동작), 디바이스, 대상물, 및 이에 수반되는 논의가 개념적 명확성을 위해 예로서 사용된다는 것과 다양한 구성 수정들이 고려된다는 것을 인식할 것이다. 결과적으로, 본 명세서에서 사용되는 바와 같이, 기재된 특정 예 및 부수적인 논의는 그의 보다 일반적인 부류를 대표하는 것으로 의도된다. 일반적으로, 임의의 특정 예의 사용은 그의 부류를 대표하는 것으로 의도되고, 특정 컴포넌트(예를 들면, 동작), 디바이스, 및 대상물의 불포함이 제한하는 것으로 해석되어서는 안된다.
본 기술 분야의 통상의 기술자는 본 명세서에 설명된 프로세스 및/또는 시스템 및/또는 다른 기술을 실시할 수 있는 다양한 수단(vehicle)(예를 들면, 하드웨어, 소프트웨어, 및/또는 펌웨어)이 있다는 것과, 프로세스 및/또는 시스템 및/또는 다른 기술이 배포되는 맥락에 따라 선호된 수단이 달라질 것임을 이해할 것이다. 예를 들어, 구현자가 속도 및 정확도가 가장 중요하다고 결정하는 경우, 구현자는 주로 하드웨어 및/또는 펌웨어인 수단을 선택할 수 있거나; 대안적으로, 유연성이 가장 중요한 경우, 구현자는 주로 소프트웨어인 구현을 선택할 수 있거나; 또는, 또다시 말하지만 대안적으로, 구현자는 하드웨어, 소프트웨어, 및/또는 펌웨어의 어떤 조합을 선택할 수 있다. 따라서, 본 명세서에서 설명된 프로세스 및/또는 디바이스 및/또는 다른 기술을 실시할 수 있는 여러 가능한 수단들이 있으며, 활용될 임의의 수단은 수단이 배포될 맥락 및 구현자의 특정 관심사(예를 들어, 속도, 유연성, 또는 예측가능성(predictability)) - 이들 중 임의의 것이 달라질 수 있음 - 에 따른 선택사항이라는 점에서, 이들 중 어떤 것도 다른 것보다 본질적으로 우월한 것은 아니다.
이전의 설명은 본 기술 분야의 통상의 기술자가 특정 응용 및 그 요구사항의 맥락에서 제공된 바와 같이 본 발명을 제조 및 사용할 수 있게 하기 위해 제시된다. 본 명세서에서 사용되는 바와 같이, “상단(top)", 하단(bottom)", "위에(over)", "아래에(under)", "상부(upper)", "상향(upward)", "하부(lower)", "아래로(down)", 및 "하향(downward)"과 같은 방향 용어는 설명 목적으로 상대적인 위치를 제공하는 것으로 의도되고, 절대적 기준 프레임(absolute frame of reference)을 지정하는 것으로 의도되지 않는다. 설명된 실시예에 대한 다양한 수정들이 본 기술 분야의 통상의 기술자에게 명백할 것이고, 본 명세서에서 규정된 일반 원리들이 다른 실시예들에 적용될 수 있다. 따라서, 본 발명이 도시되고 설명된 특정 실시예들로 제한되는 것으로 의도되지 않으며, 개시된 본 명세서에서의 원리들 및 신규의 특징들과 부합하는 가장 넓은 범위를 부여받아야 한다.
본 명세서에서의 거의 모든 복수 및/또는 단수 용어들의 사용과 관련하여, 본 기술 분야의 통상의 기술자는 문맥 및/또는 응용에 적절한 경우 복수로부터 단수로 그리고/또는 단수로부터 복수로 해석할 수 있다. 다양한 단수/복수 치환이 명확성을 위해 본 명세서에서 명시적으로 기재되지 않는다.
본 명세서에서 설명된 방법들 전부는 방법 실시예들의 하나 이상의 단계의 결과들을 메모리에 저장하는 것을 포함할 수 있다. 결과들은 본 명세서에서 설명된 결과들 중 임의의 것을 포함할 수 있으며 본 기술 분야에서 알려진 임의의 방식으로 저장될 수 있다. 메모리는 본 명세서에 설명된 임의의 메모리 또는 본 기술 분야에서 알려진 임의의 다른 적합한 저장 매체를 포함할 수 있다. 결과들이 저장된 후에, 결과들은 메모리에서 액세스되어 본 명세서에서 설명된 방법 또는 시스템 실시예들 중 임의의 것에 의해 사용될 수 있고, 사용자에게 디스플레이하기 위해 포맷팅될 수 있으며, 다른 소프트웨어 모듈, 방법, 또는 시스템에 의해 사용될 수 있고, 기타 등등일 수 있다. 게다가, 결과들은 "영구적으로", "반영구적으로", "일시적으로" 또는 어떤 시간 기간 동안 저장될 수 있다. 예를 들어, 메모리는 랜덤 액세스 메모리(RAM)일 수 있고, 결과들이 반드시 무한정으로 메모리에 존속되는 것은 아닐 수 있다.
위에서 설명된 방법의 실시예들 각각이 본 명세서에서 설명된 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수 있음이 더 고려된다. 추가적으로, 위에서 설명된 방법의 실시예들 각각은 본 명세서에 설명된 시스템들 중 임의의 것에 의해 수행될 수 있다.
본 명세서에 설명된 주제는 때때로 다른 컴포넌트들 내에 포함되거나 다른 컴포넌트들과 연결되는 상이한 컴포넌트들을 예시한다. 그러한 묘사된 아키텍처들이 단지 예시적인 것이라는 것과, 실제로, 동일한 기능성을 달성하는 많은 다른 아키텍처들이 구현될 수 있다는 것이 이해되어야 한다. 개념적 의미에서, 동일한 기능성을 달성하기 위한 컴포넌트들의 임의의 배열은 원하는 기능성이 달성되도록 효과적으로 "연관"된다. 따라서, 특정 기능성을 달성하도록 조합되는 본 명세서에서의 임의의 2개의 컴포넌트는, 아키텍처 또는 매개 컴포넌트(intermedial component)와 관계없이, 원하는 기능성이 달성되도록 서로 "연관"되는 것처럼 보일 수 있다. 마찬가지로, 그렇게 연관된 임의의 2개의 컴포넌트가 또한 원하는 기능성을 달성하도록 서로 "연결" 또는 "결합"된 것처럼 보일 수 있고, 그렇게 연관될 수 있는 임의의 2개의 컴포넌트가 또한 원하는 기능성을 달성하도록 서로 "결합 가능한" 것처럼 보일 수 있다. 결합 가능한(couplable)의 특정 예는 물리적으로 정합 가능(physically mateable)하고/하거나 물리적으로 상호작용하는 컴포넌트 및/또는 무선으로 상호작용 가능하고/하거나 무선으로 상호작용하는 컴포넌트 및/또는 논리적으로 상호작용하고/하거나 논리적으로 상호작용 가능한 컴포넌트를 포함하지만 이에 제한되지 않는다.
게다가, 본 발명이 첨부된 청구항들에 의해 한정된다는 것이 이해되어야 한다. 일반적으로, 본 명세서에서, 특히 첨부된 청구항(예를 들어, 첨부된 청구항의 본문)에서 사용되는 용어가 일반적으로 "개방형(open)" 용어로서 의도된다는 것이 본 기술 분야의 통상의 기술자에 의해 이해될 것이다(예를 들면, 용어 "포함하는(including)"은 "포함하지만 이에 제한되지 않는(including but not limited to)"으로서 해석되어야 하고, 용어 "갖는(having)"은 "적어도 갖는(having at least)"으로서 해석되어야 하며, 용어 "포함한다(includes)"는 "포함하지만 이에 제한되지 않는다(includes but is not limited to)"로서 해석되어야 하고, 기타 등등이다). 도입 청구항 열거(introduced claim recitation)의 특정 번호가 의도되는 경우, 그러한 의도가 청구항에서 명시적으로 열거될 것이며, 그러한 열거가 없는 경우, 그러한 의도가 존재하지 않는다는 것이 본 기술 분야의 통상의 기술자에 의해 더 이해될 것이다. 예를 들어, 이해를 돕기 위해, 이하의 첨부된 청구항은 청구항 열거를 도입하기 위해 도입 문구(introductory phrase) "적어도 하나" 및 "하나 이상"의 사용을 포함할 수 있다. 그렇지만, 동일한 청구항이 도입 문구 "하나 이상" 또는 "적어도 하나" 및 "a" 또는 "an"과 같은 부정 관사(예를 들면, "a" 및/또는 "an"은 전형적으로 "적어도 하나" 또는 "하나 이상"을 의미하는 것으로 해석되어야 함)를 포함할 때에도, 그러한 문구의 사용은 부정관사 "a" 또는 "an"에 의한 청구항 열거의 도입이 그러한 도입된 청구항 열거를 포함하는 임의의 특정 청구항을 단지 하나의 그러한 열거를 포함하는 발명으로 제한한다는 것을 암시하는 것으로 해석되어서는 안되며; 청구항 열거를 도입하는 데 사용되는 정관사의 사용에 대해서도 마찬가지이다. 추가적으로, 도입된 청구항 열거의 특정 번호가 명시적으로 열거되더라도, 본 기술 분야의 통상의 기술자는 그러한 열거가 전형적으로 적어도 열거된 번호를 의미하는 것으로 해석되어야 한다는 것을 인식할 것이다(예를 들면, 다른 수식어를 갖지 않는 "2개의 열거"의 단순 열거(bare recitation)는 전형적으로 적어도 2개의 열거 또는 2개 이상의 열거를 의미한다). 게다가, "A, B, 및 C 등 중 적어도 하나"와 유사한 관습적 표현(convention)이 사용되는 해당 경우에서, 일반적으로, 그러한 구조(construction)는 본 기술 분야의 통상의 기술자가 관습적 표현을 이해하는 의미로 의도된다(예를 들면, "A, B, 및 C 중 적어도 하나를 갖는 시스템"은 A만, B만, C만, A와 B를 함께, A와 C를 함께, B와 C를 함께, 그리고/또는 A, B, 및 C를 함께, 기타 등등을 갖는 시스템을 포함하지만 이에 제한되지 않을 것이다). "A, B, 또는 C 등 중 적어도 하나"와 유사한 관습적 표현이 사용되는 해당 경우에서, 일반적으로, 그러한 구조는 본 기술 분야의 통상의 기술자가 관습적 표현을 이해하는 의미로 의도된다(예를 들어, "A, B, 또는 C 중 적어도 하나를 갖는 시스템"은 A만, B만, C만, A와 B를 함께, A와 C를 함께, B와 C를 함께, 그리고/또는 A, B, 및 C를 함께, 기타 등등을 갖는 시스템을 포함하지만 이에 제한되지 않을 것이다). 설명에서든, 청구항에서든, 또는 도면에서든 간에, 2개 이상의 대안적 용어를 제시하는 거의 모든 이접 접속어(disjunctive word) 및/또는 이접 접속구(disjunctive phrase)가 용어들 중 하나, 용어들 중 어느 하나, 또는 용어들 모두를 포함하는 가능성을 고려하는 것으로 이해되어야 한다는 것이 본 기술 분야의 통상의 기술자에 의해 더 이해될 것이다. 예를 들어, 문구 "A 또는 B"는 "A" 또는 "B" 또는 "A 및 B"의 가능성을 포함하는 것으로 이해될 것이다.
본 개시 및 그의 부수적 장점들 중 다수가 전술한 설명에 의해 이해될 것으로 생각되며, 개시된 주제를 벗어나지 않으면서 또는 그의 실질적 장점들 전부를 희생시키지 않으면서 컴포넌트의 형태, 구조 및 배열에 다양한 변경이 이루어질 수 있음이 명백할 것이다. 설명된 형태는 단지 설명적인 것이며, 이하의 청구항의 의도는 그러한 변경을 포괄하고 포함하는 것이다. 게다가, 본 발명이 첨부된 청구항에 의해 한정된다는 것이 이해되어야 한다.

Claims (28)

  1. 시스템으로서,
    메모리에 저장된 프로그램 명령어 세트를 실행하도록 구성된 하나 이상의 프로세서를 포함하는 제어기
    를 포함하며, 상기 프로그램 명령어 세트는 상기 하나 이상의 프로세서로 하여금,
    제품 레티클의 제품 계측 데이터를 획득하게 하고 - 상기 제품 레티클은 하나 이상의 제품 샘플 상에 요소들의 패턴을 인쇄하는 데 사용되도록 구성됨 -;
    상기 요소들의 패턴을 포함하는 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 상기 제품 레티클의 상기 제품 계측 데이터에 기초하여 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션을 수행하게 하며;
    상기 제품 레티클에 의한 상기 요소들의 패턴의 상기 인쇄 프로세스를 모델링하는 상기 제품 레티클의 제품 모델을 생성하게 하고;
    상기 제품 모델과 기준 모델 간의 하나 이상의 인쇄 프로세스 차이점을 식별하게 하고 - 상기 기준 모델은 계측 서브시스템을 통해 하나 이상의 기준 샘플로부터 획득되는 기준 계측 데이터에 기초하여 생성되거나, 하나 이상의 시뮬레이션된 기준 샘플로부터 획득되는 시뮬레이션된 계측 데이터에 기초하여 생성됨 - ;
    상기 하나 이상의 식별된 인쇄 프로세스 차이점에 기초하여 상기 하나 이상의 제품 샘플 상에 확률적 결함을 인쇄하는 것에 영향을 받는 상기 제품 레티클의 관리 영역(care area) 또는 인쇄된 확률적 결함에 영향을 받는 상기 하나 이상의 시뮬레이션된 제품 샘플 상의 관리 영역 중 적어도 하나를 식별하게 하고;
    상기 하나 이상의 시뮬레이션된 제품 샘플의 관리 영역 또는 상기 제품 레티클의 관리 영역 중 적어도 하나에 기초하여 하나 이상의 프로세스 툴의 하나 이상의 특성을 조정하게 하도록
    구성되는 것인, 시스템.
  2. 제1항에 있어서,
    상기 제어기는,
    상기 하나 이상의 기준 샘플 상에 인쇄될 상기 요소들의 패턴의 상기 기준 계측 데이터를 획득하는 것; 및
    상기 기준 계측 데이터에 기초하여 상기 요소들의 패턴에 대한 상기 기준 모델을 생성하는 것
    에 의해, 상기 하나 이상의 식별된 인쇄 프로세스 차이점에 기초하여, 상기 하나 이상의 제품 샘플 상에 상기 확률적 결함을 인쇄하는 것에 영향을 받는 상기 제품 레티클의 상기 관리 영역 및 상기 인쇄된 확률적 결함에 영향을 받는 상기 하나 이상의 시뮬레이션된 제품 샘플 상의 상기 관리 영역, 중 적어도 하나를 식별하도록
    구성되는 것인, 시스템.
  3. 제2항에 있어서,
    상기 하나 이상의 기준 샘플 상에 인쇄될 상기 요소들의 패턴의 상기 기준 계측 데이터를 획득하는 것은,
    기준 레티클의 하나 이상의 측정치를 획득하는 것;
    하나 이상의 시뮬레이션된 기준 샘플을 생성하기 위해 상기 기준 레티클의 상기 하나 이상의 측정치에 기초하여 상기 인쇄 프로세스의 상기 하나 이상의 확률적 시뮬레이션을 수행하는 것; 및
    상기 하나 이상의 시뮬레이션된 기준 샘플의 상기 시뮬레이션된 계측 데이터를 획득하는 것
    을 포함하는 것인, 시스템.
  4. 제3항에 있어서,
    상기 기준 모델은 상기 시뮬레이션된 계측 데이터에 기초하여 생성되는 것인, 시스템.
  5. 제2항에 있어서,
    상기 하나 이상의 기준 샘플 상에 인쇄될 상기 요소들의 패턴의 상기 기준 계측 데이터를 획득하는 것은,
    리소그래피 서브시스템으로 하여금 기준 레티클을 사용하여 상기 하나 이상의 기준 샘플 상에 상기 요소들의 패턴을 인쇄하게 하는 것; 및
    상기 하나 이상의 기준 샘플 상의 상기 요소들의 패턴의 하나 이상의 측정치를 획득하는 것
    을 포함하는 것인, 시스템.
  6. 제1항에 있어서,
    상기 인쇄 프로세스의 상기 하나 이상의 확률적 시뮬레이션은 상기 하나 이상의 시뮬레이션된 제품 샘플 상의 상기 요소들의 패턴의 제조를 시뮬레이션하도록 구성되는 것인, 시스템.
  7. 제1항에 있어서,
    상기 하나 이상의 확률적 시뮬레이션은 상기 인쇄 프로세스의 몬테카를로 시뮬레이션을 포함하는 것인, 시스템.
  8. 제1항에 있어서,
    상기 제품 레티클에 의한 상기 요소들의 패턴의 상기 인쇄 프로세스를 모델링하는 상기 제품 레티클의 상기 제품 모델을 생성하는 것은,
    상기 하나 이상의 시뮬레이션된 제품 샘플의 상기 시뮬레이션된 계측 데이터를 획득하는 것; 및
    상기 시뮬레이션된 계측 데이터에 기초하여 상기 제품 모델을 생성하는 것
    을 포함하는 것인, 시스템.
  9. 제1항에 있어서,
    상기 제어기는,
    상기 제품 레티클의 하나 이상의 광학 이미지 또는 상기 제품 레티클의 하나 이상의 주사 전자 현미경(SEM) 이미지를 획득하도록 계측 서브시스템에 지시하는 것;
    상기 계측 서브시스템으로부터 상기 하나 이상의 획득된 이미지를 수신하는 것; 및
    상기 하나 이상의 획득된 이미지에 기초하여 상기 제품 계측 데이터를 생성하는 것
    에 의해 상기 제품 레티클의 상기 제품 계측 데이터를 획득하도록 구성되는 것인, 시스템.
  10. 제1항에 있어서,
    상기 제어기는,
    상기 제품 레티클의 설계 데이터를 리트리빙하는 것(retrieving); 및
    상기 제품 레티클의 상기 설계 데이터에 기초하여 상기 제품 레티클의 상기 제품 계측 데이터를 획득하는 것
    에 의해 상기 제품 레티클의 상기 제품 계측 데이터를 획득하도록 구성되는 것인, 시스템.
  11. 제1항에 있어서,
    상기 제어기는 또한, 상기 제품 레티클의 상기 관리 영역 또는 상기 하나 이상의 시뮬레이션된 제품 샘플의 상기 관리 영역에 기초하여 상기 확률적 결함의 빈도를 결정하도록 구성되는 것인, 시스템.
  12. 제11항에 있어서,
    상기 제어기는 또한, 상기 확률적 결함의 빈도에 기초하여 상기 제품 레티클과 연관된 레티클 처리 평가(reticle disposition evaluation)를 보고하도록 구성되는 것인, 시스템.
  13. 제12항에 있어서,
    상기 레티클 처리 평가는 합격 평가 또는 불합격 평가를 포함하는 것인, 시스템.
  14. 제1항에 있어서,
    상기 제어기는 또한, 상기 제품 레티클의 상기 관리 영역 또는 상기 하나 이상의 시뮬레이션된 제품 샘플의 상기 관리 영역 중 적어도 하나에 기초하여 상기 하나 이상의 프로세스 툴의 상기 하나 이상의 특성을 선택적으로 조정하도록 구성된 하나 이상의 제어 신호를 생성하도록 구성되는 것인, 시스템.
  15. 시스템으로서,
    메모리에 저장된 프로그램 명령어 세트를 실행하도록 구성된 하나 이상의 프로세서를 포함하는 제어기
    를 포함하며, 상기 프로그램 명령어 세트는 상기 하나 이상의 프로세서로 하여금,
    하나 이상의 기준 샘플 상에 인쇄될 요소들의 패턴의 기준 계측 데이터를 획득하게 하고;
    상기 기준 계측 데이터에 기초하여 상기 요소들의 패턴의 기준 모델을 생성하게 하며;
    제품 레티클의 제품 계측 데이터를 획득하게 하고 - 상기 제품 레티클은 하나 이상의 제품 샘플 상에 상기 요소들의 패턴을 인쇄하는 데 사용되도록 구성됨 -;
    상기 요소들의 패턴을 포함하는 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 상기 제품 레티클의 상기 제품 계측 데이터에 기초하여 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션을 수행하게 하며;
    상기 제품 레티클에 의한 상기 요소들의 패턴의 상기 인쇄 프로세스를 모델링하는 상기 제품 레티클의 제품 모델을 생성하게 하고;
    상기 제품 모델과 상기 기준 모델 간의 하나 이상의 인쇄 프로세스 차이점을 식별하게 하고;
    상기 하나 이상의 식별된 인쇄 프로세스 차이점에 기초하여, 상기 하나 이상의 제품 샘플 상에 확률적 결함을 인쇄하는 것에 영향을 받는 상기 제품 레티클의 관리 영역 또는 인쇄된 확률적 결함에 영향을 받는 상기 하나 이상의 시뮬레이션된 제품 샘플 상의 관리 영역 중 적어도 하나를 식별하게 하고;
    상기 제품 레티클의 상기 관리 영역 또는 상기 하나 이상의 시뮬레이션된 제품 샘플의 상기 관리 영역 중 적어도 하나에 기초하여 하나 이상의 프로세스 툴의 하나 이상의 특성을 조정하게 하도록
    구성되는 것인, 시스템.
  16. 제15항에 있어서,
    상기 하나 이상의 기준 샘플 상에 인쇄될 상기 요소들의 패턴의 상기 기준 계측 데이터를 획득하는 것은,
    기준 레티클의 하나 이상의 측정치를 획득하는 것;
    하나 이상의 시뮬레이션된 기준 샘플을 생성하기 위해 상기 기준 레티클의 상기 하나 이상의 측정치에 기초하여 상기 인쇄 프로세스의 상기 하나 이상의 확률적 시뮬레이션을 수행하는 것; 및
    상기 하나 이상의 시뮬레이션된 기준 샘플의 시뮬레이션된 계측 데이터를 획득하는 것
    을 포함하는 것인, 시스템.
  17. 제16항에 있어서,
    상기 기준 모델은 상기 시뮬레이션된 계측 데이터에 기초하여 생성되는 것인, 시스템.
  18. 제15항에 있어서,
    상기 하나 이상의 기준 샘플 상에 인쇄될 상기 요소들의 패턴의 상기 기준 계측 데이터를 획득하는 것은,
    상기 하나 이상의 프로세스 툴로 하여금 기준 레티클을 사용하여 상기 하나 이상의 기준 샘플 상에 상기 요소들의 패턴을 인쇄하게 하는 것; 및
    상기 하나 이상의 기준 샘플 상의 상기 요소들의 패턴의 하나 이상의 측정치를 획득하는 것
    을 포함하는 것인, 시스템.
  19. 제15항에 있어서,
    상기 제품 레티클에 의한 상기 요소들의 패턴의 상기 인쇄 프로세스를 모델링하는 상기 제품 레티클의 상기 제품 모델을 생성하는 것은,
    상기 하나 이상의 시뮬레이션된 제품 샘플의 시뮬레이션된 계측 데이터를 획득하는 것; 및
    상기 시뮬레이션된 계측 데이터에 기초하여 상기 제품 모델을 생성하는 것
    을 포함하는 것인, 시스템.
  20. 제15항에 있어서,
    상기 하나 이상의 확률적 시뮬레이션은 상기 인쇄 프로세스의 몬테카를로 시뮬레이션을 포함하는 것인, 시스템.
  21. 제15항에 있어서,
    상기 제어기는,
    상기 제품 레티클의 하나 이상의 광학 이미지 또는 상기 제품 레티클의 하나 이상의 주사 전자 현미경(SEM) 이미지를 획득하도록 계측 서브시스템에 지시하는 것;
    상기 계측 서브시스템으로부터 상기 하나 이상의 획득된 이미지를 수신하는 것; 및
    상기 하나 이상의 획득된 이미지에 기초하여 상기 제품 계측 데이터를 생성하는 것
    에 의해 상기 제품 레티클의 상기 제품 계측 데이터를 획득하도록 구성되는 것인, 시스템.
  22. 제15항에 있어서,
    상기 제어기는,
    상기 제품 레티클의 설계 데이터를 검색하는 것; 및
    상기 제품 레티클의 상기 설계 데이터에 기초하여 상기 제품 레티클의 상기 제품 계측 데이터를 획득하는 것
    에 의해 상기 제품 레티클의 상기 제품 계측 데이터를 획득하도록 구성되는 것인, 시스템.
  23. 제15항에 있어서,
    상기 제어기는 또한, 상기 제품 레티클의 상기 관리 영역 또는 상기 하나 이상의 시뮬레이션된 제품 샘플의 상기 관리 영역에 기초하여 상기 확률적 결함의 빈도를 결정하도록 구성되는 것인, 시스템.
  24. 제23항에 있어서,
    상기 제어기는 또한, 상기 확률적 결함의 빈도에 기초하여 상기 제품 레티클과 연관된 레티클 처리 평가를 보고하도록 구성되는 것인, 시스템.
  25. 제24항에 있어서,
    상기 레티클 처리 평가는 합격 평가 또는 불합격 평가를 포함하는 것인, 시스템.
  26. 제15항에 있어서,
    상기 제어기는 또한, 상기 제품 레티클의 상기 관리 영역 또는 상기 하나 이상의 시뮬레이션된 제품 샘플의 상기 관리 영역 중 적어도 하나에 기초하여 상기 하나 이상의 프로세스 툴의 상기 하나 이상의 특성을 선택적으로 조정하도록 구성된 하나 이상의 제어 신호를 생성하도록 구성되는 것인, 시스템.
  27. 확률적 결함을 식별하기 위한 방법으로서,
    제품 레티클의 제품 계측 데이터를 획득하는 단계 - 상기 제품 레티클은 하나 이상의 제품 샘플 상에 요소들의 패턴을 인쇄하는 데 사용되도록 구성됨 -;
    상기 요소들의 패턴을 포함하는 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 상기 제품 레티클의 상기 제품 계측 데이터에 기초하여 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션을 수행하는 단계;
    상기 제품 레티클에 의한 상기 요소들의 패턴의 상기 인쇄 프로세스를 모델링하는 상기 제품 레티클의 제품 모델을 생성하는 단계;
    상기 제품 모델과 기준 모델 간의 하나 이상의 인쇄 프로세스 차이점을 식별하는 단계 - 상기 기준 모델은 계측 서브시스템을 통해 하나 이상의 기준 샘플로부터 획득되는 기준 계측 데이터에 기초하여 생성되거나, 하나 이상의 시뮬레이션된 기준 샘플로부터 획득되는 시뮬레이션된 계측 데이터에 기초하여 생성됨 -;
    상기 하나 이상의 식별된 인쇄 프로세스 차이점에 기초하여 상기 하나 이상의 제품 샘플 상에 상기 확률적 결함을 인쇄하는 것에 영향을 받는 상기 제품 레티클의 관리 영역 또는 인쇄된 확률적 결함에 영향을 받는 상기 하나 이상의 시뮬레이션된 제품 샘플 상의 관리 영역 중 적어도 하나를 식별하는 단계; 및
    상기 제품 레티클의 관리 영역 또는 상기 하나 이상의 시뮬레이션된 제품 샘플의 관리 영역 중 적어도 하나에 기초하여 하나 이상의 프로세스 툴의 하나 이상의 특성을 조정하는 단계
    를 포함하는, 방법.
  28. 확률적 결함을 식별하기 위한 방법으로서,
    하나 이상의 기준 샘플 상에 인쇄될 요소들의 패턴의 기준 계측 데이터를 획득하는 단계;
    상기 기준 계측 데이터에 기초하여 상기 요소들의 패턴의 기준 모델을 생성하는 단계;
    제품 레티클의 제품 계측 데이터를 획득하는 단계 - 상기 제품 레티클은 하나 이상의 제품 샘플 상에 상기 요소들의 패턴을 인쇄하는 데 사용되도록 구성됨 -;
    상기 요소들의 패턴을 포함하는 하나 이상의 시뮬레이션된 제품 샘플을 생성하기 위해 상기 제품 레티클의 상기 제품 계측 데이터에 기초하여 인쇄 프로세스의 하나 이상의 확률적 시뮬레이션을 수행하는 단계;
    상기 제품 레티클에 의한 상기 요소들의 패턴의 상기 인쇄 프로세스를 모델링하는 상기 제품 레티클의 제품 모델을 생성하는 단계;
    상기 제품 모델과 상기 기준 모델 간의 하나 이상의 인쇄 프로세스 차이점을 식별하는 단계;
    상기 하나 이상의 식별된 인쇄 프로세스 차이점에 기초하여, 상기 하나 이상의 시뮬레이션된 제품 샘플 상에 상기 확률적 결함을 인쇄하는 것에 영향을 받는 상기 제품 레티클의 관리 영역 또는 인쇄된 확률적 결함에 영향을 받는 상기 하나 이상의 제품 샘플 상의 관리 영역 중 적어도 하나를 식별하는 단계; 및
    상기 제품 레티클의 관리 영역 또는 상기 하나 이상의 시뮬레이션된 제품 샘플의 관리 영역 중 적어도 하나에 기초하여 하나 이상의 프로세스 툴의 하나 이상의 특성을 조정하는 단계
    를 포함하는, 방법.
KR1020217036240A 2019-04-09 2020-04-07 확률적 레티클 결함 처리 KR102616536B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962831524P 2019-04-09 2019-04-09
US62/831,524 2019-04-09
US16/824,327 2020-03-19
US16/824,327 US10990019B2 (en) 2019-04-09 2020-03-19 Stochastic reticle defect dispositioning
PCT/US2020/026971 WO2020210177A1 (en) 2019-04-09 2020-04-07 Stochastic reticle defect dispositioning

Publications (2)

Publication Number Publication Date
KR20210138122A KR20210138122A (ko) 2021-11-18
KR102616536B1 true KR102616536B1 (ko) 2023-12-20

Family

ID=72747403

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217036240A KR102616536B1 (ko) 2019-04-09 2020-04-07 확률적 레티클 결함 처리

Country Status (5)

Country Link
US (1) US10990019B2 (ko)
JP (1) JP7369788B2 (ko)
KR (1) KR102616536B1 (ko)
TW (1) TWI818169B (ko)
WO (1) WO2020210177A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
KR20240044433A (ko) * 2021-08-11 2024-04-04 에이에스엠엘 네델란즈 비.브이. 마스크 결함 검출

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296592A (ja) 2003-03-26 2004-10-21 Dainippon Screen Mfg Co Ltd 欠陥分類装置、欠陥分類方法およびプログラム
US20140199791A1 (en) 2012-11-20 2014-07-17 Kla-Tencor Corporation Method and System for Universal Target Based Inspection and Metrology
US20170082921A1 (en) 2015-09-22 2017-03-23 Samsung Electronics Co., Ltd. Method of correcting mask pattern and method of manufacturing reticle
WO2018099742A1 (en) 2016-12-02 2018-06-07 Asml Netherlands B.V. Model for estimating stochastic variation
US20180275523A1 (en) 2017-03-22 2018-09-27 Kla-Tencor Corporation Stochastically-Aware Metrology and Fabrication
WO2019011604A1 (en) 2017-07-12 2019-01-17 Asml Netherlands B.V. PREDICTION OF DEFECTS

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6699627B2 (en) * 2000-12-08 2004-03-02 Adlai Smith Reference wafer and process for manufacturing same
US6734971B2 (en) * 2000-12-08 2004-05-11 Lael Instruments Method and apparatus for self-referenced wafer stage positional error mapping
JP2005258080A (ja) 2004-03-11 2005-09-22 Matsushita Electric Ind Co Ltd レイアウトデータ検証方法、マスクパターン検証方法および回路動作検証方法
US7729529B2 (en) * 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
WO2007030704A2 (en) 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US8555210B2 (en) * 2011-04-29 2013-10-08 Micron Technology, Inc. Systems and methods for stochastic models of mask process variability
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
US8718353B2 (en) * 2012-03-08 2014-05-06 Kla-Tencor Corporation Reticle defect inspection with systematic defect filter
US10101670B2 (en) * 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US8910092B1 (en) * 2013-11-13 2014-12-09 Taiwan Semiconductor Manufacturing Co., Ltd. Model based simulation method with fast bias contour for lithography process check
US9087176B1 (en) * 2014-03-06 2015-07-21 Kla-Tencor Corporation Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control
CN111340762B (zh) * 2015-08-10 2021-06-25 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
US10901325B2 (en) * 2017-02-28 2021-01-26 Kla-Tencor Corporation Determining the impacts of stochastic behavior on overlay metrology data
US10656532B2 (en) * 2017-04-13 2020-05-19 Fractilia, Llc Edge detection system and its use for optical proximity correction
DE102018202637B4 (de) * 2018-02-21 2021-09-23 Carl Zeiss Smt Gmbh Verfahren zur Bestimmung einer Fokuslage einer Lithographie-Maske und Metrologiesystem zur Durchführung eines derartigen Verfahrens

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296592A (ja) 2003-03-26 2004-10-21 Dainippon Screen Mfg Co Ltd 欠陥分類装置、欠陥分類方法およびプログラム
US20140199791A1 (en) 2012-11-20 2014-07-17 Kla-Tencor Corporation Method and System for Universal Target Based Inspection and Metrology
US20170082921A1 (en) 2015-09-22 2017-03-23 Samsung Electronics Co., Ltd. Method of correcting mask pattern and method of manufacturing reticle
WO2018099742A1 (en) 2016-12-02 2018-06-07 Asml Netherlands B.V. Model for estimating stochastic variation
US20180275523A1 (en) 2017-03-22 2018-09-27 Kla-Tencor Corporation Stochastically-Aware Metrology and Fabrication
WO2019011604A1 (en) 2017-07-12 2019-01-17 Asml Netherlands B.V. PREDICTION OF DEFECTS

Also Published As

Publication number Publication date
TWI818169B (zh) 2023-10-11
KR20210138122A (ko) 2021-11-18
TW202105056A (zh) 2021-02-01
US20200326634A1 (en) 2020-10-15
JP2022526625A (ja) 2022-05-25
US10990019B2 (en) 2021-04-27
WO2020210177A1 (en) 2020-10-15
JP7369788B2 (ja) 2023-10-26

Similar Documents

Publication Publication Date Title
KR102616536B1 (ko) 확률적 레티클 결함 처리
KR102327900B1 (ko) 확률적 인식형 계측 및 제조
EP3549158B1 (en) Metrology recipe generation using predicted metrology images
US9879977B2 (en) Apparatus and method for optical metrology with optimized system parameters
EP3548971B1 (en) Overlay control with non-zero offset prediction
TWI782245B (zh) 用於訓練圖案化製程之機器學習模型之方法及電腦程式產品
JP5042494B2 (ja) 散乱光の角度分布を使ったマスクブランクの欠陥の検出および特性評価
CN110945636B (zh) 用于高效工艺窗口探索的混合检验系统
JP2017026638A (ja) 検査装置及び方法
US11353799B1 (en) System and method for error reduction for metrology measurements
US20220035256A1 (en) Determining hot spot ranking based on wafer measurement
JP2018508995A (ja) フォーカスエラー感応性が減少した光学的計測
JP6934944B2 (ja) 弱パターン定量方法及びシステム
US11221561B2 (en) System and method for wafer-by-wafer overlay feedforward and lot-to-lot feedback control
TWI815419B (zh) 用於判定與微影製程相關之隨機度量之方法
US20240168391A1 (en) Device feature specific edge placement error (epe)
KR20240028997A (ko) 측정 레시피를 모니터링하는 방법 및 연계된 메트롤로지 방법들 및 장치들
TW202344929A (zh) 製程窗驗證調變佈局

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant