KR102606104B1 - 반도체 제조 공정에서의 의사 결정 방법 - Google Patents

반도체 제조 공정에서의 의사 결정 방법 Download PDF

Info

Publication number
KR102606104B1
KR102606104B1 KR1020217023941A KR20217023941A KR102606104B1 KR 102606104 B1 KR102606104 B1 KR 102606104B1 KR 1020217023941 A KR1020217023941 A KR 1020217023941A KR 20217023941 A KR20217023941 A KR 20217023941A KR 102606104 B1 KR102606104 B1 KR 102606104B1
Authority
KR
South Korea
Prior art keywords
data
substrate
indicators
category
decision
Prior art date
Application number
KR1020217023941A
Other languages
English (en)
Other versions
KR20210105424A (ko
Inventor
아르노 후바욱스
요한 프란치스쿠스 마리아 베커스
딜런 존 데이비드 데이비스
요한 게르투디스 코르넬리스 쿤넨
빌렘 리차드 폰저스
아진키아 라빈드라 다바레
정-순 이
게르기오스 트시로기아니스
헨드릭 코르넬리스 안톤 보거
프레데릭 에두아르드 데 종
주안 마누엘 곤잘레스 우에스카
안드리 바실리오비치 흘로드
맥심 피사렌코
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19155660.4A external-priority patent/EP3693795A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210105424A publication Critical patent/KR20210105424A/ko
Application granted granted Critical
Publication of KR102606104B1 publication Critical patent/KR102606104B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45028Lithography
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/49Nc machine tool, till multiple
    • G05B2219/49013Deposit layers, cured by scanning laser, stereo lithography SLA, prototyping
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Abstract

다수 작업들을 포함하는 반도체 제조 공정을 거친 기판을 카테고리화하는 방법이 설명되며, 상기 방법은: 기판 상의 다수 작업들 중 1 이상 동안 생성된 데이터로부터 도출되는 기능 지표들의 값들을 얻는 단계 -기능 지표들은 적어도 하나의 작업을 특성화함- ; 1 이상의 카테고리 지표를 얻기 위해 기능 지표들의 값들에 1 이상의 임계값을 포함하는 결정 모델을 적용하는 단계; 및 1 이상의 카테고리 지표에 기초하여 기판에 카테고리를 할당하는 단계를 포함한다.

Description

반도체 제조 공정에서의 의사 결정 방법
본 출원은 2019년 1월 29일에 출원된 EP 출원 19154100.2; 2019년 2월 6일에 출원된 EP 출원 19155660.4; 및 2019년 11월 18일에 출원된 EP 출원 19209695.6의 우선권을 주장하며, 이들은 본 명세서에서 그 전문이 인용참조된다.
본 발명은 반도체 제조 공정에 관한 것으로, 특히 예를 들어 기판이 재작업되어야 하는지, 검사되어야 하는지, 또는 반도체 제조 공정의 추가 공정 단계로 진행하여야 하는지와 같은 결정을 내릴 수 있도록 반도체 제조 공정을 거친 기판들을 카테고리화하는 방법들에 관한 것이다.
리소그래피 장치는 기판 상에 원하는 패턴을 적용시키도록 구성되는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 리소그래피 장치는, 예를 들어 기판(예를 들어, 웨이퍼) 상에 제공된 방사선-감응재(레지스트) 층 상으로 패터닝 디바이스(예를 들어, 마스크)의 패턴(흔히 "디자인 레이아웃" 또는 "디자인"이라고도 함)을 투영할 수 있다.
기판 상에 패턴을 투영하기 위해 리소그래피 장치는 전자기 방사선을 사용할 수 있다. 이 방사선의 파장은 기판 상에 형성될 수 있는 피처(feature)들의 최소 크기를 결정한다. 현재 사용되는 전형적인 파장들은 365 nm(i-line), 248 nm, 193 nm 및 13.5 nm이다. 4 내지 20 nm 범위, 예를 들어 6.7 nm 또는 13.5 nm의 파장을 갖는 극자외(EUV) 방사선을 사용하는 리소그래피 장치가 사용되어, 예를 들어 193 nm의 파장을 갖는 방사선을 사용하는 리소그래피 장치보다 기판 상에 더 작은 피처들을 형성할 수 있다.
저(low)-k1 리소그래피가 리소그래피 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들을 처리하는 데 사용될 수 있다. 이러한 공정에서, 분해능 공식은 CD = k1×λ/NA로서 표현될 수 있으며, 이때 λ는 채택되는 방사선의 파장이고, NA는 리소그래피 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수"[일반적으로, 프린트되는 최소 피처 크기, 이 경우에는 반-피치(half-pitch)]이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정 단계들이 리소그래피 투영 장치 및/또는 디자인 레이아웃에 적용될 수 있다. 이들은, 예를 들어 NA의 최적화, 맞춤 조명 방식(customized illumination scheme), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 칭함)과 같은 디자인 레이아웃의 다양한 최적화, 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의되는 다른 방법들을 포함하며, 이에 제한되지는 않는다. 대안적으로, 리소그래피 장치의 안정성을 제어하는 엄격한 제어 루프가 저 k1에서 패턴의 재현을 개선하는 데 사용될 수 있다.
이 엄격한 제어 루프들은 일반적으로 적용된 패턴을 나타내는 적용된 패턴 또는 메트롤로지 타겟들의 특성들을 측정하는 메트롤로지 툴을 사용하여 얻어지는 메트롤로지 데이터에 기초한다. 일반적으로, 메트롤로지 툴은 패턴 및/또는 타겟들의 위치 및/또는 치수들의 광학 측정에 기초한다. 본질적으로, 이 광학 측정들이 집적 회로들의 제조 공정의 품질을 대표한다고 가정된다.
광학 측정들에 기반한 제어에 추가하여, e-빔 기반 측정들도 수행될 수 있다; 그 중에서, (HMI에 의해 제공되는) e-빔 툴을 사용한 소위 저전압 측정이 활용될 수 있다. 이러한 저전압 대비 측정은 기판에 적용된 층들 사이의 전기적 접촉 품질을 나타낸다.
수율의 손실(기판의 완전한 손실 및 폐기)을 피하기 위해, 때때로 기판을 재작업하는 것이 필요하다. 재작업은 본질적으로, 예를 들어 노출된 층을 모두 벗겨내고 그 층을 다시 노광함으로써 이전 노광 단계를 무효로 하는 것을 포함한다. 이는 노광된 구조체들이 어떤 방식으로 사양을 벗어나는 것으로 결정되는 경우; 예를 들어 오버레이가 너무 크거나, 또는 CD가 너무 작거나 불충분하게 균일하여 디바이스가 비-기능적일 가능성이 있도록 하는 경우에 필요할 수 있다. 하지만, 재작업 및 심지어 재작업을 필요로 하는지를 알기 위해 기판을 체크하는 것은 상당한 스루풋 페널티를 발생시킨다. 이러한 것으로서, 이들(및 다른 것들)과 같은 결정을 내리기 위한 개선된 방법이 요구된다.
발명자들의 목적은 현 기술 수준의 언급된 단점들에 대처하는 것이다.
본 발명의 제 1 실시형태에서, 다수 작업들을 포함하는 반도체 제조 공정을 거친 기판을 카테고리화하는 방법이 제공되며, 상기 방법은: 기판 상에 다수 작업들 중 1 이상 동안 생성된 데이터로부터 도출되는 기능 지표(functional indicator)들의 값들을 얻는 단계 -기능 지표들은 적어도 하나의 작업을 특성화함- ; 1 이상의 카테고리 지표(categorical indicator)를 얻기 위해 기능 지표들의 값들에 1 이상의 임계값을 포함하는 결정 모델을 적용하는 단계; 및 1 이상의 카테고리 지표에 기초하여 기판에 카테고리를 할당하는 단계를 포함한다.
본 발명의 제 2 실시형태에서, 반도체 제조 공정 내에서 결정을 내리기 위한 결정 모델을 구성하는 방법이 제공되며, 상기 방법은: 반도체 제조 공정의 패터닝 단계의 1 이상의 파라미터에 관한 데이터를 얻는 단계; 데이터로부터 1 이상의 카테고리 지표를 도출하는 단계 -1 이상의 카테고리 지표들 각각은 적어도 하나의 임계값에 기초하여 반도체 제조 공정의 품질을 나타냄- ; 및 상기 패터닝 단계를 거친 기판들에 대해 수행되는 측정들 및 그에 할당된 대응하는 결정들 및/또는 카테고리들에 대해 결정 모델을 트레이닝(train)하는 단계를 포함하고, 상기 트레이닝하는 단계는 상기 결정 모델이 상기 데이터에 기초하여 1 이상의 카테고리 지표들 각각에 대한 적절한 값을 출력하도록 상기 1 이상의 임계값들 각각에 대한 값을 설정한다.
이제 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 리소그래피 장치의 개략적인 개요도;
도 2는 리소그래피 셀의 개략적인 개요도;
도 3은 반도체 제조를 최적화하기 위한 3 가지 핵심 기술들 간의 상호작동을 나타내는 전체론적(holistic) 리소그래피의 개략적인 표현을 도시하는 도면;
도 4는 본 발명의 제 1 실시예에 따른 의사 결정 방법의 흐름도;
도 5는 본 발명의 일 실시예의 결함 검출 및 분류 내의 파라미터 및 지표 계층의 흐름도;
도 6은 공통 타임프레임에 관한 3 개의 플롯들을 포함하는 것으로: 도 6a는 시간(t)에 대한 원시 파라미터 데이터, 더 구체적으로는 레티클 정렬(RA) 데이터의 플롯; 도 6b는 본 발명의 일 실시예의 방법에 따라 도출되는 등가 비-선형 모델 함수(mf); 및 도 6c는 본 발명의 일 실시예의 방법에 따른 카테고리 지표를 예시하는 도 6a와 도 6b의 플롯들 사이의 잔차(Δ)를 포함하는 도면;
도 7은 2 개의 결정 경계들을 사용하는 일 실시예를 개념적으로 나타내는 2 개의 시간 인스턴스(time instance)들에서의 데이터 집단을 나타내는 도면;
도 8은 도 7에 개념적으로 나타낸 바와 같은 방법을 설명하는 흐름도;
도 9a는 본 명세서에 개시된 실시예들에서 사용가능한 전이 학습(transfer learning)의 방법을 설명하는 흐름도, 및 도 9b는 이러한 실시예 및 전이 학습을 사용하지 않은 실시예에 대한, 트레이닝에 대한 성능의 플롯을 나타내는 도면;
도 10은 본 명세서에 개시된 실시예들에서 사용가능한 모델을 트레이닝하기 위해 합성 트레이닝 데이터를 생성하고 이를 사용하는 방법을 설명하는 흐름도; 및
도 11은 본 발명의 실시예들에 따른 방법들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템을 나타내는 블록 다이어그램이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 약 5 내지 100 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 채택된 "레티클", "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 거울 어레이들에 대한 더 많은 정보는, 본 명세서에서 인용참조되는 미국 특허 제 5,296,891호 및 제 5,523,193호에서 주어진다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는, 본 명세서에서 인용참조되는 미국 특허 제 5,229,872호에서 주어진다.
도 1은 리소그래피 장치(LA)를 개략적으로 도시한다. 리소그래피 장치(LA)는 방사선 빔(B)(예를 들어, UV 방사선, DUV 방사선 또는 EUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터라고도 함)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스(MA)를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다.
작동 시, 일루미네이터(IL)는 예를 들어 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 방사선 빔을 수용한다. 조명 시스템(IL)은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다. 일루미네이터(IL)는 패터닝 디바이스(MA)의 평면에서 방사선 빔의 단면에 원하는 공간 및 각도 세기 분포를 갖기 위해, 방사선 빔(B)을 컨디셔닝하는 데 사용될 수 있다.
본 명세서에서 사용되는 "투영 시스템"(PS)이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 아나모픽(anamorphic), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 다양한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"(PS)이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로 구성될 수 있다 - 이는 침지 리소그래피라고도 한다. 침지 기술에 대한 더 많은 정보는 미국 특허 제 6,952,253호 및 PCT 공개공보 WO99-49504호에서 주어지며, 이들은 본 명세서에서 인용참조된다.
또한, 리소그래피 장치(LA)는 2 개(듀얼 스테이지) 이상의 기판 테이블(WT) 및, 예를 들어 2 이상의 지지 구조체(MT)(도시되지 않음)를 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블/구조체가 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 기판(W) 상에 패터닝 디바이스(MA)의 디자인 레이아웃을 노광하는 데 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
작동 시, 방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스(MA)에 의해 패터닝된다. 마스크(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 가능하게는 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는 방사선 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치시키는 데 사용될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음].
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 (리소)클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성할 수 있으며, 이는 흔히 기판(W) 상에 노광-전(pre-exposure) 및 노광-후(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층들을 증착시키는 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 디벨로퍼(developer: DE), 칠 플레이트(chill plate: CH) 및, 예를 들어 기판(W)의 온도를 컨디셔닝하는, 예를 들어 레지스트 층들에서 용매를 컨디셔닝하는 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판(W)들을 집어올리고, 이들을 상이한 공정 장치 사이에서 이동시키며, 리소그래피 장치(LA)의 로딩 베이(loading bay: LB)로 기판(W)들을 전달한다. 흔히 집합적으로 트랙이라고도 하는 리소셀 내의 디바이스들은 통상적으로, 예를 들어 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치(LA)를 제어할 수도 있는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어될 수 있는 트랙 제어 유닛(TCU)의 제어를 받는다.
리소그래피 장치(LA)에 의해 노광되는 기판(W)들이 올바르고 일관성있게(consistently) 노광되기 위해서는, 후속한 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 패터닝된 구조체들의 속성들을 측정하도록 기판들을 검사하는 것이 바람직하다. 이를 위해, 리소셀(LC)에 검사 툴들(도시되지 않음)이 포함될 수 있다. 오차가 검출되는 경우, 특히 검사가 동일한 뱃치(batch) 또는 로트(lot)의 다른 기판(W)들이 여전히 노광 또는 처리되어야 하기 전에 행해진다면, 예를 들어 후속한 기판들의 노광에 대해 또는 기판(W)들에서 수행되어야 하는 다른 처리 단계들에 대해 조정이 수행될 수 있다.
메트롤로지 장치라고도 칭해질 수 있는 검사 장치가 기판(W)의 속성들을 결정하는 데 사용되며, 특히 상이한 기판(W)들의 속성들이 어떻게 변하는지 또는 동일한 기판(W)의 상이한 층들과 연계된 속성들이 층마다 어떻게 변하는지를 결정하는 데 사용된다. 검사 장치는 대안적으로 기판(W) 상의 결함들을 식별하도록 구성될 수 있으며, 예를 들어 리소셀(LC)의 일부일 수 있거나, 리소그래피 장치(LA)에 통합될 수 있거나, 또는 심지어 독립형 디바이스(stand-alone device)일 수 있다. 검사 장치는 잠상(latent image)(노광 후 레지스트 층 내의 이미지), 또는 반(semi)-잠상[노광-후 베이크 단계(PEB) 후 레지스트 내의 이미지], 또는 현상된 레지스트 이미지(이때, 레지스트의 노광된 부분 또는 노광되지 않은 부분은 제거되었음), 또는 심지어 (에칭과 같은 패턴 전사 단계 후) 에칭된 이미지에 대한 속성들을 측정할 수 있다.
전형적으로, 리소그래피 장치(LA)에서의 패터닝 공정은 기판(W) 상의 구조체들의 높은 치수 및 배치 정확성을 필요로 하는 처리에서 가장 중요한 단계들 중 하나이다. 이 높은 정확성을 보장하기 위해, 3 개의 시스템들이 도 3에 개략적으로 도시된 바와 같이 소위 "전체론적" 제어 환경에서 조합될 수 있다. 이 시스템들 중 하나는 메트롤로지 툴(MT)(제 2 시스템) 및 컴퓨터 시스템(CL)(제 3 시스템)에 (가상으로) 연결되는 리소그래피 장치(LA)이다. 이러한 "전체론적" 환경의 핵심은 이 3 개의 시스템들 간의 상호작동을 최적화하여 전체 공정 윈도우를 향상시키고 리소그래피 장치(LA)에 의해 수행된 패터닝이 공정 윈도우 내에 유지될 것을 보장하도록 엄격한 제어 루프를 제공하는 것이다. 공정 윈도우는 특정 제조 공정이 정의된 결과(예를 들어, 기능적 반도체 디바이스)를 산출하는 공정 파라미터들(예를 들어, 도즈, 포커스, 오버레이)의 범위를 정의한다 - 전형적으로, 이 안에서 리소그래피 공정 또는 패터닝 공정의 공정 파라미터들이 변동하게 된다.
컴퓨터 시스템(CL)은 패터닝될 디자인 레이아웃(의 일부)을 사용하여, 어느 분해능 향상 기술이 사용될지를 예측하고, 전산(computational) 리소그래피 시뮬레이션들 및 계산들을 수행하여 어느 마스크 레이아웃 및 리소그래피 장치 설정들이 패터닝 공정의 가장 큰 전체 공정 윈도우를 달성하는지를 결정할 수 있다[도 3에서 제 1 스케일(SC1)의 흰색 양방향 화살표로 도시됨]. 전형적으로, 분해능 향상 기술들은 리소그래피 장치(LA)의 패터닝 가능성들과 매칭하도록 배치된다. 또한, 컴퓨터 시스템(CL)은 공정 윈도우 내에서 [예를 들어, 메트롤로지 툴(MT)로부터의 입력을 사용하여] 리소그래피 장치(LA)가 현재 작동하고 있는 곳을 검출하여, 예를 들어 차선의 처리로 인해 결함들이 존재할 수 있는지를 예측하는 데 사용될 수 있다[도 3에서 제 2 스케일(SC2)의 "0"을 가리키는 화살표로 도시됨].
메트롤로지 툴(MT)은 정확한 시뮬레이션 및 예측을 가능하게 하기 위해 컴퓨터 시스템(CL)에 입력을 제공할 수 있고, 예를 들어 리소그래피 장치(LA)의 캘리브레이션 상태에서 가능한 드리프트를 식별하기 위해 리소그래피 장치(LA)에 피드백을 제공할 수 있다[도 3에서 제 3 스케일(SC3)의 다수 화살표들로 도시됨].
리소그래피 장치(LA)는 기판 상에 패턴을 정확하게 재현하도록 구성된다. 적용된 피처들의 위치들 및 치수들은 소정 공차들 내에 있어야 한다. 오버레이 오차(흔히 "오버레이"라고 함)로 인해 위치 오차들이 발생할 수 있다. 오버레이는 제 2 노광 동안의 제 2 피처에 대한 제 1 노광 동안의 제 1 피처의 배치 오차이다. 리소그래피 장치는 패터닝에 앞서 각각의 웨이퍼를 기준에 정확하게 정렬함으로써 오버레이 오차들을 최소화한다. 이는 정렬 센서를 사용하여 기판 상의 정렬 마크들의 위치들을 측정함으로써 행해진다. 정렬 절차에 대한 더 많은 정보는 미국 특허 출원 공개공보 US20100214550호에서 찾아볼 수 있으며, 이는 본 명세서에서 인용참조된다. 패턴 치수(예를 들어, CD) 오차들은, 예를 들어 기판이 리소그래피 장치의 초점면에 대해 올바르게 위치되지 않은 경우에 발생할 수 있다. 이 초점 위치 오차들은 기판 표면의 비평탄(un-flatness)과 연계될 수 있다. 리소그래피 장치는 레벨 센서를 사용하여 패터닝에 앞서 기판 표면 토포그래피를 측정함으로써 이 초점 위치 오차들을 최소화한다. 기판 높이 보정들이 후속 패터닝 동안에 적용되어 기판 상으로의 패터닝 디바이스의 올바른 이미징(포커스)을 보장한다. 레벨 센서 시스템에 대한 더 많은 정보는 미국 특허 출원 공개공보 US20070085991호에서 찾아볼 수 있으며, 이는 본 명세서에서 인용참조된다.
리소그래피 장치(LA) 및 메트롤로지 장치(MT) 외에, 다른 처리 장치도 IC 생산 동안 사용될 수 있다. 에칭 스테이션(도시되지 않음)이 레지스트로의 패턴의 노광 후 기판들을 처리한다. 에칭 스테이션은 레지스트로부터 레지스트 층 아래의 1 이상의 층으로 패턴을 전사한다. 전형적으로, 에칭은 플라즈마 매질의 적용을 기반으로 한다. 예를 들어, 전압 제어 링(voltage controlled ring)을 사용하여 플라즈마 매질을 지향하거나, 기판의 온도 제어를 사용하여 국부적인 에칭 특성들이 제어될 수 있다. 에칭 제어에 대한 더 많은 정보는 국제 특허 출원 공개공보 WO2011081645호 및 미국 특허 출원 공개공보 US20060016561호에서 찾아볼 수 있으며, 이는 본 명세서에서 인용참조된다.
IC의 제조 동안, 리소그래피 장치 또는 에칭 스테이션과 같은 처리 장치를 사용하여 기판들을 처리하기 위한 공정 조건들이 안정적으로 유지되어, 피처들의 속성들이 소정 제어 한계들 내에서 유지되도록 하는 것이 매우 중요하다. 공정의 안정성은 IC의 기능적 부분들의 피처들, 즉 제품 피처들에 특히 중요하다. 안정적인 처리를 보장하기 위해, 공정 제어 기능들이 가동 중이어야 한다. 공정 제어는 처리 데이터의 모니터링 및 공정 보정을 위한 수단의 구현을 수반하며, 예를 들어 처리 데이터의 특성들에 기초하여 처리 장치를 제어한다. 공정 제어는 흔히 "고급 공정 제어"(APC라고도 함)라 하는 메트롤로지 장치(MT)에 의한 주기적 측정에 기초할 수 있다. APC에 대한 더 많은 정보는 미국 특허 출원 공개공보 US20120008127호에서 찾아볼 수 있으며, 이는 본 명세서에서 인용참조된다. 전형적인 APC 구현은 1 이상의 처리 장치와 연계된 드리프트들을 모니터링하고 보정하기 위해 기판들 상의 메트롤로지 피처들에 대한 주기적인 측정들을 수반한다. 메트롤로지 피처들은 제품 피처들의 공정 변동들에 대한 응답을 반영한다. 공정 변동들에 대한 메트롤로지 피처들의 민감도는 제품 피처들의 민감도와 비교하여 상이할 수 있다. 그 경우, 소위 "디바이스에 대한 메트롤로지(Metrology To Device)" 오프셋(MTD라고도 함)이 결정될 수 있다. 제품 피처들의 거동을 모방하기 위해, 메트롤로지 타겟들은 세분화된 피처(segmented feature)들, 어시스트 피처(assist feature)들 또는 특정 지오메트리 및/또는 치수를 갖는 피처들을 통합할 수 있다. 신중하게 디자인된 메트롤로지 타겟이 제품 피처들과 유사한 방식으로 공정 변동들에 응답하여야 한다. 메트롤로지 타겟 디자인에 대한 더 많은 정보는 국제 특허 출원 공개공보 WO2015101458호에서 찾아볼 수 있으며, 이는 본 명세서에서 인용참조된다.
핑거프린트라는 용어는 측정된 신호의 주(시스템적) 기여자["잠재적 인자(latent factor)"], 및 특히 웨이퍼에 대한 성능 영향 또는 이전 처리 단계들에 연결된 기여자를 지칭할 수 있다. 이러한 핑거프린트는 (예를 들어, 정렬, 레벨링, 오버레이, 포커스, CD로부터의) 기판(그리드) 패턴들, (예를 들어, 필드내 정렬, 레벨링, 오버레이, 포커스, CD로부터의) 필드 패턴들, 기판 구역 패턴들(예를 들어, 웨이퍼의 최외측 반경 측정들) 또는 심지어 웨이퍼 노광에 관련된 스캐너 측정들에서의 패턴들(예를 들어, 레티클 정렬 측정들, 온도/압력/서보 프로파일들 등으로부터의 로트에 걸친 가열 시그니처)을 지칭할 수 있다. 핑거프린트들은 핑거프린트 컬렉션 내에 포함될 수 있으며, 그 안에서 균질하게 또는 비균질하게 인코딩될 수 있다.
전기적 측정 데이터는 전형적으로 기판들의 처리 후에 얻어진다. 통상적으로, 전기적 측정 데이터를 얻기 위해 전기적 메트롤로지를 수행하는 경우, 기판들 상의 모든 다이들은 처리 동안 형성된 회로들에 (근접) 접촉하는 프로브들을 사용하여 측정된다. 다양한 타입들의 측정들; 예를 들어, 전압, 전류, 저항, 커패시턴스 및 인덕턴스 측정들이 수행될 수 있다. 이 측정들은 상이한 조건들(예를 들어, 주파수들, 전압, 전류) 하에서, 및 다이에 걸친 복수의 위치들에서 수행될 수 있다. 전기적 측정은 특정 구조체/피처 또는 디바이스가 (예를 들어, 사양 내에서) 기능적인지 여부의 평가를 포함할 수 있다. 대안적으로 또는 추가적으로, 전기적 측정은 "빈 코드(bin code)들"에 따라 카테고리화될 수 있다. 소정 조건에서 소정 측정된 파라미터(전류, 전압, 저항, 커패시턴스, 인덕턴스)와 연계된 전기적 측정이 일반적으로 별개의 "빈 코드"로 지칭된다. 이에 따라, 다이에 걸친 통상적인 전기적 측정이 복수의 그래프들에 의해 표현될 수 있으며, 각각의 그래프는 특정 빈 코드와 연계된 값들의 공간 분포를 나타낸다. 본문 전반에 걸쳐, "빈 코드" 및 "전기적 특성"은 기판과 연계된 빈 코드의 값이 기판의 전기적 특성의 값으로 지칭되도록 동의어로 사용된다. 또한, 전기적 측정 데이터는 비트 비대칭 데이터 또는 여하한의 다른 수율 파라미터를 포함할 수 있다.
측정된 전기적 특성들의 속성들(최소 값, 최대 값, 분산 또는 여하한의 다른 통계적 측정)은 다이 상의 소정 회로가 기능적일 확률에 관한 중요한 지표들이다. 이에 따라, 전기적 특성과 공정의 수율 사이에 강한 관계가 존재한다. 따라서, 수율 제어를 위해, 전기적 특성 측정이 필수적이다. 하지만, 이들은 또한 시간 소모적이며, (예를 들어, 비-기능 회로들을 보정하기 위한 옵션들이 사실상 없을 때) 반도체 제조 공정의 최종 스테이지에서만 수행된다.
노광 후에 어느 기판들이 검사 및/또는 재작업되어야 하는지를 결정하는 것은 스루풋 및 수율에 영향을 미칠 중요한 고려사항이다. 분류에서의 오차들은, 검출되지 않은 사양-외/비-기능 디바이스들이 처리될 것이기 때문에, 수율 낭비를 유도하거나, 그렇지 않으면 너무 많은 잘못된 알람들을 유도하여, 불필요한 체크들 및 낮은 효율을 유도할 것이다.
현재, 어느 기판이 노광 후에 검사되거나 재작업되어야 하는지를 결정하기 위한 제어 한계를 설정하기 위해 스캐너 데이터에 통계 제어 기술들이 적용된다. 하지만, 이러한 분석에 이용가능한 데이터의 양은 매우 많다. 깊은 스캐너 지식 없이는, 공정 지식 및 브루트 포스 데이터 분석 기술들이 올바른 파라미터들을 식별하기 위해 요구되어, 데이터의 차선의 사용을 유도한다. 통계 제어 기술들은 스캐너의 물리적 현상에 대해 알지 못한다. 이들은 대부분 원인들이 아닌 데이터로부터 상관관계들을 추론한다. 이는 스캐너의 거동을 이해하고 이를 적응시켜 향후 문제들을 방지하는 것을 사실상 불가능하게 한다. 수동으로든 또는 통계적 비닝(statistical binning)에 의해 지원되든, 지표(예를 들어, 핵심 성능 지표 또는 KPI)당 층당 제어 한계들을 유지하는 것은 매우 시간 소모적이고 오류에 취약하다.
추가적으로, 두 당사자(스캐너 제조자 및 스캐너 사용자)가 있으며, 이들 중 누구도 효율적인 의사 결정에 필요한 모든 정보에 반드시 접근할 수 있지는 않다. 스캐너 제조자는 신뢰성 있는 문제 예측자들을 적절히 정의하는 데 중요한, 특정 층에서 노광된 피처들에 대한 스캐너 민감도들에 대한 지식을 가질 것이다. 이러한 정보는 민감하고, 통상적으로 사용자와 공유되지 않는다. 유사하게, 레티클 정보, 조명 모드, 패싯 거울 렌더링(facet mirror rendering)과 같은 스캐너를 사용한 디바이스(예를 들어, IC)의 제조에 관한 사용자의 민감한 정보는 통상적으로 제조자와 공유되지 않는다.
통계 기반이기보다는 물리 기반이고, 스캐너 제조자와 사용자 사이의 민감한 데이터의 공유를 필요고 하지 않는 결함 검출 및 분류(FDC)가 제안된다. 제안은 알려진 제품에 미치는 영향을 갖는 관련 스캐너 파라미터들을 식별하고 분리하는 것을 포함한다. 파라미터들은 각각의 노광(예를 들어, 렌즈 제르니케) 후에 또는 지정된 서비스 단계들(예를 들어, 종래의 퓨필에 대한 EUV 컬렉터 원거리장 이미지 재구성) 동안 측정될 수 있는 것들을 포함할 수 있다. 스캐너 시스템들의 관련 물리적 현상을 반영하는 모델들로 스캐너 데이터를 집계하는 새로운 지표들이 정의된다. 그 후, 이 지표들을 제품에 대한 카테고리 지표들로 연결하는 모델들이 정의된다. 이 모델들은, 예를 들어 기판이 체크 및/또는 재작업되어야 하는지를 결정하기 위해 제조 공정 동안 결정을 내리는 데 사용된다. 의사 결정은 의사 결정을 검증하고 개선하기 위해 재작업이 필요한지 또는 그렇지 않은지(즉, 체크의 결과가 피드백되지만, 여하한의 민감한 메트롤로지 데이터는 아님)와 같은 수행되는 실제 결정/카테고리화에 대해 체크될 수 있다. 이러한 방식으로, 의사 결정은 사용자의 민감한 정보의 공유 없이 특정 공정에 대해 조정될 수 있다.
이러한 것으로서, 제안된 방법은 제조 공정의 일부로서 결정을 내리는 단계를 포함하고, 상기 방법은: 제조 공정의 리소그래피 노광 단계의 1 이상의 파라미터들에 관한 스캐너 데이터를 얻는 단계; 스캐너 데이터로부터 카테고리 지표를 도출하는 단계 -카테고리 지표는 제조 공정의 품질을 나타냄- ; 및 카테고리 지표에 기초하여 동작을 결정하는 단계를 포함한다. 리소그래피 노광 단계의 1 이상의 파라미터에 관한 스캐너 데이터는 노광 단계 동안 또는 그 준비 중에 스캐너 자체에 의해 생성되는, 및/또는 노광을 위한 준비 단계에서 또 다른 스테이션(예를 들어, 독립형 측정/정렬 스테이션)에 의해 생성되는 데이터를 포함할 수 있다. 이러한 것으로서, 이는 반드시 스캐너에 의해 또는 스캐너 내에서 생성될 필요는 없다. 스캐너라는 용어는 일반적으로 여하한의 리소그래피 노광 장치를 설명하기 위해 사용된다.
도 4는 본 명세서에 개시된 바와 같은 결함 검출 및 분류(FDC) 방법/시스템을 이용하는 제조 공정에서 결정을 내리는 방법을 설명하는 흐름도이다. 스캐너 데이터(400)는 노광 동안(즉, 노광 스캐너 데이터), 또는 유지보수 동작 이후에(또는 여하한의 다른 수단에 의해) 생성된다. 본질적으로 수치적인 이 스캐너 데이터(400)는 FDC 시스템(410)에 공급된다. FDC 시스템(410)은 데이터를 기능적 스캐너 물리-기반 지표들로 변환하고, 시스템 물리적 현상에 따라 이 기능 지표들을 집계하여, 각각의 기판에 대한 카테고리 시스템 지표를 결정한다. 카테고리 지표는, 이들이 품질 임계치를 충족하는지(OK) 아닌지(NOK)와 같은 바이너리일 수 있다. 대안적으로, (예를 들어, 통계적 비닝 기술들에 기초하여) 2보다 많은 카테고리들이 존재할 수 있다. 전형적으로, 기능 지표들은 스캐너 내에 포함된 별개의 모듈과 연계되고, 모듈은 (정렬, 열적 컨디셔닝, 레벨링, 렌즈 수차 제어 등과 같은) 특정 기능과 연계된다.
스캐너 데이터(400)에 기초하여, 더 구체적으로는 그 기판에 할당된 카테고리 지표에 기초하여 기판이 체크/검사될지를 결정하기 위해 체크 결정(420)이 이루어진다. 기판을 체크하지 않기로 결정되는 경우, 기판은 처리(430)를 위해 포워딩된다. 이 기판들 중 몇몇은 여전히 메트롤로지 단계(440)[예를 들어, 제어 루프에 대한 입력 데이터 및/또는 단계(420)에서 이루어진 결정을 검증하는 것]를 거칠 수 있다. 단계(420)에서 체크가 결정되는 경우, 기판은 측정되고(440), 측정 결과에 기초하여, 기판이 재작업될지 여부를 결정하기 위해 재작업 결정(450)이 이루어진다. 또 다른 실시예에서, 재작업 결정은 체크 결정 없이 FDC 시스템(410)에 의해 결정된 카테고리 품질 값에 직접 기초하여 이루어진다. 재작업 결정의 결과에 따라, 기판은 재작업되거나(460), OK인 것으로 간주되고 처리(430)을 위해 포워딩된다. 후자의 경우, 이는 그 기판에 할당된 카테고리 지표가 잘못된/부정확한 것을 나타낼 것이다. 나타낸 실제 결정들(체크 및/또는 재작업)은 단지 예시적인 것이며, 다른 결정들이 FDC로부터의 카테고리 값들/조언 출력에 기초할 수 있고, 및/또는 FDC 출력은 (예를 들어, 열악한 스캐너 성능을 나타내기 위해) 알람을 유발하는 데 사용될 수 있다는 것을 유의한다.
각각의 기판에 대한 재작업 결정(450)의 결과는 FDC 시스템(410)으로 피드백된다. FDC 시스템은 이 데이터를 이용하여 그 분류 및 결정 조언(할당된 카테고리 지표)을 개량하고 검증할 수 있다. 특히, 이는 실제 결정에 대해 할당된 카테고리 지표를 검증할 수 있고, 이에 기초하여 카테고리화 기준에 대한 여하한의 적절한 변경들을 행할 수 있다. 예를 들어, 이는 검증에 기초하여 여하한의 분류 임계치들을 변경/설정할 수 있다. 이러한 것으로서, 단계(450)에서 사용자에 의해 이루어진 모든 재작업 결정들은 FDC 시스템(410)의 모든 체크 결정들이 검증되도록 피드백되어야 한다. 이러한 방식으로, FDC 시스템(410) 내의 카테고리 분류기는 생산 중에 지속적으로 트레이닝되어, 더 많은 데이터를 수신하고 이에 따라 시간이 지남에 따라 더 정확해지도록 한다.
도 5는 FDC 시스템이 작동하는 방식을 더 상세히 설명하는 흐름도이다. 흐름도는 3 개의 주요 스테이지들, 즉 스캐너 스테이지(500), FDC 시스템 스테이지(505) 및 검증 스테이지(510)로 분할된다. 스캐너 스테이지(500)는 노광 동안 스캐너에 의해 생성되는 다수의 데이터 파라미터 또는 지표들(520)을 포함하는 수치적 스캐너 또는 노광 데이터(515)를 산출한다. 이 스캐너 데이터는, 예를 들어 FDC 시스템이 조언할 결정에 영향을 미칠 수 있는 스캐너에 의해 생성되는 여하한의 데이터를 포함할 수 있다. 예를 들어, 스캐너 데이터는 노광 동안(또는 노광을 위한 준비 시) 일상적으로 취해지는 측정들로부터의 측정 데이터, 예를 들어 레티클 및/또는 웨이퍼 정렬 데이터, 레벨링 데이터, 렌즈 수차 데이터, 여하한의 센서 출력 데이터 등을 포함할 수 있다. 또한, 스캐너 데이터는 덜 일상적으로 측정되는 데이터(또는 추산되는 데이터), 예를 들어 덜 일상적인 유지보수 단계들로부터의 데이터, 또는 그로부터 외삽된 데이터를 포함할 수 있다. 이러한 데이터의 특정예로는 EUV 시스템들에 대한 소스 컬렉터 오염 데이터를 포함할 수 있다.
FDC 시스템 스테이지(505)는 스캐너 데이터에 기초하여 수치적 기능 지표들(525)을 도출한다. 이 기능 지표들(525)은 스캐너의 실제 사용(예를 들어, 온도, 노광 간격 등)을 반영하기 위해 생산 데이터에 대해 트레이닝될 수 있다. 기능 지표들(525)은, 예를 들어 통계적, 선형/비-선형 회귀, 딥 러닝 또는 베이지안 학습 기법들을 사용하여 트레이닝될 수 있다. 신뢰성 있고 정확한 기능 지표들(525)은, 예를 들어 스캐너 파라미터 데이터 및 도메인 지식에 기초하여 구성될 수 있고, 여기서 도메인 지식은 공칭으로부터 스캐너 파라미터들의 편차의 측정을 포함할 수 있다. 공칭은 시스템/공정의 알려진 물리적 현상 및 스캐너 거동에 기초할 수 있다.
그 후, 이 지표들을 제품에 대한 카테고리 지표들(530)로 링크하는 모델들이 정의될 수 있다. 카테고리화는 바이너리(예를 들어, OK/NOK) 또는 측정 비닝 또는 패턴들에 기초한 더 진보된 분류일 수 있다. 링크 모델들은 물리 기반 기능 지표들을 특정 사용자 어플리케이션들 및 작업 방식에 대해 관측된 제품에 대한 영향에 결부시킨다. 카테고리 지표들(530)은 시스템의 물리적 현상에 따라 기능 지표들(525)을 집계한다. 본 명세서에 나타낸 특정예에서, 카테고리 지표들의 3 개의 레벨들 또는 계층들, 즉 제 1 레벨(535), 제 2 레벨(540) 및 제 3 레벨(545)이 존재한다. 이는 순전히 일 예시이며, 다른 실시예들에서, 제 1 레벨 또는 제 2 레벨은 의사 결정에서 출력 조언으로서 사용될 수 있고, 및/또는 여하한 수의 레벨들이 존재할 수 있다.
(순전히 예시를 위해) 본 명세서에 나타낸 특정예에서, 제 1 레벨은 오버레이 기여자들을 포함한다[예를 들어, 하나의 오버레이 기여자(535a)는 X 방향 필드-내 오버레이(Ovx,ia)에 대한 레티클 정렬 기여자일 수 있고, 또 다른 오버레이(535b)는 Y 방향 필드-간 오버레이(0Vy,ir)에 대한 레티클 정렬 기여자일 수 있으며, 또 다른 기여자(535c)는 필드-간 CD(CDir)에 대한 레벨링 기여자이다]. 제 2 레벨 카테고리 지표들(540)은 오버레이(Ov)에 대해 방향(X 및 Y) 및 필드-간(ir) 대 필드-내(ia)의 측면에서, 및 CD에 대해 필드-간(ir) 대 필드-내(ia)의 측면에서 제 1 레벨 카테고리 지표들(535)을 집계한다. 제 3 레벨 카테고리 지표들(545)은 오버레이 지표(Ov)(예를 들어, 오버레이 OK/NOK임) 및 CD 지표(CD)(예를 들어, CD OK/NOK임)를 포함한다. 앞서 언급된 카테고리 지표들은 순전히 예시를 위한 것이며, 사용자-맞춤 건강 지표들을 포함하는 여하한의 적절한 대안적인 지표들이 사용될 수 있다. 유일한 조건은 지표가 카테고리화될 수 있고, 층(525)의 기능 지표들에 링크될 수 있으며, 모델을 트레이닝하기 위해 충분한 데이터가 생성될 수 있다는 것이다.
그 후, 이 지표들(545)은 기판의 검사 및/또는 재작업 여부와 같은, 조언을 제공하고, 및/또는 공정 결정들(550)을 내리기 위해 사용될 수 있다. 예를 들어, 오버레이가 NOK인 것으로 간주되는 경우, 이는 수율 손실을 방지하기 위해 기판을 검사 및 재작업하는 결정을 유발할 수 있다. EUV에 특정한 또 다른 예시는, EUV 소스 컬렉터 오염이 허용가능하지 않은 LCDU 값을 유도하는 때를 결정하기 위해 모델을 트레이닝하는 것이다. 허용 기준은 시스템에 의해 학습될 것이다.
카테고리 지표들(530)은 기계 학습 기법들에 기초하여 모델들/시뮬레이터들로부터 도출될 수 있다. 이러한 기계 학습 모델은 그 적절한 카테고리에 따라 라벨링된 이력 데이터(이전 지표 데이터)로 트레이닝될 수 있다(즉, 재작업되어야 하는 경우). 라벨링은 (예를 들어, 사용자 입력으로부터의) 전문가 데이터 및/또는 측정 결과에 기초할 수 있으므로, 모델이 스캐너 데이터로부터의 향후 수치적 데이터 입력들에 기초하여 기판 품질의 효과적이고 신뢰성 있는 예측을 제공하도록 교시된다. 시스템 카테고리 지표 트레이닝은, 예를 들어 피드포워드 뉴럴 네트워크, 랜덤 포레스트, 및/또는 딥 러닝 기법들을 사용할 수 있다. FDC 시스템은 이 트레이닝을 위해 여하한의 사용자의 민감한 데이터에 대해 알 필요가 없고; 더 높은 레벨의 카테고리화, 공차 및/또는 결정(예를 들어, 기판이 재작업될지 여부)만이 요구된다는 것을 유의한다.
이러한 것으로서, 기계 학습 기법(예를 들어, 회귀, 컨볼루션 뉴럴 네트워크, 전이 학습 및 심층 강화 학습) 및 모델 체킹(예를 들어, SAT 및 SMT 제약 솔버들)을 사용하여 지표 제어 한계/임계치 또는 예측을 자동으로 적응시키는 인공 지능 모델이 생성될 수 있다. 학습은 소정 값들(예를 들어, OVL X는 OK/NOK임)을 예측하는 모델과 예측이 정확한지를 검증하는 검증 데이터(예를 들어, 모델/FDC 시스템이 예측하여야 했던 카테고리 값들을 포함함) 사이에 피드백 루프를 구축함으로써 검증 및 보강된다. 이는 검사/메트롤로지 데이터(555)(접미사 m이 붙은 수치적 메트롤로지 데이터)가 측정되는 검증 스테이지(510)에서 달성될 수 있다. 검증은 모델에 의해 예측되어야 했던 값들이다(예를 들어, OVL X는 OK임). 그 정보를 모델에 피드백함으로써(560), 모델은 예를 들어 그 카테고리 모델들 중 1 이상에서 임계값을 변경함으로써 고객에 의해 관측된 결과들에 매칭하도록 적응할 수 있다. 그러므로, 모델은 웨이퍼가 재작업되어야 하는지 여부를 결정하기 위해 기계 학습 모델에 의해 이용되는 임계치로 결정 사이의 공정-특정적 밸런싱이 전달된다는 의미에서 다용도이다.
도 6은 기능 및 카테고리 지표들의 도출, 및 현재 사용되는 통계적 지표들에 대한 그 유효성을 나타내는 3 개의 플롯을 포함한다. 도 6a는 시간(t)에 대한 원시 파라미터 데이터, 더 구체적으로는 레티클 정렬(RA)의 플롯이다. 도 6b는 본 명세서에 설명된 방법들에 따라 도출되는 등가(예를 들어, 레티클 정렬에 대한) 비-선형 모델 함수(또는 피팅)(mf)이다. 설명된 바와 같이, 이러한 모델은 스캐너 물리적 현상의 지식으로부터 도출될 수 있고, 생산 데이터(예를 들어, 관심 있는 특정 제조 공정을 수행할 때 수행되는 레티클 정렬 측정들)에 대해 더 트레이닝될 수 있다. 이 모델의 트레이닝은, 예를 들어 통계적, 회귀, 베이지안 학습 또는 딥 러닝 기법들을 사용할 수 있다. 도 6c는 본 명세서에 개시된 방법들의 기능 지표로서 사용될 수 있는 도 6a와 도 6b의 플롯들 사이의 잔차(Δ)를 포함한다. 1 이상의 임계치들(ΔT)이 (예를 들어, 초기에 설명된 바와 같은 사용자 지식/전문가 의견 및/또는 트레이닝에 기초하여) 설정 및/또는 학습되어, 카테고리 지표를 제공할 수 있다. 특히, 임계치(들)(ΔT)는 카테고리 분류기를 트레이닝하는 트레이닝 단계 동안 카테고리 분류기 블록(530)에 의해 학습된다. 이 임계값들은 (예를 들어, 뉴럴 네트워크에 의해 구현될 때) 실제로 알려지지 않거나 숨겨질 수 있다.
그러므로, 기능 지표 또는 잔차(Δ)에 대한 값들이 적절한 카테고리(예를 들어, OK 또는 NOK)를 예측하는 카테고리 분류기에 직접 입력될 수 있다. 이 경우, 임계치(ΔT) 아래의 모든 지점들은 OK로 간주되고, 임계치(ΔT) 위의 지점들(즉, 이 예시에서는, 시간 t1 및 t3)은 NOK로 간주된다.
현재 원시 데이터에 대해 통상적으로 채택되는 통계 제어 기술과 이를 비교하는 것이 유익하다. 도 6a의 원시 데이터에 대해 통계적 임계치(RAT)를 설정하는 것은 시간 t1에서 이상점(outlier)이 식별되게 하지만, 시간 t3에서는 그렇지 않을 것이다. 또한, 이는 시간 t2에서의 지점을 이상점으로서 잘못 식별할 것이며, 이때 실제로는 이는 (도 6c에 나타낸) 본 명세서에 개시된 카테고리 지표에 따라 그렇지 않다(즉, 이는 OK임).
이러한 것으로서, 긍정 오류 및 부정 오류의 수가 감소하는 의사 결정 방법/시스템이 본 명세서에서 설명된다. 개선된 예측 기능은 스캐너 물리적 현상으로부터 도출되는 새로운 지표들의 사용, 및 카테고리 지표 값을 설정하기 위한 기준(임계치들)이 실제 제품 사용 사례들로부터 결정 및 학습된다는 것으로부터 발생한다. 임계치/제어 한계 유지는 설명되는 자동화된(사람의 개입이 필요하지 않음) 검증 피드백 루프에 의해, 즉 지표 정확성을 모니터링함으로써 대체된다. 또한, 피드백 루프는 다른 공정 단계들에 의해 도입되는 잡음을 방지하기 위해 스캐너에 가능한 한 근접할 수 있다. 그러므로, 결정 모델은 물리 모델들 및 기계 학습 모델들을 통합하고 사용자 어플리케이션으로부터 그 예측들을 자동으로 적응시키는 단일 모델을 포함한다.
공칭으로부터의 편차가 지표(예를 들어, OK/NOK)를 결정하기 위한 단지 하나의 가능한 방식이라는 것을 이해하여야 한다. 본 명세서의 개념들은 더 일반적으로 적용가능하다. 예를 들어, 이들은 스캐너 거동들을 (예를 들어, 상이한 클래스들로) 클러스터링하는 데 사용될 수 있다. 사용자가 충분히 높은 정확성으로 데이터를 라벨링할 수 있고 트레이닝을 위해 충분한 데이터를 갖는다면, 각각의 사용자는 동일한 모델에 대해 상이한 라벨들을 가질 수 있다.
(본 명세서에 개시된 다른 실시예들 중 어느 하나와 조합하여 사용될 수 있는) 선택적인 실시예에서, 카테고리 지표들을 얻기 위해 기능 지표들(525)을 집계 및 분류하도록 지도 트레이닝 기법들을 사용하여 트레이닝된, 본 명세서에 설명된 기계 학습 모델 또는 모델들(예를 들어, FDC 시스템들 중 어느 하나)은 추가적인(예를 들어, 준지도) 기계 학습 방법으로 보완될 수 있다.
준지도 기법들이 다수의 상이한 방식들 및 다수의 상이한 타입들의 데이터에서 적용될 수 있다. 예를 들어, 이러한 방법은 예측 정확성을 증가시키고 라벨 양 및 불균형에 대한 민감도를 감소시키기 위해 지도 기법들과 병렬로 비지도 및/또는 준지도 기법들을 적용할 수 있다. 준지도 기법들은 검출가능하지 않은 실패 패턴들(예를 들어, 불량한 오버레이를 유도하지만 스캐너 내부에서 관찰될 수 없는 에칭 문제들)을 식별하기 위해 트레이닝 세트에 대한 라벨들 외에 적용될 수 있다. 준지도 기법들은 실패 식별의 품질을 체크하기 위해 (이용가능한 경우) 라벨 값들에 적용될 수 있다. 또한, 비지도 학습 및 피처 영향 분석 기술들은 관련 근본 원인 지표들을 제공하기 위해 모델 예측들에 적용될 수도 있다.
이러한 실시예는 2 개의 결정 경계들: (예를 들어, 이미 설명된 바와 같이) 2 개의 클래스들 사이를 구별하는 것을 학습할 지도 방법을 사용하는 제 1 결정 경계; 및 높은 밀도의 데이터 포인트들을 갖는 "정상" 구역을 학습할 수 있는 비지도 방법(예를 들어, 클러스터링 알고리즘 또는 유사한 것)을 사용하는 제 2 결정 경계의 정의를 포함할 수 있다.
도 7은 이러한 접근법을 개념적으로 예시한다. 이는 2 개의 시간 인스턴스들(시간 t 및 t+1)에 여하한의 (비-특정) 데이터 공간에서 웨이퍼를 나타내는 각각의 포인트를 갖는 데이터 세트를 나타낸다. 회색 데이터 포인트들은 OK 웨이퍼들이고, 검은색 데이터 포인트들은 NOK 웨이퍼들이다. 각각의 경우, 삼각형은 라벨링된 웨이퍼를 의미하고, 원형은 라벨링되지 않은 웨이퍼를 의미한다. 시간 t에서, 제 1 결정 경계(DB1)는 (라벨링된 웨이퍼들에 기초하여 캘리브레이션/학습되는) 지도 기법들을 사용하여 결정된다. 병행하여, 제 2 결정 경계(DB2)가 (예를 들어, 덜 정상인/비정상/이상점 거동으로부터 정상/공칭 거동을 나누는) 비지도 또는 준지도 기법들을 사용하여 결정된다. 시간 t+1에 대한 등가 플롯에 의해 예시된 바와 같이, 2 개의 결정 경계들을 갖는 이점은, 이것이 이제 새로운 비정상 거동; 예를 들어, 제 1 결정 경계(DB1)의 OK 측에 있지만 제 2 결정 경계(DB2)의 비정상 측에 있는 새로운 NOK 데이터 포인트(DPn)를 포착하는 것이 가능해진다는 것이다. 이러한 비정상 특성들은 트레이닝, 및 이에 따른 결정 경계 DB1에 대한 그 부정확한 분류 동안 발견되지 않았다. 1보다 많은 제 1 결정 경계(즉, 제 1 결정 모델이 비-바이너리 카테고리 지표 출력을 제공하는 경우)가 존재할 수 있다는 것을 유의하여야 한다. 유사하게, 1보다 많은 제 2 결정 경계가 존재할 수 있다.
모델 성능을 평가하기 위한 메트릭은 ROC AUC(수신자 조작 특성 ROC 곡선 아래의 면적)일 수 있는데, 이는 그것이 (다양한 임계치 설정들을 고려한) 클래스들 사이의 분리가능성 정도를 나타내기 때문이다. ROC 곡선을 사용하는 것은 부정 오류를 최소화하는 임계치의 설정을 가능하게 한다. 이 메트릭은 클래스 불균형 분류 작업이 존재하는 경우에 특히 유용하다.
도 8은 이러한 실시예에 따른 예시적인 방법을 나타내는 흐름도이다. 데이터 세트(DS)는 라벨링된 데이터(LD)[통상적으로, 단지 작은 비율, 예를 들어 데이터 세트(DS)의 10 % 미만] 및 라벨링되지 않은 데이터(UD)[예를 들어, 통상적으로 데이터 세트(DS)의 90 % 이상]를 포함한다. 라벨링된 데이터(LD)는 지도 학습 방법(SL)을 트레이닝 및/또는 검증하기 위해 (이전과 같이) 사용된다. 라벨링되지 않은 데이터(UD)는 비지도(또는 준지도) 학습 방법(UL)을 트레이닝하는 데 사용된다. 이 두 방법들의 출력은 예측 단계(P)에서; 예를 들어, 두 방법들(SL, UL)의 각각의 결정 출력에 특정 가중치를 할당함으로써 조합된다. 가중은 디폴트(예를 들어, 각각 50 %)를 포함하거나 또는 디폴트로 시작할 수 있고, 후속하여 (예를 들어, 모델 성능을 최대화하기 위해) 최적화될 수 있다. 이는, 예를 들어 ROC AUC를 증가시키도록/최대화하도록 이 가중을 최적화하는 것을 포함할 수 있다. 최적화는 추가적으로 또는 대안적으로 하나 또는 2 개의 학습 방법들(SL, UL)에 대한 하이퍼파라미터들의 최적화를 포함할 수 있다. 통합된 예측(P)은 여하한의 단일 개별 결정 출력보다 더 우수하게 수행하여야 한다. 단계 IL에서, 메트롤로지 및 라벨링이 예측 평가를 위해 수행되고, 결과는 데이터 세트(DS)로 피드백될 수 있다. 지도 모델(SL)은 모든 검사된 웨이퍼들(두 클래스들을 포함함)에 대한 데이터로 피드백될 수 있는 한편, 비지도 모델(UL)은 "정상" 클래스만을 수신할 수 있다.
개별 예측들(P)은 웨이퍼 실패를 유발하는 상부 피처들을 표시함으로써; 및 도메인 물리 지식과 조합하여 (개발 동안) 발견된 새로운 데이터 지식으로부터 도출되는 일부 실행가능한 아이템들을 추천함으로써 설명될 수 있다. 피처들의 예측 및 분포에 기초하여, 스캐너 문제들 및 공정 문제들을 식별 및 구별하는 것이 가능할 것이다. 예측 성능은 데이터세트로부터 공정 문제들을 분리함으로써 증가하여야 한다.
이러한 방법의 이점은 다음을 포함한다:
· 관련 예측 설명과 함께 더 안정적이고 더 높은 모델 정확성.
· 더 간단하고 더 빠른 문제 해결(troubleshooting) 및 스캐너 대 공정 문제들의 더 우수한 분리.
· 트레이닝 세트 크기 및 모델 예측 정확성을 증가시키는 사용자 라벨 강화 시스템.
· 스캐너-검출가능한 문제들을 분리하고 사용자 라벨링에서의 잠재적인 문제들을 식별하는 사용자 라벨 분류 기법들.
· 스캐너 물리적 특징들 위에 구축되는 일반적인 실패 예측 설명 엔진.
· 스캐너 거동에 대한 새로운 학습 및 스캐너 내부의 새로운 제어 및 피드백 루프들의 도출.
(본 명세서에 개시된 다른 실시예들 중 어느 하나와 조합하여 사용될 수 있는) 또 다른 실시예에서, 트레이닝된 기계 학습 모델들(예를 들어, 본 명세서에 개시된 바와 같은 FDC 시스템)을 하나의 층/기계/FAB로부터 또 다른 사용 사례까지 가능한 한 많이 재사용하기 위해 전이 학습 접근법이 제안된다. 현재, 기계 학습 모델은 기계 당 층마다 커스터마이징된다. 피드백 파라미터들은 FAB마다 상이하다.
일 실시예에서, 기계 학습 모델은 트레이닝된 뉴럴 네트워크를 포함할 수 있다. 도 5와 관련하여 설명된 바와 같이, 입력은 기능 KPI들을 포함할 수 있고, 출력은 카테고리 지표들을 포함할 수 있다. 뉴럴 네트워크의 제 1 층(들)은 기능 KPI들을 공통 형태로 변환하여, 이들이 서로 비교가능하도록 한다. 다음 층(들)은 기능 KPI들과 관련되고 시스템 KPI(오버레이 및 포커스 맵들 등)를 생성한다. 최종 층(들)은 카테고리 지표들을 연산한다; 이들은 층 및 팹마다 커스터마이징된다.
참조 팹 및/또는 층 지표들이 최종 층에 대해 정의될 수 있다. 새로운 웨이퍼 층/기계/FAB에 대해, 이전에 수행된 트레이닝으로부터 얻어진 정보를 재사용하기 위해 전이 학습을 사용하는 것이 제안된다. 실제로, 이는 일반적으로 뉴럴 네트워크의 출력 층만이 새로운 데이터를 사용하여 재-트레이닝될 필요가 있다는 것을 의미한다.
기계 학습의 전통적인 지도 학습 시나리오에서, 모델이 일부 작업 및 도메인 A에 대해 트레이닝되는 경우, 모델을 트레이닝하기 위해 제공되는 라벨링된 트레이닝 데이터는 동일한 작업 및 도메인에 관련된다고 가정된다. 그 후, 모델 A가 동일한 작업 및 도메인 A의 보이지 않는 데이터에 대해 잘 수행할 것으로 예상되도록 이 데이터세트에 대해 트레이닝될 수 있다. 또 다른 경우에, 일부 다른 작업 또는 도메인 B에 대한 데이터가 주어지면, 이 작업 또는 도메인 B에 대한 라벨링된 데이터가 통상적으로 새로운 모델 B를 트레이닝하도록 요구될 것이다.
전통적인 지도 학습 패러다임은 신뢰성 있는 모델을 트레이닝하기 위해 해당 작업 또는 도메인에 대한 불충분한 라벨링된 데이터가 존재할 때 무너진다. 예를 들어, (A와 관련된) 도메인 B에 대한 모델이 트레이닝되어야 하는 경우, (도메인 A에 대해 트레이닝된) 모델 A의 적용은 통상적으로 모델이 그 트레이닝 데이터의 편향을 상속했고 새로운 도메인으로 일반화하는 방식을 알지 못하기 때문에 상당히 열악하게 수행할 것이다. 다수 웨이퍼 상태들(예를 들어, OK/NOK/불확실)을 예측하는 것과 같은 새로운 작업을 수행하도록 모델이 트레이닝되어야 하는 경우, 작업들 사이의 라벨들이 상이하기 때문에, 기존의 모델을 단순히 재사용하고 우수한 성능을 예상하는 것이 가능하지 않다.
전이 학습은 관련된 작업의 이미 존재하는 라벨링된 데이터를 레버리징함으로써 이러한 시나리오들이 더 잘 수용될 수 있게 한다. 소스 도메인에서 소스 작업을 해결함에 있어서 얻어진 지식이 관심 문제에 적용된다. 실제로, 소스 설정으로부터 타겟 작업 또는 도메인까지 가능한 한 많은 지식을 전이시키는 것이 추구된다.
도 9a는 이를 달성하기 위한 예시적인 방법을 설명하는 흐름도이다. 단계 900에서, 소스 작업(예를 들어, 작업/층 A에 대한 웨이퍼 OK/NOK를 예측함)가 선택된다. 단계 910에서, 소스 모델이 개발된다(예를 들어, 모델을 트레이닝하기 위해 이용가능한 데이터를 사용함). 단계 920에서, 이 모델이 새로운 작업에 적용된다(예를 들어, 작업/층 B에 대한 웨이퍼 OK/NOK/불확실을 예측함). 단계 930에서, 모델은 새로운 데이터에 대해 모델, 더 구체적으로는 마지막 1 이상의 층을 트레이닝함으로써 (예를 들어, 마지막 1 이상의 층을 제외한 뉴럴 네트워크의 모든 층에서 모든 가중치들이 고정되어) 조정된다.
스캐너 성능 예측을 위한 전이 학습의 이점은 도 9b에 나타낸 트레이닝에 대한 성능의 플롯에 예시되어 있다: 점선은 전이 학습을 사용한 트레이닝에 대한 성능 플롯이고, 실선은 전이 학습을 사용하지 않은 등가 플롯이다. 전이 학습의 이점은 다음을 포함한다:
· 더 높은 시작(St). 소스 모델의 (모델을 개량하기 전) 초기 성능이 그렇지 않은 경우보다 더 높다.
· 더 가파른 기울기(Sl). 소스 모델의 트레이닝 동안 성능의 개선 속도는 그렇지 않은 경우보다 더 가파르다.
· 더 높은 점근선(As). 트레이닝된 모델의 수렴된 성능은 그렇지 않은 경우보다 더 우수하다.
· 더 적은 트레이닝 데이터가 요구됨. 전이 학습 동안 자유도(가중치)들의 서브세트만이 최적화되기 때문에, 일반적으로 전체 네트워크 최적화를 위한 것보다 더 적은 데이터가 요구된다.
이제, 관심있는 특정 입력 데이터 세트들 및/또는 파라미터들(카테고리 지표들)에 관련된, 한 쌍의 특정 기계 학습된 FDC 시스템들 및 방법들이 설명될 것이다.
하나의 이러한 특정 적용예에서, FDC 시스템은 에지 비드 제거 단계 후에 인라인 에지 비드 측정에 기초하여 카테고리 지표 결정을 제공하도록 트레이닝될 수 있다. 카테고리 지표는 에지 비드 위치가 결함을 유도하기에 충분한 예상 물 손실을 나타내는지 여부를 나타낼 수 있다. 카테고리 지표는 바이너리; 예를 들어, OK/NOK 또는 비-바이너리일 수 있다.
트랙에서의 포토레지스트 코팅 후, 에지는 유기 용매로 세척되어 과잉 재료를 제거할 수 있다. 이 공정이 에지 비드 제거(EBR)로 알려져 있다. 이 단계에서 사용되는 설정들(예를 들어, 유동, 용매 타입, 노즐 위치)은 레지스트의 에지 또는 "EBR 커트"를 결정한다. 전형적으로, EBR 위치는 특정 툴(예를 들어, 타원 측정법 툴)을 사용하여 오프라인으로 측정된다. EBR 위치는 웨이퍼 에지를 통과할 때 침지 스캐너의 침지 후드(IH)로부터의 물 손실의 양에 상당한 영향을 미치는 것으로 관찰되었다. IH에 의해 남겨진 물 액적들이 워터마크(WM)들을 초래하고, 이는 수율에 영향을 미친다. 이러한 WM을 방지하기 위해, 사용자는 감속(IH의 저속 이동)을 적용할 수 있으며, 이는 물 손실을 감소시키지만 생산성(시간 당 웨이퍼)에 부정적인 영향을 미친다.
본 명세서에서, 에지 비드 위치를 인라인으로 측정하기 위해 스캐너 레벨 센서(예를 들어, UV 레벨 센서)를 사용하고, 리소그래피 공정을 위한 동작을 결정하기 위해 이를 사용하는 것이 제안된다. 레벨 센서 데이터는 에지 비드 위치 및 그 변동을 추론하는 데 사용될 수 있는 것으로 밝혀졌다.
레지스트의 광학적 속성, 아래의 웨이퍼(스택)로부터의 반사, 레지스트 두께 및 레벨 센서 스폿 크기를 포함하는 다수의 인자들이 레벨 센서 데이터(웨이퍼 반사 데이터)와 에지 비드 위치 사이의 관계에서 역할을 할 수 있다(후자의 경우, EBR이 전형적으로 0 내지 3 mm의 범위 내에 있기 때문에 1 mm 또는 더 낮은 구역에서의 레벨 센서 스팟 크기가 바람직할 수 있음).
동작은, 예를 들어 감속을 적용할지(및/또는 웨이퍼를 벗기고 재코팅할지) 여부를 결정하는 것을 포함할 수 있다. 카테고리 지표에 대해 2보다 많은 카테고리가 존재하는 경우, 상기 방법은 감속 정도를 결정하고, 및/또는 감속 없음, 감속, 또는 (극단적인 경우에 대해) 벗기고 재코팅함 사이에서 결정할 수 있다.
에지 비드 위치(예를 들어, OK 및 NOK 여부)를 추론하기 위한 모델의 트레이닝은 이미 설명된 방법들 중 어느 하나, 예를 들어 라벨링된 트레이닝 데이터에 기초한 기계 학습을 사용할 수 있다.
또 다른 특정 구현에서, 카테고리 예측(예를 들어, 웨이퍼가 OK/NOK인지 여부)을 위해 적절한 트레이닝된 모델에 대한 입력으로서 웨이퍼 테이블 온도 센서 신호들을 사용하는 것이 제안된다. 그러므로, 웨이퍼 재작업이 웨이퍼 테이블 센서들에 의한 열 측정들에만 기초하여 제안될 수 있으며, 이러한 열 측정들은 모든 웨이퍼들 및 층들에 대해 (예를 들어, 2 스테이지 스캐너의 측정-측에서) 수행된다.
이러한 제안은, 열 웨이퍼 테이블 측정들이 (예를 들어, 제로 층에 대해) 이용가능하지 않을 때 또는 상이한 기계들의 매칭 동안 잔류 오버레이 성능 지표(ROPI)와 같은 오버레이 메트릭을 예측하고 근사화할 수 있는 방식으로 열 웨이퍼 테이블 측정들을 모델링하는 것을 포함할 수 있다. 잔차 분석 또는 ROPI는 모델링된 웨이퍼 그리드가 측정된 위치들에 얼마나 잘 맞는지를 특성화한다. 웨이퍼 주변부 상에서 감지되는 대략 1 mK 초과의 냉각이 높은(NOK) ROPI를 나타내는 것으로 밝혀졌다. 하지만, 웨이퍼의 중심이 동일하거나 유사한 냉각을 나타내는 경우, ROPI는 정상(OK)일 가능성이 있다. 이러한 관측이 모델에 대한 기초로서 사용될 수 있다.
이 실시예에서의 모델 출력에 기초하여, 1 이상의 동작이 수행될 수 있으며, 이는 높은 ROPI가 예측되는 경우에 주변부에서의 웨이퍼 테이블 온도의 더 우수한 안정화 및/또는 웨이퍼의 재-클램핑을 포함할 수 있다(후자의 경우, 열 유도된 변형이 해제될 것이고, 이것이 야기했을 가능성이 있는 여하한의 물 액적들이 후속 클램핑 후에 존재하지 않을 것이다).
또한, 높은 ROPI를 갖는 웨이퍼들을 예측할 수 있는 것은 재작업이 필요한지 여부를 결정하는 데 사용될 수 있으며, 이는 이러한 웨이퍼들이 초기 층들에서 주목되지 않은 경우에 아마도 매우 높은 수율 손실이 존재할 것이기 때문이다. 이러한 이벤트들은 비교적 드물고(예를 들어, 20 개 중 1 개의 웨이퍼), 재작업은 비용이 많이 들기 때문에, 재작업되는 "양호한 웨이퍼들"의 수가 적어야 한다. 그러므로, 앞선 실시예들 중 다수에서와 같이, 이것이 긍정 오류 및 부정 오류 예측들에 대한 불균형 위험을 갖는 드문 이벤트 모델이기 때문에, 그러한 특성들을 고려하는 새로운 메트릭이 또한 제안된다. 정확성 및 F1과 같은 표준 메트릭은 드문 이벤트 및 위험을 적절히 설명하지 않는다. 이러한 새로운 메트릭은 가중된 조화 평균에 기초할 수 있고, 본 명세서에 개시된 결정 모델들 중 어느 하나를 모델링하는 상이한 모델링 기법들을 비교하는 데 사용될 수 있다.
새로운 메트릭은, s 1 s 2 가 각각 실패율(miss rate)(모든 예측된 OK 중에서, 실제로 NOK가 얼마나 많았는지) 및 허위 누락율(false omission rate)(모든 실제 NOK 중에서, 얼마나 많이 0K를 예측했는지)인 제 1 함수 F β,1 (s 1 ,s 2 ), 및 s 1 s 2 가 각각 긍정 오류율(모든 예측된 NOK 중에서, 실제로 OK가 얼마나 많았는지) 및 허위 누락율인 제 2 함수 F β,2 (s 1 ,s 2 )의 조합을 포함할 수 있다. 각각의 경우, 함수들은 다음과 같은 형태를 취할 수 있다:
Figure 112021086901821-pct00001
여기서, 제 2 통계치(s 2 )는 제 1 통계치(s 1 )보다 β 배 더 중요한 것으로 간주된다. 이러한 것으로서, 제 1 함수 Fβ,1(실패율, 허위 누락율)는 부정 오류들(즉, 누락된 높은 ROPI 웨이퍼들)에 부분적 포커스를 두고, 제 2 함수 Fβ,2(긍정 오류율, 허위 누락율)는 긍정 오류들(즉, 높은 ROPI로 나타낸 정상 ROPI 웨이퍼들)에 부분적 포커스를 둔다. 이 함수들은 단일 메트릭, 모델 메트릭(MM)에서 조합될 수 있다:
Figure 112021086901821-pct00002
모델 메트릭(MM)은 0과 1 사이의 범위를 가질 것이며, 더 높은 값이 더 우수한 모델을 나타낸다. 상이한 후보 모델들에 대한 이 모델 메트릭을 결정함으로써, 선호되는 모델이 선택될 수 있다.
본 명세서에 설명된 기계 학습(ML) 모델들 중 어느 하나를 트레이닝하기 위한 현재의 접근법은 로트 생산 동안 생성되는 스캐너 신호들 및 측정 결과들(예를 들어, 카테고리 및/또는 원시 측정 데이터)을 사용하는 것을 포함할 수 있다. 기계 학습 모델은 전형적으로 많은 트레이닝 데이터를 필요로 하고, 필요한 정확성을 얻기 위해 상당한 시간을 필요로 한다. 추산은 이것이 최대 4 주의 생산이 걸릴 수 있음을 시사한다.
또한, 트레이닝 세트는 양호한(OK) 웨이퍼들에 비해 상당히 더 적은 실패한(NOK) 웨이퍼들을 가질 것이다. 이는 적용될 수 있는 기계 학습 기법들의 타입을 제한한다. 예를 들어, 성능 저하를 야기할 수 있고 이용가능한 데이터의 부족으로 인해 (우연히 발생하지 않는 한) 현재 모델링되지 않는 알려진 문제들은 특히: 레티클 오염, 침지 후드 내의 기포, 웨이퍼 테이블 또는 웨이퍼 오염, 및/또는 웨이퍼 에지 롤 오프를 포함한다.
이를 해결하기 위해, 제안된 실시예는 도메인(스캐너) 지식에 기초하여 사전-지식 모델을 구성하는 것을 포함한다. 기존의 스캐너 로트 데이터 및 웨이퍼 측정 데이터는, 예를 들어 이미 알려진 스캐너 문제들의 인위적인 핑거프린트들을 추가함으로써 수정될 수 있다. 입력 데이터는 (예를 들어, 알려졌지만 비교적 드문 문제들을 포함하는) 특정 스캐너 문제들과 연계되거나 이를 나타내는 신호들을 포함할 수 있고, 출력 데이터는 예상된 웨이퍼 품질 표시(예상된 카테고리 지표)를 포함할 수 있다. (예를 들어, 오염/렌즈 드리프트들 등을 포함하는) 스캐너 문제들의 큰 선택을 위해, 입력-출력 데이터가 도출(예를 들어, 합성)되고, 후속하여 스캐너 성능 검출을 위해 기계 학습 모델을 트레이닝하는 데 사용되는 기존 트레이닝 데이터 세트를 증강하는 데 사용될 수 있다. 추가적으로, 이러한 방법에서, 웨이퍼 품질을 떨어뜨리지 않는 것으로 알려진 거동이 데이터를 증강하기 위해 사용될 수 있다.
이러한 것으로서, 공정의 상태에 기초하여 기판의 품질을 예측하는 데 사용되는 모델을 트레이닝하는 방법이 개시된다. 상기 방법은: 컴퓨터 시스템에 의해, 공정의 복수의 상태들을 생성하는 단계; 공정의 상태를 특성화하는 적어도 하나의 파라미터와 기판의 품질 사이의 알려진 관계에 기초하여, 복수의 상태들 중 공정의 각 상태에 대한 기판의 예상된 품질을 결정하는 단계; 및 모델을 트레이닝하기 위해 복수의 상태들 및 대응하는 예상된 품질들을 입력하는 단계를 포함한다. 이러한 실시예는 본 명세서에 설명된 모델들 중 어느 하나를 트레이닝하기 위해 본 명세서에 개시된 다른 실시예들 중 어느 하나와 조합하여 사용될 수 있다.
그 효과는, 초기의 적당한 트레이닝 세트가 더 커지고 더 변화되며, 이에 따라 기계 학습 모델의 범위를 개선할 수 있다는 것이다. 이는 스캐너 성능 검출이 방대한 양의 생산 데이터에 의존하지 않으면서 스캐너별 더 정확하고 더 신뢰성 있게 될 수 있다는 것을 의미한다.
도 10은 이러한 실시예에 대한 예시적인 방법을 설명하는 흐름도이다. 단계 1000에서, 스캐너 문제들 및 출력(예를 들어, 웨이퍼 OK/NOK/알수없음)으로서 카테고리 지표들에 대해 전형적일 수 있는 입력 신호들을 포함하는 불완전한 사전-지식 모델이 구축된다. '알수없음' 라벨은 사전-지식 모델에서 부족한 정보를 나타내기 위해 사용되며, 이러한 것으로서 모델은 불완전하다. 단계 1010에서, 도메인 지식에 기초하여, 단계 1000의 모델에 대한 입력 신호들이 생성된다. 단계 1020에서, 단계 1000으로부터의 모델의 출력이 단계 1010의 입력 신호들에 대응하여 연산된다(예를 들어, 단계 1010의 입력 신호들에 기초하여 예상될 모델로부터 예상 출력 OK/NOK/알수없음 추산). 단계 1030에서, '알수없음' 출력을 생성하는 단계 1020으로부터의 모든 데이터가 폐기된다. 단계 1040에서, 나머지 데이터(예를 들어, 적절한 정도의 확실성으로, OK/NOK 또는 다른 알려진 카테고리 출력을 부여한 데이터)는 기존의 데이터를 증강하기 위해 사용된다. 단계 1050에서, 기계 학습 모델(예를 들어, FDC 시스템들 중 어느 하나를 포함하는, 본 명세서에 설명된 모델들 중 어느 하나)은 증강된 데이터세트로 트레이닝된다.
다음의 리스트는 이러한 데이터 증강에 포함될 수 있는 스캐너 문제 예시들의 완전하지 않은 리스트이다. 이러한 문제들은 비교적 드물고, 이에 따라 통상적으로 생성된 트레이닝 데이터에 흔히 포함되지 않을 수 있다. 이러한 스캐너 문제들은, 예를 들어 다음을 포함할 수 있다:
· 웨이퍼 오염;
· 레티클 오염;
· 웨이퍼 테이블 오염;
· 웨이퍼 에지 롤 오프;
· 렌즈 드리프트;
· 침지 후드 내의 기포;
· 타이밍 일시적 고장(Timing hiccups).
다른 예시들은 직관적으로 불량 웨이퍼들을 생성할 것으로 예상될 수 있지만 실제로는 그렇지 않은 스캐너 거동의 예시들을 포함할 수 있다. 예를 들어, 오류들이 자체적으로 상쇄된다.
데이터 세트를 증강하는 추가적인 방식은, 로트 데이터에 (예를 들어, 알려진 레벨 및 잡음 모델의) 인위적 잡음을 추가하고 웨이퍼 측정 데이터에 대한 이러한 잡음의 영향을 모델링하는 것이다. 예를 들어, (예를 들어, 특정 타입의) 전형적인 스케터로미터에서 볼 수 있는 바와 같이, 오버레이 또는 포커스 스케터로메트리 측정들에 랜덤 잡음이 추가될 수 있다. 레벨 센서 또는 정렬 센서에 대해, 그 센서에 대해 전형적인 잡음 레벨이 추가될 수 있다.
합성적으로 생성된 입력/출력 쌍들로 원본 데이터 세트를 증강함으로써, 기계 학습 모델은 사전 지식으로 보강된다.
도 11은 본 명세서에 개시된 방법들 및 흐름들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(1600)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(1600)은 정보를 전달하는 버스(1602) 또는 다른 통신 기구, 및 정보를 처리하는 버스(1602)와 커플링된 프로세서(1604)[또는 다중 프로세서들(1604 및 1605)]를 포함한다. 또한, 컴퓨터 시스템(1600)은 프로세서(1604)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(1602)에 커플링된 주 메모리(1606)를 포함한다. 또한, 주 메모리(1606)는 프로세서(1604)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(1600)은 프로세서(1604)에 대한 정적 정보 및 명령어들을 저장하는 버스(1602)에 커플링된 ROM(read only memory: 1608) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(1610)가 제공되고 버스(1602)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(1600)은 버스(1602)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(1612)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(1614)는 정보 및 명령 선택(command selection)들을 프로세서(1604)로 전달하기 위해 버스(1602)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(1604)로 전달하고, 디스플레이(1612) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 1616)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
주 메모리(1606)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(1604)에 응답하여 컴퓨터 시스템(1600)에 의해 본 명세서에 설명된 방법들 중 1 이상이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(1610)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(1606)로 읽혀질 수 있다. 주 메모리(1606) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(1604)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(1606) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(1604)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(1610)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(1606)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(1602)를 포함하는 와이어들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(1604)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(1600)에 로컬인 모뎀이 전화선 상에서 데이터를 수신하고, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(1602)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(1602)에 놓을 수 있다. 버스(1602)는, 프로세서(1604)가 명령어들을 회수하고 실행하는 주 메모리(1606)로 상기 데이터를 전달한다. 주 메모리(1606)에 의해 수신된 명령어들은 프로세서(1604)에 의한 실행 전이나 후에 저장 디바이스(1610)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(1600)은 바람직하게는 버스(1602)에 커플링된 통신 인터페이스(1618)를 포함한다. 통신 인터페이스(1618)는 로컬 네트워크(1622)에 연결되는 네트워크 링크(1620)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(1618)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(1618)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(1618)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(1620)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(1620)는 로컬 네트워크(1622)를 통해 호스트 컴퓨터(host computer: 1624), 또는 ISP(Internet Service Provider: 1626)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(1626)는 이제 보편적으로 "인터넷"(1628)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(1622) 및 인터넷(1628)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(1600)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(1618)를 통한 네트워크 링크(1620) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(1600)은 네트워크(들), 네트워크 링크(1620) 및 통신 인터페이스(1618)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(1630)가 인터넷(1628), ISP(1626), 로컬 네트워크(1622) 및 통신 인터페이스(1618)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 명세서에 설명된 기술들 중 1 이상을 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(1604)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(1610) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(1600)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
본 발명의 추가 실시예들이 아래의 번호가 매겨진 항목들의 목록에서 개시된다:
1. 제조 공정 내에서 결정을 내리는 방법으로서,
제조 공정의 리소그래피 노광 단계의 1 이상의 파라미터에 관한 스캐너 데이터를 얻는 단계;
스캐너 데이터에 기초하여 1 이상의 카테고리 지표들 각각에 대한 값을 출력하는 결정 모델을 적용하는 단계 -1 이상의 카테고리 지표들 각각은 제조 공정의 품질을 나타냄- ; 및
카테고리 지표의 값에 기초하여 동작을 결정하는 단계를 포함한다.
2. 1 항에 있어서, 스캐너 데이터는 리소그래피 노광 단계 동안 리소그래피 장치에 의해 생성되는 방법.
3. 1 항에 있어서, 스캐너 데이터는 유지보수 동작 동안 측정되는 방법.
4. 1 항 내지 3 항 중 어느 하나에 있어서, 1 이상의 카테고리 지표들 각각은 1 이상의 기능 지표로부터 도출되는 방법.
5. 4 항에 있어서, 1 이상의 기능 지표들 각각은 리소그래피 노광 단계 및/또는 연계된 장치에 관련된 알려진 물리적 현상에 기초하여 1 이상의 기능 모델로부터 결정되는 방법.
6. 4 항 또는 5 항에 있어서, 1 이상의 기능 지표는 공칭 거동으로부터의 파라미터 값의 편차를 설명하는 적어도 하나의 기능 지표를 포함하고, 상기 공칭 거동은 상기 알려진 물리적 현상 및/또는 리던던시(redundancy)로부터 도출되는 방법.
7. 4 항 내지 6 항 중 어느 하나에 있어서, 1 이상의 기능 지표들 각각은: 통계 기법, 최적화, 회귀, 또는 기계 학습 기법 중 1 이상을 사용하여 트레이닝되는 방법.
8. 4 항 내지 7 항 중 어느 하나에 있어서, 1 이상의 카테고리 지표들 각각은 1 이상의 기능 지표에 대한 1 이상의 적용 및/또는 학습된 임계값에 따라 기능 지표들을 카테고리화함으로써 상기 1 이상의 기능 지표로부터 도출되는 방법.
9. 8 항에 있어서, 상기 리소그래피 노광 단계를 거친 기판들에 대해 수행되는 측정들 및 그에 할당된 대응하는 결정들 및/또는 카테고리들에 대해 결정 모델을 트레이닝하는 단계를 포함하고, 상기 트레이닝하는 단계는 상기 1 이상의 임계값들 각각을 설정하는 방법.
10. 9 항에 있어서, 결정 모델을 트레이닝하는 단계는: 뉴럴 네트워크 기법, 랜덤 포레스트 기법, 및 딥 러닝 기법 중 1 이상을 포함하는 기계 학습 기법을 사용하는 방법.
11. 8 항 내지 10 항 중 어느 하나에 있어서, 결정 모델에 의해 출력된 카테고리 지표에 대한 상기 값에 후속하여 기판들을 측정하는 단계, 및 측정들에 기초하여 결정 모델 출력을 검증하는 단계를 포함하는 방법.
12. 11 항에 있어서, 검증 단계는 상기 임계값들 중 1 이상을 변경하여 결정 모델의 예측 성능을 개선하는 단계를 포함하는 방법.
13. 4 항 내지 12 항 중 어느 하나에 있어서, 상기 1 이상의 카테고리 지표는 각각 다수 기능 지표들의 집계로부터 도출되는 방법.
14. 13 항에 있어서, 집계는 다수 기능 지표들로부터 도출된 다수의 중간 카테고리 지표들을 집계하는 것을 포함하는 방법.
15. 1 항 내지 14 항 중 어느 하나에 있어서, 상기 1 이상의 카테고리 지표들 각각은 파라미터가 사양 내에 있는지 또는 그렇지 않은지를 설명하는 바이너리 카테고리 지표를 포함하는 방법.
16. 1 항 내지 15 항 중 어느 하나에 있어서, 상기 1 이상의 카테고리 지표들 각각은 오버레이, 포커스, 임계 치수, 임계 치수 균일성 중 1 이상에 관련되는 방법.
17. 1 항 내지 16 항 중 어느 하나에 있어서, 스캐너 데이터는 스캐너의 웨이퍼 테이블 상의 온도 센서들로부터의 열 데이터를 포함하고, 상기 카테고리 지표는 제조 공정에 따라 제조된 기판의 오버레이에 관련되며, 결정 모델은 열 데이터를 예상된 오버레이 메트릭에 관련시키는 방법.
18. 1 항 내지 17 항 중 어느 하나에 있어서, 결정은 기판이 재작업을 위한 가능한 후보로서 검사되어야 하는지를 결정하는 단계를 포함하는 방법.
19. 1 항 내지 18 항 중 어느 하나에 있어서, 스캐너 데이터는 레벨 센서 데이터를 포함하고, 상기 카테고리 지표는 제조 공정에 따라 제조되는 기판 상의 에지 비드의 에지 비드 위치에 관련되며, 결정 모델은 레벨 센서 데이터를 예상된 에지 비드 메트릭 및/또는 제조 공정에서의 물 손실로 인한 결함들에 관련시키는 방법.
20. 19 항에 있어서, 동작은 카테고리 지표에 대한 값에 기초하여 리소그래피 공정을 감속시키는 단계를 포함하는 방법.
21. 1 항 내지 20 항 중 어느 하나에 있어서, 상기 결정 모델은 1 이상의 제 1 결정 경계를 정의하는 제 1 결정 모델이고; 상기 방법은 1 이상의 제 2 결정 경계를 정의하는 제 2 결정 모델을 적용하는 단계를 더 포함하는 방법.
22. 21 항에 있어서, 제 1 결정 모델은 지도 기계 학습 모델이고, 제 2 결정 모델은 비지도 또는 준지도 기계 학습 모델인 방법.
23. 21 항 또는 22 항에 있어서, 제 2 결정 모델은 제 2 결정 경계에 의해 기술되는 바와 같이 데이터 포인트가 정상인지 또는 비정상인지를 나타내는 방법.
24. 21 항 내지 23 항 중 어느 하나에 있어서, 제 1 결정 모델의 제 1 출력 및 제 2 결정 모델의 제 2 출력은 가중에 따라 조합되어 조합된 출력을 제공하는 방법.
25. 24 항에 있어서, 제 1 출력 및 제 2 출력, 및/또는 제 1 결정 모델 및 제 2 결정 모델 중 어느 하나 또는 둘 모두 내에 포함된 1 이상의 하이퍼파라미터의 가중을 최적화하는 단계를 포함하는 방법.
26. 25 항에 있어서, 상기 최적화는 수신자 조작 특성 곡선 아래의 면적을 최대화하는 방법.
27. 제조 공정 내에서 결정을 내리기 위한 결정 모델을 구성하는 방법으로서,
제조 공정의 리소그래피 노광 단계의 1 이상의 파라미터에 관한 스캐너 데이터를 얻는 단계;
스캐너 데이터로부터 1 이상의 카테고리 지표를 도출하는 단계 -1 이상의 카테고리 지표들 각각은 적어도 하나의 임계값에 기초하여 제조 공정의 품질을 나타냄- ; 및
상기 리소그래피 노광 단계를 거친 기판들에 대해 수행되는 측정들 및 그에 할당된 대응하는 결정들 및/또는 카테고리들에 대해 결정 모델을 트레이닝하는 단계를 포함하고, 상기 트레이닝하는 단계는 상기 1 이상의 임계값들 각각을 설정하여, 상기 결정 모델이 상기 스캐너 데이터에 기초하여 1 이상의 카테고리 지표들 각각에 대해 적절한 값을 출력하도록 하는 방법.
28. 27 항에 있어서, 상기 결정 모델을 트레이닝하는 단계는 또한 전문가 지식에 기초하는 방법.
29. 27 항 또는 28 항에 있어서, 상기 결정 모델을 트레이닝하는 단계는 뉴럴 네트워크 기법, 랜덤 포레스트 기법, 및 딥 러닝 기법 중 1 이상을 포함하는 기계 학습 기법을 사용하는 방법.
30. 27 항 내지 29 항 중 어느 하나에 있어서, 1 이상의 카테고리 지표들 각각은 1 이상의 기능 지표로부터 도출되는 방법.
31. 30 항에 있어서, 1 이상의 기능 지표들 각각은 리소그래피 노광 단계 및/또는 연계된 장치에 관련된 알려진 물리적 현상에 기초하여 1 이상의 기능 모델로부터 결정되는 방법.
32. 30 항 또는 31 항에 있어서, 1 이상의 기능 지표는 공칭 거동으로부터의 파라미터 값의 편차를 설명하는 적어도 하나의 기능 지표를 포함하고, 상기 공칭 거동은 상기 알려진 물리적 현상 및/또는 리던던시로부터 도출되는 방법.
33. 30 항 내지 32 항 중 어느 하나에 있어서, 1 이상의 기능 지표들 각각은: 통계 기법, 최적화, 회귀, 또는 기계 학습 기법 중 1 이상을 사용하여 트레이닝되는 방법.
34. 30 항 내지 33 항 중 어느 하나에 있어서, 1 이상의 카테고리 지표들 각각은 1 이상의 기능 지표에 대한 1 이상의 임계값의 적용에 의해 상기 1 이상의 기능 지표로부터 도출되는 방법.
35. 30 항 내지 34 항 중 어느 하나에 있어서, 상기 1 이상의 카테고리 지표는 각각 다수 기능 지표들의 집계로부터 도출되는 방법.
36. 35 항에 있어서, 집계는 다수 기능 지표들로부터 도출된 다수의 중간 카테고리 지표들을 집계하는 것을 포함하는 방법.
37. 27 항 내지 36 항 중 어느 하나에 있어서, 상기 1 이상의 카테고리 지표들 각각은 파라미터가 사양 내에 있는지 또는 그렇지 않은지를 설명하는 바이너리 카테고리 지표를 포함하는 방법.
38. 27 항 내지 37 항 중 어느 하나에 있어서, 상기 1 이상의 카테고리 지표들 각각은 오버레이, 포커스, 임계 치수, 임계 치수 균일성 중 1 이상에 관련되는 방법.
39. 27 항 내지 38 항 중 어느 하나에 있어서, 스캐너 데이터는 스캐너의 웨이퍼 테이블 상의 온도 센서들로부터의 열 데이터를 포함하고, 상기 카테고리 지표는 제조 공정에 따라 제조된 기판의 오버레이에 관련되며, 상기 결정 모델을 트레이닝하는 단계는 열 데이터를 예상된 오버레이 메트릭에 관련시키는 것을 포함하는 방법.
40. 27 항 내지 39 항 중 어느 하나에 있어서, 스캐너 데이터는 레벨 센서 데이터를 포함하고, 상기 카테고리 지표는 제조 공정에 따라 제조되는 기판 상의 에지 비드의 에지 비드 위치에 관련되며, 상기 결정 모델을 트레이닝하는 단계는 레벨 센서 데이터를 예상된 에지 비드 메트릭 및/또는 제조 공정에서의 물 손실로 인한 결함들에 관련시키는 방법.
41. 27 항 내지 40 항 중 어느 하나에 있어서, 상기 결정 모델은 1 이상의 제 1 결정 경계를 정의하는 제 1 결정 모델이고; 상기 방법은 1 이상의 제 2 결정 경계를 정의하는 제 2 결정 모델을 트레이닝하는 단계를 더 포함하며, 제 1 결정 모델은 지도 기계 학습 모델이고, 제 2 결정 모델은 비지도 또는 준지도 기계 학습 모델인 방법.
42. 41 항에 있어서, 제 1 결정 모델의 제 1 출력 및 제 2 결정 모델의 제 2 출력은 가중에 따라 조합되어 조합된 출력을 제공하고; 상기 방법은 제 1 출력 및 제 2 출력, 및/또는 제 1 결정 모델 및 제 2 결정 모델 중 어느 하나 또는 둘 모두 내에 포함된 1 이상의 하이퍼파라미터의 가중을 최적화하는 단계를 포함하는 방법.
43. 42 항에 있어서, 상기 최적화는 수신자 조작 특성 곡선 아래의 면적을 최대화하는 방법.
44. 27 항 내지 43 항 중 어느 하나에 있어서, 상이한 도메인으로부터의 및/또는 상이한 과업에 대한 관련 데이터에 대해 트레이닝된 결정 모델을 얻는 단계를 포함하고, 상기 트레이닝 단계는 현재 도메인 및/또는 과업에 대한 결정 모델의 1 이상의 출력 층만을 트레이닝하는 단계를 포함하는 방법.
45. 27 항 내지 44 항 중 어느 하나에 있어서, 합성 트레이닝 데이터를 생성하는 단계 및 상기 트레이닝 데이터로 상기 트레이닝 단계에서 사용되는 데이터를 증강하는 단계를 포함하는 방법.
46. 45 항에 있어서,
공정의 복수의 상태들을 생성하는 단계;
공정의 상태를 특성화하는 적어도 하나의 파라미터와 기판의 품질 사이의 알려진 관계에 기초하여, 복수의 상태들 중 제조 공정의 각 상태에 대한 기판의 예상된 품질을 결정하는 단계; 및
복수의 상태들 및 대응하는 예상된 품질들을 상기 합성 트레이닝 데이터로서 사용하는 단계를 포함하는 방법.
47. 46 항에 있어서, 상기 복수의 상태들 및 대응하는 예상된 품질들을 상기 합성 트레이닝 데이터로서 사용하는 단계는 예상된 품질들이 충분한 신뢰도로 추산될 수 없는 여하한의 이러한 데이터를 폐기하는 단계를 포함하는 방법.
48. 45 항 내지 47 항 중 어느 하나에 있어서, 상기 합성 트레이닝 데이터를 증강하기 위해 인위적인 잡음을 추가하는 단계를 포함하는 방법.
49. 27 항 내지 48 항 중 어느 하나에 있어서, 복수의 상기 결정 모델들에 대한 모델 메트릭을 결정하는 단계를 포함하고, 각각의 모델 메트릭은 모델에 대한 제 1 함수 및 제 2 함수의 조합을 포함하며, 상기 제 1 함수는 실패율 및 허위 누락율의 가중된 조화 평균을 포함하고, 상기 제 2 함수는 긍정 오류율 및 허위 누락율의 가중된 조화 평균을 포함하는 방법.
50. 적절한 장치에서 실행될 때, 1 항 내지 49 항 중 어느 하나의 방법을 수행하도록 작동가능한 프로그램 명령어들을 포함하는 컴퓨터 프로그램.
51. 50 항의 컴퓨터 프로그램을 포함하는 비-일시적 컴퓨터 프로그램 캐리어.
52. 50 항의 컴퓨터 프로그램을 포함한 저장 디바이스 및 프로세서를 포함하는 처리 시스템.
53. 50 항의 처리 시스템을 포함하는 리소그래피 장치.
54. 다수의 작업들을 포함하는 반도체 제조 공정을 거친 기판을 카테고리화하는 방법으로서,
기판 상의 다수 작업들 중 1 이상 동안 생성된 데이터로부터 도출되는 기능 지표들의 값들을 얻는 단계 -기능 지표들은 적어도 하나의 작업을 특성화함- ; 1 이상의 카테고리 지표를 얻기 위해 기능 지표들의 값들에 1 이상의 임계값을 포함하는 결정 모델을 적용하는 단계; 및 1 이상의 카테고리 지표에 기초하여 기판에 카테고리를 할당하는 단계를 포함하는 방법.
55. 54 항에 있어서, 기판에 할당된 카테고리를 사용하여 기판에 결정을 할당하는 단계를 더 포함하고, 결정은: ⅰ) 기판을 재작업하는 것, ⅱ) 기판을 검사하는 것, 또는 ⅲ) 후속 공정 단계에서 기판을 사용하는 것 중 하나인 방법.
56. 54 항에 있어서, 데이터는 반도체 제조 공정의 리소그래피 노광 단계에서 사용되는 리소그래피 장치에 의해 생성되고, 적어도 하나의 작업은: 기판의 레벨링, 기판의 정렬, 기판의 컨디셔닝(conditioning), 기판의 노광, 및 기판을 노광하는 데 사용되는 투영 렌즈의 수차들의 측정 중 1 이상인 방법.
57. 56 항에 있어서, 적어도 하나의 기능 지표는 리소그래피 노광 단계 및/또는 리소그래피 장치에 관련된 알려진 물리적 현상에 기초하여 1 이상의 기능 모델로부터 결정되는 방법.
58. 57 항에 있어서, 1 이상의 기능 지표는 공칭 거동으로부터의 파라미터 값의 편차를 설명하는 적어도 하나의 기능 지표를 포함하고, 상기 공칭 거동은 상기 알려진 물리적 현상으로부터 도출되는 방법.
59. 55 항에 있어서, 결정 모델은 그에 할당된 대응하는 결정들 및/또는 카테고리들을 갖는 처리된 기판들 상에서 수행되는 측정들에 대해 트레이닝되고, 상기 트레이닝은 상기 1 이상의 임계값들 중 적어도 하나를 설정하는 방법.
60. 55 항에 있어서, 그에 할당된 대응하는 결정들 및/또는 카테고리들을 갖는 처리된 기판들 상에서 수행되는 측정들에 대해 결정 모델을 트레이닝하는 단계를 포함하고, 상기 트레이닝하는 단계는 상기 1 이상의 임계값들 각각을 설정하는 방법.
61. 60 항에 있어서, 상기 결정 모델을 트레이닝하는 단계는: 뉴럴 네트워크 기법, 랜덤 포레스트 기법, 및 딥 러닝 기법 중 1 이상을 포함하는 기계 학습 기법을 사용하는 방법.
62. 54 항에 있어서, 결정 모델에 의해 출력된 카테고리 지표에 후속하여 기판들의 측정을 얻는 단계, 및 측정에 기초하여 결정 모델을 검증하는 단계를 포함하는 방법.
63. 62 항에 있어서, 검증 단계는 상기 임계값들 중 1 이상을 변경하여 결정 모델의 예측 성능을 개선하는 단계를 포함하는 방법.
64. 54 항에 있어서, 상기 1 이상의 카테고리 지표는 각각 다수 기능 지표들의 집계에 기초하는 방법.
65. 64 항에 있어서, 집계는 다수 기능 지표들로부터 도출된 다수의 중간 카테고리 지표들을 집계하는 것을 더 포함하는 방법.
66. 54 항에 있어서, 상기 1 이상의 카테고리 지표들 각각은 오버레이, 포커스, 임계 치수, 임계 치수 균일성 중 1 이상에 관련되는 방법.
67. 56 항에 있어서, 데이터는 리소그래피 장치의 웨이퍼 테이블 상의 온도 센서들로부터의 열 데이터와 연계되고, 상기 카테고리 지표는 제조 공정에 따라 제조된 기판의 오버레이에 관련되며, 결정 모델은 열 데이터를 예상된 오버레이 메트릭에 관련시키는 방법.
68. 56 항에 있어서, 데이터는 레벨 센서 데이터를 포함하고, 상기 카테고리 지표는 제조 공정에 따라 제조되는 기판 상의 에지 비드의 에지 비드 위치에 관련되며, 결정 모델은 레벨 센서 데이터를 예상된 에지 비드 메트릭 및/또는 제조 공정에서의 물 손실로 인한 결함들에 관련시키는 방법.
69. 54 항에 있어서, 상기 결정 모델은 1 이상의 제 1 결정 경계를 정의하는 제 1 결정 모델이고; 상기 방법은 1 이상의 제 2 결정 경계를 정의하는 제 2 결정 모델을 트레이닝하는 단계를 더 포함하며, 제 1 결정 모델은 지도 기계 학습 모델이고, 제 2 결정 모델은 비지도 또는 준지도 기계 학습 모델인 방법.
70. 60 항에 있어서, 상이한 도메인으로부터의 및/또는 상이한 과업에 대한 관련 데이터에 대해 트레이닝된 초기 결정 모델을 얻는 단계를 더 포함하고, 상기 트레이닝 단계는 현재 도메인 및/또는 과업에 대한 초기 결정 모델의 1 이상의 출력 층만을 트레이닝하는 단계를 포함하는 방법.
71. 반도체 제조 공정 내에서 결정을 내리기 위한 결정 모델을 구성하는 방법으로서,
반도체 제조 공정의 패터닝 단계의 1 이상의 파라미터에 관한 데이터를 얻는 단계; 데이터로부터 1 이상의 카테고리 지표를 도출하는 단계 -1 이상의 카테고리 지표들 각각은 적어도 하나의 임계값에 기초하여 반도체 제조 공정의 품질을 나타냄- ; 및 상기 패터닝 단계를 거친 기판들에 대해 수행되는 측정들 및 그에 할당된 대응하는 결정들 및/또는 카테고리들에 대해 결정 모델을 트레이닝하는 단계를 포함하고, 상기 트레이닝하는 단계는 상기 1 이상의 임계값들 각각에 대한 값을 설정하여, 상기 결정 모델이 상기 데이터에 기초하여 1 이상의 카테고리 지표들 각각에 대해 적절한 값을 출력하도록 하는 방법.
72. 적절한 장치에서 실행될 때, 54 항의 방법을 수행하도록 작동가능한 프로그램 명령어들을 포함하는 컴퓨터 프로그램.
73. 72 항의 컴퓨터 프로그램을 포함하는 비-일시적 컴퓨터 프로그램 캐리어.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 가능한 다른 적용예들은 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조를 포함한다.
본 명세서에서는, 리소그래피 장치와 관련하여 본 발명의 특정 실시예들에 대하여 언급되지만, 본 발명의 실시예들은 다른 장치에서 사용될 수 있다. 본 발명의 실시예들은 마스크 검사 장치, 메트롤로지 장치, 또는 웨이퍼(또는 다른 기판) 또는 마스크(또는 다른 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 여하한의 장치의 일부를 형성할 수 있다. 이 장치는 일반적으로 리소그래피 툴로 지칭될 수 있다. 이러한 리소그래피 툴은 진공 조건들 또는 주변(비-진공) 조건들을 사용할 수 있다.
이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않고 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있다는 것을 이해할 것이다.
이상, 본 발명의 특정 실시예들이 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있음을 이해할 것이다. 상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (20)

  1. 다수 작업들을 포함하는 반도체 제조 공정을 거친 기판을 카테고리화하는 방법으로서,
    상기 기판 상의 다수 작업들 중 1 이상 동안 생성된 데이터로부터 도출되는 기능 지표(functional indicator)들의 값들을 얻는 단계 -상기 기능 지표들은 적어도 하나의 작업을 특성화함- ;
    1 이상의 카테고리 지표(categorical indicator)를 얻기 위해 상기 기능 지표들의 값들에 1 이상의 임계값을 포함하는 결정 모델을 적용하는 단계;
    상기 1 이상의 카테고리 지표에 기초하여 상기 기판에 카테고리를 할당하는 단계; 및
    상기 기판에 할당된 카테고리를 사용하여 상기 기판에 결정을 할당하는 단계
    를 포함하고,
    상기 결정은: ⅰ) 상기 기판의 재작업, ⅱ) 상기 기판의 검사, 또는 ⅲ) 후속 공정 단계에서의 상기 기판의 사용 중 하나이며,
    상기 결정 모델은 그에 할당된 대응하는 결정들 및/또는 카테고리들을 갖는 처리된 기판들 상에서 수행되는 측정들에 대해 트레이닝(train)되고, 상기 트레이닝은 상기 1 이상의 임계값들 중 적어도 하나를 설정하는 방법.
  2. 제 1 항에 있어서
    상기 데이터는 상기 반도체 제조 공정의 리소그래피 노광 단계에서 사용되는 리소그래피 장치에 의해 생성되고, 상기 적어도 하나의 작업은: 상기 기판의 레벨링, 상기 기판의 정렬, 상기 기판의 컨디셔닝(conditioning), 상기 기판의 노광, 및 상기 기판을 노광하는 데 사용되는 투영 렌즈의 수차들의 측정 중 1 이상인 방법.
  3. 제 2 항에 있어서,
    적어도 하나의 기능 지표는 상기 리소그래피 노광 단계 및/또는 리소그래피 장치에 관련된 알려진 물리적 현상(physics)에 기초하여 1 이상의 기능 모델로부터 결정되는 방법.
  4. 제 3 항에 있어서,
    1 이상의 기능 지표는 공칭 거동으로부터의 파라미터 값의 편차를 설명하는 적어도 하나의 기능 지표를 포함하고, 상기 공칭 거동은 상기 알려진 물리적 현상으로부터 도출되는 방법.
  5. 제 1 항에 있어서,
    상기 트레이닝은 상기 1 이상의 임계값들 각각을 설정하는 방법.
  6. 제 5 항에 있어서,
    상기 결정 모델을 트레이닝하는 단계는: 뉴럴 네트워크 기법, 랜덤 포레스트 기법, 및 딥 러닝 기법 중 1 이상을 포함하는 기계 학습 기법을 사용하는 방법.
  7. 제 1 항에 있어서,
    상기 결정 모델에 의해 출력된 카테고리 지표에 후속하여 상기 기판들의 측정을 얻는 단계, 및 상기 측정에 기초하여 상기 결정 모델을 검증(validate)하는 단계를 포함하는 방법.
  8. 제 7 항에 있어서,
    상기 검증하는 단계는 상기 임계값들 중 1 이상을 변경하여 상기 결정 모델의 예측 성능을 개선하는 단계를 포함하는 방법.
  9. 제 1 항에 있어서,
    상기 1 이상의 카테고리 지표는 각각 다수 기능 지표들의 집계(aggregation)에 기초하는 방법.
  10. 제 9 항에 있어서,
    상기 집계는 상기 다수 기능 지표들로부터 도출된 다수의 중간 카테고리 지표들을 집계하는 것을 더 포함하는 방법.
  11. 제 1 항에 있어서,
    상기 1 이상의 카테고리 지표들 각각은 오버레이, 포커스, 임계 치수, 임계 치수 균일성 중 1 이상에 관련되는 방법.
  12. 제 2 항에 있어서,
    상기 데이터는 상기 리소그래피 장치의 웨이퍼 테이블 상의 온도 센서들로부터의 열 데이터와 연계되고, 상기 카테고리 지표는 상기 반도체 제조 공정에 따라 제조된 기판의 오버레이에 관련되며, 상기 결정 모델은 상기 열 데이터를 예상된 오버레이 메트릭에 관련시키는 방법.
  13. 제 2 항에 있어서,
    상기 데이터는 레벨 센서 데이터를 포함하고, 상기 카테고리 지표는 상기 제조 공정에 따라 제조되는 기판 상의 에지 비드의 에지 비드 위치에 관련되며, 상기 결정 모델은 상기 레벨 센서 데이터를 예상된 에지 비드 메트릭 및/또는 상기 제조 공정에서의 물 손실로 인한 결함들에 관련시키는 방법.
  14. 제 1 항에 있어서,
    상기 결정 모델은 1 이상의 제 1 결정 경계를 정의하는 제 1 결정 모델이고; 상기 방법은 1 이상의 제 2 결정 경계를 정의하는 제 2 결정 모델을 트레이닝하는 단계를 더 포함하며, 상기 제 1 결정 모델은 지도 기계 학습 모델이고, 상기 제 2 결정 모델은 비지도 또는 준지도 기계 학습 모델인 방법.
  15. 제 5 항에 있어서,
    상이한 도메인으로부터의 및/또는 상이한 과업에 대한 관련 데이터에 대해 트레이닝된 초기 결정 모델을 얻는 단계를 더 포함하고, 상기 트레이닝은 현재 도메인 및/또는 과업을 위해 상기 초기 결정 모델의 1 이상의 출력 층만을 트레이닝하는 것을 포함하는 방법.
  16. 반도체 제조 공정 내에서 결정을 내리기 위한 결정 모델을 구성하는 방법으로서,
    상기 반도체 제조 공정의 패터닝 단계의 1 이상의 파라미터에 관한 데이터를 얻는 단계;
    상기 데이터로부터 1 이상의 카테고리 지표를 도출하는 단계 -상기 1 이상의 카테고리 지표들 각각은 적어도 하나의 임계값에 기초하여 상기 반도체 제조 공정의 품질을 나타냄- ; 및
    상기 패터닝 단계를 거친 기판들에 대해 수행되는 측정들 및 그에 할당된 대응하는 결정들 및/또는 카테고리들에 대해 상기 결정 모델을 트레이닝하는 단계
    를 포함하고,
    상기 트레이닝하는 단계는 1 이상의 임계값들 각각에 대한 값을 설정하여, 상기 결정 모델이 상기 데이터에 기초하여 상기 1 이상의 카테고리 지표들 각각에 대해 적절한 값을 출력하도록 하는 방법.
  17. 명령어를 포함하는 컴퓨터 프로그램이 저장된 비-일시적 기록매체로서, 명령어는 프로세서로 하여금 제 1 항 내지 제 16 항 중 어느 한 항의 방법을 수행하도록 구성된, 비-일시적 기록매체.
  18. 삭제
  19. 삭제
  20. 삭제
KR1020217023941A 2019-01-29 2020-01-09 반도체 제조 공정에서의 의사 결정 방법 KR102606104B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP19154100.2 2019-01-29
EP19154100 2019-01-29
EP19155660.4A EP3693795A1 (en) 2019-02-06 2019-02-06 Method for decision making in a semiconductor manufacturing process
EP19155660.4 2019-02-06
EP19209695 2019-11-18
EP19209695.6 2019-11-18
PCT/EP2020/050354 WO2020156769A1 (en) 2019-01-29 2020-01-09 Method for decision making in a semiconductor manufacturing process

Publications (2)

Publication Number Publication Date
KR20210105424A KR20210105424A (ko) 2021-08-26
KR102606104B1 true KR102606104B1 (ko) 2023-11-29

Family

ID=69157848

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217023941A KR102606104B1 (ko) 2019-01-29 2020-01-09 반도체 제조 공정에서의 의사 결정 방법

Country Status (6)

Country Link
US (2) US11687007B2 (ko)
EP (1) EP3918420A1 (ko)
KR (1) KR102606104B1 (ko)
CN (1) CN113366390B (ko)
TW (2) TWI820407B (ko)
WO (1) WO2020156769A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11449711B2 (en) * 2020-01-02 2022-09-20 Applied Materials Isreal Ltd. Machine learning-based defect detection of a specimen
CN115398345A (zh) 2020-04-02 2022-11-25 Asml荷兰有限公司 在半导体制造过程中用于确定对于一组衬底的检查策略的方法
TW202226009A (zh) * 2020-08-28 2022-07-01 美商Pdf對策公司 用於決定晶圓路徑品質的順序方法
CN116981995A (zh) * 2021-03-02 2023-10-31 Asml荷兰有限公司 操作量测系统、光刻设备及其方法
US11586160B2 (en) 2021-06-28 2023-02-21 Applied Materials, Inc. Reducing substrate surface scratching using machine learning
WO2023084063A1 (en) * 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
EP4194951A1 (en) * 2021-12-13 2023-06-14 ASML Netherlands B.V. Identifying deviating modules from a reference population for machine diagnostics

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048635A1 (en) * 2005-08-31 2007-03-01 Uwe Schulze Method and system for automatically detecting exposed substrates having a high probability for defocused exposure fields
US20100030360A1 (en) * 2008-08-01 2010-02-04 Boris Habets Alignment Calculation
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (de) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JPH08279446A (ja) 1995-04-07 1996-10-22 Mitsubishi Electric Corp 半導体装置の製造方法
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6880135B2 (en) * 2001-11-07 2005-04-12 Synopsys, Inc. Method of incorporating lens aberration information into various process flows
ES2562933T3 (es) * 2002-10-09 2016-03-09 Bodymedia, Inc. Aparato para detectar, recibir, obtener y presentar información fisiológica y contextual humana
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
US7065423B2 (en) * 2004-07-08 2006-06-20 Timbre Technologies, Inc. Optical metrology model optimization for process control
KR100610010B1 (ko) 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US7239371B2 (en) 2005-10-18 2007-07-03 International Business Machines Corporation Density-aware dynamic leveling in scanning exposure systems
NL1036351A1 (nl) 2007-12-31 2009-07-01 Asml Netherlands Bv Alignment system and alignment marks for use therewith cross-reference to related applications.
JP5156452B2 (ja) * 2008-03-27 2013-03-06 東京エレクトロン株式会社 欠陥分類方法、プログラム、コンピュータ記憶媒体及び欠陥分類装置
KR101841378B1 (ko) 2009-12-15 2018-03-22 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US9177219B2 (en) 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
US9002498B2 (en) 2012-02-02 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool function to improve fab process in semiconductor manufacturing
US9275334B2 (en) * 2012-04-06 2016-03-01 Applied Materials, Inc. Increasing signal to noise ratio for creation of generalized and robust prediction models
US8732627B2 (en) 2012-06-18 2014-05-20 International Business Machines Corporation Method and apparatus for hierarchical wafer quality predictive modeling
US9886029B2 (en) 2013-12-02 2018-02-06 Daihen Corporation Workpiece processing apparatus and workpiece transfer system
KR101924487B1 (ko) * 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. 수율 추산 및 제어
WO2015101458A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
CN104008550A (zh) 2014-06-05 2014-08-27 深圳市大族激光科技股份有限公司 晶圆表面缺陷特征分析方法、系统、分类方法和系统
CN105225979A (zh) 2014-06-19 2016-01-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件制程预测系统和方法
KR102021450B1 (ko) * 2014-09-22 2019-11-04 에이에스엠엘 네델란즈 비.브이. 공정 윈도우 식별자
KR102548650B1 (ko) 2014-10-03 2023-06-27 케이엘에이 코포레이션 검증 계측 타겟 및 그 설계
CN105528607B (zh) 2015-10-30 2019-02-15 小米科技有限责任公司 区域提取方法、模型训练方法及装置
TWI625615B (zh) 2016-11-29 2018-06-01 財團法人工業技術研究院 預測模型建立方法及其相關預測方法與電腦程式產品
EP3352013A1 (en) 2017-01-23 2018-07-25 ASML Netherlands B.V. Generating predicted data for control or monitoring of a production process
KR102449586B1 (ko) 2017-02-24 2022-10-04 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
KR102411813B1 (ko) * 2017-05-05 2022-06-22 에이에스엠엘 네델란즈 비.브이. 디바이스 제조 프로세스의 수율의 예측 방법
KR20200010496A (ko) * 2017-05-26 2020-01-30 에이에스엠엘 네델란즈 비.브이. 기계 학습에 기초한 어시스트 피처 배치
CN110506281A (zh) * 2017-07-17 2019-11-26 谷歌有限责任公司 学习统一嵌入
US11187992B2 (en) 2017-10-23 2021-11-30 Applied Materials, Inc. Predictive modeling of metrology in semiconductor processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048635A1 (en) * 2005-08-31 2007-03-01 Uwe Schulze Method and system for automatically detecting exposed substrates having a high probability for defocused exposure fields
US20100030360A1 (en) * 2008-08-01 2010-02-04 Boris Habets Alignment Calculation
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes

Also Published As

Publication number Publication date
CN113366390B (zh) 2024-02-20
EP3918420A1 (en) 2021-12-08
TW202032257A (zh) 2020-09-01
US20230333482A1 (en) 2023-10-19
US11687007B2 (en) 2023-06-27
KR20210105424A (ko) 2021-08-26
TW202136896A (zh) 2021-10-01
US20220082949A1 (en) 2022-03-17
TWI725707B (zh) 2021-04-21
CN113366390A (zh) 2021-09-07
TWI820407B (zh) 2023-11-01
WO2020156769A1 (en) 2020-08-06

Similar Documents

Publication Publication Date Title
KR102606104B1 (ko) 반도체 제조 공정에서의 의사 결정 방법
US11714357B2 (en) Method to predict yield of a device manufacturing process
CN113406865B (zh) 确定图案化过程的校正的方法
TWI764554B (zh) 判定微影匹配效能
NL2024627A (en) Method for decision making in a semiconductor manufacturing process
US11740560B2 (en) Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
EP3693795A1 (en) Method for decision making in a semiconductor manufacturing process
TWI786709B (zh) 判定半導體製造程序中之修正策略之方法及相關設備
EP3910417A1 (en) Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
NL2024999A (en) Determining lithographic matching performance

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant