KR102576469B1 - 롤링 서브프레임 펄스드 바이어스 마이크로볼로미터 적산 - Google Patents

롤링 서브프레임 펄스드 바이어스 마이크로볼로미터 적산 Download PDF

Info

Publication number
KR102576469B1
KR102576469B1 KR1020207036761A KR20207036761A KR102576469B1 KR 102576469 B1 KR102576469 B1 KR 102576469B1 KR 1020207036761 A KR1020207036761 A KR 1020207036761A KR 20207036761 A KR20207036761 A KR 20207036761A KR 102576469 B1 KR102576469 B1 KR 102576469B1
Authority
KR
South Korea
Prior art keywords
integration
pixels
pixel
readout
count
Prior art date
Application number
KR1020207036761A
Other languages
English (en)
Other versions
KR20210011434A (ko
Inventor
앨런 더블유. 헤어스턴
다니엘 피. 라크로와
Original Assignee
배 시스템즈 인포메이션 앤드 일렉트로닉 시스템즈 인티크레이션, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 배 시스템즈 인포메이션 앤드 일렉트로닉 시스템즈 인티크레이션, 인크. filed Critical 배 시스템즈 인포메이션 앤드 일렉트로닉 시스템즈 인티크레이션, 인크.
Publication of KR20210011434A publication Critical patent/KR20210011434A/ko
Application granted granted Critical
Publication of KR102576469B1 publication Critical patent/KR102576469B1/ko

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N25/00Circuitry of solid-state image sensors [SSIS]; Control thereof
    • H04N25/70SSIS architectures; Circuits associated therewith
    • H04N25/71Charge-coupled device [CCD] sensors; Charge-transfer registers specially adapted for CCD sensors
    • H04N25/75Circuitry for providing, modifying or processing image signals from the pixel array
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/30Transforming light or analogous information into electric information
    • H04N5/33Transforming infrared radiation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/10Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors
    • G01J5/20Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors using resistors, thermistors or semiconductors sensitive to radiation, e.g. photoconductive devices
    • G01J5/22Electrical features thereof
    • G01J5/24Use of specially adapted circuits, e.g. bridge circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14649Infrared imagers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N25/00Circuitry of solid-state image sensors [SSIS]; Control thereof
    • H04N25/70SSIS architectures; Circuits associated therewith
    • H04N25/709Circuitry for control of the power supply
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N25/00Circuitry of solid-state image sensors [SSIS]; Control thereof
    • H04N25/70SSIS architectures; Circuits associated therewith
    • H04N25/76Addressed sensors, e.g. MOS or CMOS sensors
    • H04N25/77Pixel circuitry, e.g. memories, A/D converters, pixel amplifiers, shared circuits or shared components
    • H04N25/772Pixel circuitry, e.g. memories, A/D converters, pixel amplifiers, shared circuits or shared components comprising A/D, V/T, V/F, I/T or I/F converters

Landscapes

  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Transforming Light Signals Into Electric Signals (AREA)
  • Photometry And Measurement Of Optical Pulse Characteristics (AREA)

Abstract

마이크로볼로미터 광검출기, 수퍼-픽셀, 및 그 사용 기술을 사용함을 통하여, 총 파워 요구량, 총 검출기 바이어스 전류, 검출기 당 적산된 전하 및 검출기 임피던스를 낮춤으로써 실사 스냅샷 적산의 근사화를 가능하게 하는 방법 및 시스템이 본원에 제공된다.

Description

롤링 서브프레임 펄스드 바이어스 마이크로볼로미터 적산
본 발명은 이미징 분야에 관한 것이고, 특히 근사 스냅샷 적산(near snapshot integration)을 위한 파워 및 검출기 임피던스 요구량을 줄이기 위한 회로 및 회로 작동 방법에 관한 것이다.
가시광선 및 적외선(IR) 이미지의 디지털 검출은 매우 광범위하게 사용되는 기술이고, 소비자-지향 카메라 및 비디오 장치로부터 단속 장비 및 군용 장비까지의 범위를 가지는 애플리케이션을 가진다. 이러한 애플리케이션들 거의 모두에서, 더 많은 이미지 픽셀 개수, 더 높은 픽셀 밀도, 증가된 감도, 개선된 동적 범위, 및 더 빠른 이미지 처리에 대한 필요성이 증가하고 있다.
특히, 등장하고 있는 많은 열 적외선(IR) 감지 애플리케이션은 높은 감도, 넓은 동적 범위, 많은 픽셀 개수, 및 더 빠른 데이터 레이트에서의 동작을 동시에 요구한다. 이러한 애플리케이션 중에는 주야 동시 감시장비, 국경 순찰 및 보호, 공중 수색 및 구조, 및 환경적인 원격 감지 분야가 있다. 이러한 애플리케이션에는 고품질의 방대한 픽셀 카운트 이미지를 구현할 수 있는 센서 시스템이 필요하다. 미군 NVESD(Night Vision and Electronic Sensors Directorate)와 같은 조직은 이러한 애플리케이션에 의해서 생기는 어려움을 만족시키기 위해 훨씬 더 많은 픽셀 개수 및 높은 밀도를 요구하고 있다.
모든 디지털 이미징 시스템의 중심에는 초점면 어레이(Focal Plane Array)("FPA")가 있는데, 이것은 그 위에 이미지가 포커싱되는 요소들의 2-차원 어레이이고, 여기에서 FPA 요소 또는 "픽셀" 각각은 충돌하는 빛의 세기에 비례하는 아날로그 출력 "신호 전하(signal charge)"를 현상한다. 종래에는, 독출 적산 회로(readout integrated circuit)("ROIC")가 FPA의 각각의 픽셀에서 신호 전하를 저장하기 위하여 적산 커패시터를 사용하고, 이제 개별 픽셀의 외부에 있는 아날로그-디지털 컨버터("ADC")에 의한 독출 및 디지털화를 위하여 아날로그 신호를 출력 탭(tap)으로 라우팅한다. 이러한 접근법은 큰 신호 전하를 각각의 픽셀 지점에서 저장하는 것을 요구하고, 또한 아날로그 신호가 독출되고 디지털화될 때 적절한 신호대 잡음비 및 동적 범위가 유지되도록 요구한다. 이에 상응하여, 이러한 종래의 접근법은 감도 및 동적 범위가 제한되는 문제를 겪는다.
이미징 시스템의 감도 및 동적 범위를 개선하기 위한 하나의 접근법은, ROIC 내에 "픽셀내(in-pixel)" ADC 회로를 포함시키는 것인데, 이를 통하여 별개의 디지털화 회로는 각각의 픽셀(또는 각각의 국지화된 픽셀)에 가까이 위치되어 픽셀들의 그룹에 대한 신호 전하가 ROIC로부터 독출되기 전에 디지털화되게 한다. 그러나, 종래의 ADC 회로는 많은 개수의 플립플롭 및 다른 디지털 요소를 포함한다. 결과적으로, 부피가 커지고, 이것이 달성가능한 픽셀 밀도를 한정한다.
더 콤팩트한 픽셀내 ADC는, 작은 픽셀내 적산 커패시터, 비교기, 및 이진 디지털 카운터를 각각의 픽셀(또는 픽셀의 국지화된 그룹)에 전속화함으로써 구현될 수 있다. 이러한 접근법에 따르면, 각각의 픽셀에 대하여, 비교기가 적산 커패시터의 전하를 모니터링하고 적산된 전하가 규정된 임계 값에 도달할 때마다 적산 커패시터를 리셋하는 출력 펄스 스파이크를 발행하는 동안에, 연관된 적산 커패시터가 픽셀의 신호 전하에 의해 충전된다. 이를 통하여, 비교기는 이진 디지털 카운터에 의해 카운팅되는 출력 펄스열을 발행한다.
비교기로부터의 펄스열은, 카운터에 의해 카운트된 펄스의 개수가 신호 전하의 진폭의 디지털 측정을 나타내도록 픽셀의 신호 전하가 적산 커패시터에 의해 완전히 빠져나갈 때, 또는 측정이 끝날 때 종결되고, 비교기의 리셋 임계 값은 측정의 최하위비트(LSB) 정확도를 나타낸다. 스파이크의 총 개수는 ROIC의 다중화기에 의해 독출될 때까지 이진 카운터에 의해 유지된다. 적산이 FPA의 모든 픽셀에 걸쳐 동시에 일어나는 경우, 이것은 실사 스냅샷 적산(true snapshot integration)이라고 불린다.
단일 스테이지 ADC라고 불릴 수 있는 이러한 픽셀내 이진 카운터 접근법에 의하여, 이진 카운터에 비트를 추가할 수 있기 때문에 동적 범위가 증가될 수 있다. 이러한 접근법이 종래의 방법에 비해서 개선된 것이지만, 동적 범위의 관점에서는, 높은 카운트 속도의 애플리케이션에서 단일 스테이지 ADC 카운터가 한 번에 하나의 비트씩 전체 범위를 카운트해야 하고, 이러한 구성은 성능에 병목을 일으킬 수 있다. 성능이 단일 스테이지 ADC를 사용함으로써 제한되지 않는다고 가정해도, 이러한 디바이스가 적절하게 동작하기 위해서는 많은 양의 파워가 필요하다. 이러한 이슈가 적층된 디지털 층을 사용함으로써 완화될 수 있지만, 그러면 제작과 조립 프로세스다 훨씬 더 비싸지는 결과가 될 것이다. 더 작은 기하학적 구조(예를 들어 14nm 프로세스)로 이동하는 것도 이러한 이슈를 완화시킬 수 있지만, 역시 비용이 많이 오를 것이다.
2-스테이지 ADC라고 불리는 이러한 접근법의 확장예는, 최초 적산이 종료된 후에 적산 커패시터 내의 잔류량(residue)을 디지털화하여 미세 잔류량 값을 얻는 것을 수반한다. 그러면, 미세 잔류량 값 및 대략적 카운트가 조합되어 최종 값이 되고, LSB의 값을 감소시킴으로써 추가적인 정확도가 얻어진다. 2-스테이지 ADC가 대략적 모드에서 카운트 레이트를 줄이는 것과 더 낮은 파워에서 동작하는 것을 포함하여 단일 스테이지 ADC보다 장점을 제공하지만, 파워 요구량, 총 검출기 바이어스 전류, 검출기 당 적산된 전하 및 검출기 임피던스는 일부 애플리케이션에서 실사 스냅샷 적산을 하기 위해서는 여전히 너무 높다.
최종적으로, ROIC 입력 잡음 임계는 일부 애플리케이션에서 실사 스냅샷 적산을 하기 위해서는 현재 너무 낮다.
그러므로, 더 높은 ROIC 입력 잡음을 허용하면서도 총 파워 요구량, 총 검출기 바이어스 전류, 검출기 당 적산된 전하 및 검출기 임피던스를 낮춤으로써 실사 스냅샷 적산, 또는 그 근사화가 가능해지게 하는 디바이스 및 방법이 필요하다.
본원에서 개시된 롤링 서브프레임 펄스드 바이어스 적산(rolling subframe pulsed bias integration)은, 실사 동시 스냅샷 적산이 사용되었다면 요구될 현재의 값에 훨씬 가까운 검출기 임피던스를 사용하면서도 실사 동시 스냅샷 적산과 거의 같은 성능이 가능해지게 한다. 실시형태들에서, 실사 스냅샷 적산 및 롤링 서브프레임 펄스드 바이어스 적산 사이의 요구되는 검출기 임피던스의 차이는 거의 두 자릿수에 달한다.
본 발명의 구현형태에 따른 롤링 서브프레임 펄스드 바이어스 적산은, 주어진 시간에 그룹 내의 검출기 중 하나만이 적산하게 함으로써, 상대적으로 큰 픽셀내 ROIC가 검출기의 그룹을 작동시키고 컴포넌트들을 공유하게 하기 때문에, 이러한 이점을 부분적으로 제공한다. 비록 도 1에 도시된 것과 같은 회로를 사용하여 하나의 ROIC 셀이 상이한 검출기들을 순서대로 적산시키는 것(즉, 공유된 수퍼-픽셀로서의 역할을 함)이 새롭지는 않지만, 공유된 셀을 롤링 서브프레임 펄스 바이어스 적산 기술 및 가능하게 하는 구조와 조합시키는 것은, 적산 스큐와 평균 적산 시간의 시간차를 최소화하면서 공유된 픽셀이 적산을 중첩시키게 한다는 점에서 다르고, 이를 통하여 근사 실사 스냅샷(즉 동시 또는 광역) 적산이 가능해지게 한다.
더 나아가, 본 발명의 구현형태에 따라서 독출 적산 시간이 더 짧아지는 것은, 더 높은 ROIC 입력 잡음 및 더 낮은 검출기 임피던스를 허용하면서도 더 낮은 총 파워, 총 검출기 바이어스 전류, 및 검출기 당 적산된 전하를 포함하는 많은 장점을 가진다.
본질적으로 장면을 열적으로 적산하는 마이크로볼로미터를 이러한 시스템에 통합함으로써, 연속적인, 또는 실사 스냅샷, 적산과 비교하여 독출 적산이 롤링 서브프레임이 되게 하는 것에 의하여 FPA 감도에 손실이 생기지 않게 된다.
이러한 접근법의 장점에는, 자동 잡음 오프셋 감산을 위한 카운터 롤오버를 허용하면서 동적 범위가 프로세스 전압 범위에 의해서가 아니라 카운터내의 비트수에 의해서만 한정된다는 것과 픽셀로부터의 디지털 출력에 기인하여, 더 큰 포맷에 대해서도 매우 빠른 프레임 레이트가 지원된다는 것이 있다.
본 발명의 하나의 구현형태는 적외선 이미징 장치로서, 초점면 어레이를 포함하고, 초점면 어레이는 복수 개의 픽셀을 포함하며, 픽셀은 동일한 개수의 픽셀들의 그룹으로 배열되며, 각각의 픽셀은, 전자기 에너지를 수신하도록 구성되는 마이크로볼로미터(microbolometer); 및 픽셀내 아날로그-디지털 변환을 수신하도록 구성되는 독출 적산 회로를 포함하고, 독출 적산 회로 중 적어도 일부는 주어진 그룹의 픽셀들 사이에서 공유되는, 적외선 이미징 장치를 제공한다.
본 발명의 다른 구현형태는, 각각의 픽셀의 독출 적산 회로가 검출기 공통부(detector common), 저잡음 바이어스 앰프가 있는 BDI 입력부, 적산 스톱 스위치, 독출 적산 커패시터, 임계 전압 입력부가 있는 비교기, VRSI 레퍼런스/램프(ramp), 적산 리셋 스위치, 카운트 리셋 연결부, 카운트 래치 이네이블(enable count latch), 광역 클록, 독출 연결부, 데이터인 버스(data in bus), 카운트/시프트 버스, 카운터/시프트 레지스터, 및 데이터 아웃 모듈(data out module)을 포함하는, 적외선 이미징 장치를 제공한다.
본 발명의 추가적인 구현형태는, 독출 적산 회로가 픽셀내 단일 기울기 아날로그-디지털 변환을 수행하도록 구성되는 적외선 이미징 장치를 제공한다.
본 발명의 추가적인 구현형태는 독출 적산 회로가 픽셀내 2-스테이지 아날로그-디지털 변환을 수행하도록 구성되는 적외선 이미징 장치를 제공한다.
본 발명의 하나의 구현형태는 적외선 이미징 장치로서, 픽셀들의 복수 개의 그룹을 포함하고, 픽셀들의 그룹 내의 각각의 픽셀은 픽셀들의 그룹 내의 다른 픽셀과 복수 개의 컴포넌트를 공유하며, 각각의 픽셀은 마이크로볼로미터 광검출기를 포함하고, 픽셀들의 그룹들 각각은, 픽셀들의 그룹에 공통인 회로를 사용하여, 픽셀들의 그룹 내의 광검출기에 의해 수집되는 전자기 에너지의 픽셀내 아날로그-디지털 변환을 수행하도록 구성되는, 적외선 이미징 장치를 제공한다.
본 발명의 다른 구현형태는 공통 회로가 독출 적산 회로를 포함하는 적외선 이미징 장치를 제공한다.
본 발명의 추가적인 구현형태는 픽셀들의 각각의 그룹에 공통인 독출 적산 회로가 검출기 공통부, 저잡음 바이어스 앰프가 있는 BDI 입력부, 적산 스톱 스위치, 독출 적산 커패시터, 임계 전압 입력부가 있는 비교기, VRSI 레퍼런스/램프, 적산 리셋 스위치, 카운트 리셋 연결부, 카운트 래치 이네이블, 광역 클록, 래치 연결부, 데이터인 버스, 카운트/시프트 버스, 차지 펌프 아날로그 다치(multi-value) 디지털 카운터, 및 데이터 아웃 모듈을 포함하는, 적외선 이미징 장치를 제공한다.
본 발명의 또 다른 구현형태는, 픽셀들의 각각의 그룹에 공통인 독출 적산 회로가 검출기 공통부(detector common), 저잡음 바이어스 앰프가 있는 BDI 입력부, 적산 스톱 스위치, 독출 적산 커패시터, 임계 전압 입력부가 있는 비교기, VRSI 레퍼런스/램프(ramp), 적산 리셋 스위치, 카운트 리셋 연결부, 카운트 래치 이네이블(enable count latch), 광역 클록, 래치 연결부, 데이터인 버스(data in bus), 카운트/시프트 버스, 카운터/래치, 및 데이터 아웃 모듈(data out module)을 포함하는, 적외선 이미징 장치를 제공한다.
본 발명의 또 다른 구현형태는, 래치 쓰기 선택(select latch write) 및 래치 읽기 선택((select latch read) 을 포함하는 래치 모듈을 더 포함하고,
래치 모듈은 카운터/래치와 동작하도록 통신하는 상태인, 적외선 이미징 장치를 제공한다.
본 발명 또 다른 구현형태는 마이크로볼로미터 및 바이어스 앰프 사이에 배치된 다중화기를 더 포함하는 적외선 이미징 장치를 제공한다.
본 발명의 또 다른 구현형태는, 독출 적산 회로가 픽셀내 단일 기울기 ADC를 수행하도록 구성되는 적외선 이미징 장치를 제공한다.
본 발명의 또 다른 구현형태는 독출 적산 회로가 픽셀내 2-스테이지 ADC를 수행하도록 구성되는 적외선 이미징 장치를 제공한다.
본 발명의 하나의 구현형태는 롤링(rolling) 서브프레임 펄스 바이어스 적산 방법으로서, 복수 개의 픽셀을 포함하는 초점면 어레이 상에서 - 픽셀은 동일한 개수의 픽셀을 포함하는 서브세트로 그룹화되고, 각각의 픽셀은 마이크로볼로미터 광검출기를 포함함 -, 독출 적산 회로를 사용하여, 픽셀들의 각각의 그룹으로부터의 하나의 마이크로볼로미터를 동시에 적산하는 단계; 각각의 그룹 내의 나머지 마이크로볼로미터를, 한 번에 하나씩, 픽셀의 다른 그룹 모두의 마이크로볼로미터와 동시에 적산하는 단계; 각각의 적산의 결과를 합산함으로써, 각각의 픽셀에 대한 총 프레임 적산 값을 제공하는 단계; 및 상기 독출 적산 회로로부터 적산된 전류를 독출하는 단계를 포함하는, 적산 방법을 제공한다.
본 발명의 다른 구현형태는, 상기 독출 적산 회로로부터 적산된 전류를 독출하는 단계가 상기 독출 적산 회로로부터 대략적 데이터를 독출하는 것; 및 상기 대략적 데이터의 미세 변환을 수행하는 것을 포함하는, 롤링 서브프레임 펄스 바이어스 적산 방법을 제공한다.
본 발명의 다른 구현형태는, 대략적 데이터의 미세 변환을 수행한 후에, 독출 적산 회로로부터 미세 데이터를 독출하는 단계를 더 포함하는 롤링 서브프레임 펄스 바이어스 적산 방법을 제공한다.
본 발명의 또 다른 구현형태는, 픽셀들의 그룹으로부터의 각각의 픽셀이 적산된 후에, 픽셀의 적산을 여러 번 반복하는 단계를 더 포함하는 롤링 서브프레임 펄스 바이어스 적산 방법을 제공한다.
본 발명의 또 다른 구현형태는, 픽셀들의 각각의 그룹으로부터의 하나의 마이크로볼로미터의 적산이 독출 적산에 전속되는 프레임의 소분율의 일부에 걸쳐 일어나는 롤링 서브프레임 펄스 바이어스 적산 방법을 제공한다.
본 명세서에서 설명되는 특징과 장점은 포괄적인(all-inclusive) 것이 아니고, 특히, 많은 추가적인 특징과 장점이 도면, 명세서, 및 청구항을 기초로 당업자에게 명백해질 것이다. 더욱이, 명세서에서 사용되는 용어가 이론적으로 쉽게 읽히고 정보를 제공하기 위하여 주로 선택되었고, 본 발명의 기술 요지의 범위를 한정하려는 것이 아님에 주의해야 한다.
도 1은 종래 기술의 독출 적산 회로를 예시하는 블록도이다;
도 2a는 본 발명의 구현형태에 따른, ROIC가 검출기 전류를 적산하는 롤링 서브프레임 펄스드 바이어스 마이크로볼로미터 적산 스킴의 처음 절반을 기술하는 그래프이다;
도 2b는 본 발명의 구현형태에 따른, 적산된 전류가 칩으로부터 독출되고 미세 변환이 수행되는 롤링 서브프레임 펄스드 바이어스 마이크로볼로미터 적산 스킴의 마지막 절반을 기술하는 그래프이다;
도 3은 본 발명의 구현형태에 따른, 마이크로볼로미터를 검출기로서 사용하고 그 아날로그부 및 디지털부가 명명되는 디지털 IR 픽셀을 보여주는 개략도이다;
도 4는 본 발명의 구현형태에 따른, 마이크로볼로미터를 검출기로서 사용하는 디지털 IR 픽셀을 보여주고 각 픽셀 상에 요구되는 회로부의 부분들을 표시하는 개략도이다; 그리고
도 5는 본 발명의 구현형태에 따른, 마이크로볼로미터를 검출기로서 사용하는 디지털 IR 픽셀을 보여주고, 각 픽셀 상에 요구되는 회로부의 부분들을 표시하며, 도 4에 표시된 회로와 비교하여 추가적인 미세 변환 램프(ramp)를 포함하는 개략도이다.
디자인 요건이 실사 스냅샷 적산, 즉 모든 검출기에 걸친 동시 적산을 요구하는 경우, 검출기 전류 입력(100), 비교기(104)로의 임계 전압(102) 입력, 및 다른 이네이블링 회로(예를 들어 적산 커패시터(들) 및 트랜지스터들)을 포함하는, 도 1에 도시된 것과 같은 회로를 포함하는 디지털 픽셀 솔루션이 파워 및 다른 요구량을 감소시킴으로써 이를 가능하게 하도록 도울 수 있다. 그러나, 이러한 솔루션은 고 분해능, 감도, 및 프레임 레이트의 애플리케이션에서는 불충분하다.
본 발명의 구현형태에 따라 디지털 픽셀 기술과 결합된 롤링 서브프레임 펄스드 바이어스 적산 기술 및 시스템은 실사 스냅샷 적산을 가깝게 근사화할 수 있게 한다. 많은 경우에, 단일 층 픽셀 솔루션도 가능한데, 이것은 이중 층 디자인과 비교할 때 생산 비용이 훨씬 저렴하다. 이러한 비용 절감은 순환하는(recurring) 경우와 순화하지 않는 경우 모두에 대해서 사실이다. 더 나아가, 이중 층 디자인과 달리, 이러한 기술 및 시스템은 필드 이어붙임 기술을 사용하여 매우 대면적의 ROIC 내에 구현될 수 있다. 이러한 이점은, 부분적으로, 상대적으로 큰 ROIC 픽셀이 검출기의 그룹을 작동시키고 컴포넌트를 공유하게 함으로써 얻어진다. 이것은, 부분적으로, 주어진 시간에 주어진 그룹 내의 검출기 중 하나만이 적산하게 함으로써 가능해진다. 적산 서브프레임이 증가된 전하 용량을 위하여 보통 서브프레임 평균화 회로와 함께 사용되지만, 본원에서는 이들이, 시간 응답을 확산시키고 인터리빙하여 서브프레임 듀티 사이클을 낮추고 피크 적산 전류를 감소시키며, 이들이 ROIC에서 얻어지게 하기 위해 사용된다.
공유된 셀을 롤링 서브프레임 펄스 바이어스 적산 기술과 결합하면, 공유된 픽셀이 적산을 중첩하게 됨으로써, 적산 스큐, 평균 적산 시간에 대한 시간차가 최소화되고, 따라서 근사 실사 스냅샷(즉 동시 또는 광역) 적산이 가능해진다. 이러한 기술 및 시스템을 사용한 결과 독출 적산(200) 시간이 짧아지면, 또한 더 높은 ROIC 입력 잡음을 허용하면서도 총 파워, 총 검출기 바이어스 전류, 검출기 임피던스, 및 검출기 당 적산된 전하가 낮아지게 된다. 이러한 이점이 더 높은 프레임 레이트 및 분해능이 가능해지게 한다.
실시형태들에서, 마이크로볼로미터(312) 광검출기가 이러한 시스템 및 방법과 함께 사용된다. 마이크로볼로미터(312)는 열카메라 내에서 검출기로서 사용되기에 적합한 특정 타입의 볼로미터, 즉 방사 에너지를 측정하기 위한 전기 기구이다. 통상적인 마이크로볼로미터에서는, 7.5-14 μm의 파장인 적외선 방사선이 검출기 재료에 충돌하여 가열한다. 온도가 이렇게 변하면 센서의 전기 저항이 예측가능하게 변하게 되어, 주어진 시간 동안의 이러한 범위 내의 입사 방사선의 세기가 이러한 동일한 기간에 걸친 전기 저항의 변화에 기반하여 추론될 수 있게 된다.
마이크로볼로미터(312)를 검출기 요소로서의 디지털 픽셀 내에 통합시킴으로써, 연속 적산과 비교할 때, 롤링 서브프레임 독출 적산(200) 기술이 사용되는 경우 보통 기대되는 FPA 감도가 대응하여 손실되지 않고 프레임 레이트 및 이미지 분해능이 개선될 수 있다. 이것은, 마이크로볼로미터(312)가 추가적인 회로를 사용하지 않고 그들의 감도 범위 내에서 IR 방사선을 적산하는 커다란 장점을 가지기 때문이다. 이러한 특징에 의하여, 독출 적산(200)(즉 마이크로볼로미터(312)의 샘플링)이 상대적으로 짧은 시간량(예를 들어 프레임의 분율) 동안에 일어날 수 있고, 잔여 데이터는 마이크로볼로미터(312)의 자기-적산 특성(self-integration characteristics)에 기반하여 추론된다.
입사하는 광자 플럭스의 마이크로볼로미터(312) 자기-적산은 검출기 자체의 열용량에 기인하는 열적 적산이다. 특히, 센서 온도는 제 1 시간에 측정되고, IR 방사선이 검출기에 충돌하여 검출기의 온도가 상승하게 하며, 제 2 시간에서 온도가 다시 측정된다(예를 들어, 이것은 주어진 온도 범위에 걸친 마이크로볼로미터(312)의 저항에서의 예측가능한 변화에 의하여 추론됨). 마이크로볼로미터(312)의 온도가 프레임에 걸쳐 변하기 때문에, 각각의 마이크로볼로미터(312)의 저항을 매우 짧은 시간프레임에 걸쳐 측정하고 이것을 프레임마다 여러 번 반복하면, 측정들 사이에 검출기에 입사하는 광자 플럭스가 추론될 수 있다. 열이 마이크로볼로미터(312)로부터 전도되는 속도는 검출기의 시상수에 비례한다.
마이크로볼로미터(312) 검출기, 롤링 서브프레임 펄스드 바이어스 적산 기술, 및 2-스테이지 ADC 기술 및 연관된 회로를 통합함으로써, 여러 장점이 생긴다. 이러한 접근법의 장점에는, 자동 잡음 오프셋 감산을 위한 카운터 롤오버를 허용하면서 동적 범위가 프로세스 전압 범위에 의해서가 아니라 카운터내의 비트수에 의해서만 한정된다는 것과 픽셀로부터의 디지털 출력에 기인하여, 더 큰 포맷에 대해서도 매우 빠른 프레임 레이트가 지원된다는 것이 있다.
이제 도 2a를 참조하면, 본 발명의 구현형태에 따른, ROIC가 검출기 전류를 적산하는 롤링 서브프레임 펄스드 바이어스 마이크로볼로미터(312) 적산 스킴을 사용하여 독출되고 적산되는 프레임의 처음 절반을 기술하는 그래프가 도시된다. 이러한 도면에서, 픽셀들은 8 개의 서브세트 또는 행으로 그룹화되지만, 구현형태들은 디자인 목표에 따라서 본 발명의 교시 내용으로부터 벗어나지 않으면서 더 많거나 적은 그룹화를 사용할 수 있다. 이러한 예시적인 구현형태의 경우, 8 개의 각각의 그룹으로부터 하나의 마이크로볼로미터(312)가 독출 적산(200)에 전속되는 프레임의 절반의 소분율에 걸쳐서 ROIC에 의해 동시에 적산된다. 픽셀 그룹으로부터의 각각의 픽셀이 ROIC에 의해 적산된 후에, 프로세스는 여러 번 반복되고, 각각의 적산의 결과가 합산되어 총 프레임 적산 값을 제공한다. 그룹 내의 픽셀의 구체적인 개수 및 적산되고 합산되어 주어진 픽셀에 대한 총 프레임 적산 값을 제공하는 서브프레임의 개수는 디자인 목표에 따라 달라질 수 있고, 같을 필요는 없다. 이러한 기술이 실사 스냅샷 적산을 제공하지는 않지만, 적산 스큐, 즉 픽셀들의 그룹 내의 첫 번째 픽셀의 적산의 시작과 픽셀의 동일한 그룹 내의 마지막 픽셀의 적산의 시작 사이의 시간은 프레임 기간의 소분율이 될 수 있어서, 과도하게 부담을 주는 픽셀 및 연관된 회로가 없이도 실사 스냅샷 적산에 가까운 성능을 제공한다. 더 나아가, 한 번에 한 그룹에서는 1 개의 검출기만이 적산하기 때문에, 많은 컴포넌트들이 주어진 그룹에 속하는 픽셀들 사이에서 공유될 수 있다.
이제 도 2b를 참조하면, 도 2b는 도 2a의 롤링 서브프레임 펄스드 바이어스 마이크로볼로미터(312) 적산 스킴의 두 번째 절반을 기술하는 그래프이고, 본 발명의 구현형태에 따라서, 적산된 전류는 ROIC로부터 독출되고, 픽셀내 2-스테이지 ADC를 구현하는 실시형태들에서는 미세 변환(204)이 수행된다. 도 2b는 각각의 검출기(도시된 예시적인 구현형태에서는, 8 개의 검출기의 그룹에 속함)에 대한 대략적 데이터(202)의 독출 이후에 수행되는 미세 변환(204)을 보여준다. 픽셀 내의 메모리의 양을 최소화하는, 프레임 내에서의 양자 모두의 변환을 위하여 동일한 메모리를 사용하는 실시형태들에서, 미세 변환(204)은 대략적 독출(202) 이후에 일어날 필요가 있는데, 그 이유는 각각의 검출기와 연관된 메모리에 재기록할 것이기 때문이다. 미세 변환(204)은 또한 카운터를 필요로 하고, 따라서, 검출기들(도시된 예시적인 구현형태에서는 8 개) 사이에서 공유되면, 실시형태들에서와 같이 검출기들(도시된 예시적인 구현형태에서는 8 개)은 순서대로 이것을 사용할 필요가 있다. 미세 변환(204)은, 주어진 검출기에 대한 대략적 데이터(202)의 독출과 미세 데이터(206)의 독출 사이의 임의의 시각에 일어날 수 있다. 독출 및 미세 변환(204)의 순서는 적산 서브프레임 순서와 일치할 필요가 없다.
도 2a 및 2B를 다르게 요약하면, 모든 검출기를 동시에 적산하는 대신에, 각각의 서브프레임이 어레이의 일부만을 사용하여 취해지는 일련의 롤링 서브프레임 적산을 수행하고, 각각의 픽셀에 대하여 서브프레임들을 ROIC에서 합산한다. 서브프레임들이 모든 검출기에 대한 적산 시간에 걸쳐서 이격되어 있기 때문에, FPA에 걸친 적산 스큐는 작고, 결과적으로 실사 동시 적산의 이점을 제공한다. 실사 동시 적산의 결과와 유사한 결과를 제공하지만, 이러한 기술 및 방법은 실사 스냅샷 적산과 비교할 때 더 낮은 FPA 파워 요구량, ROIC에서의 더 용이한 바이어스 요구사항, 및 더 낮은 검출기 임피던스 요구사항을 초래한다.
픽셀들이 8 개를 포함하는 그룹들로 그룹화되는 하나의 구현형태에서, 검출기 적산에 전속되는 프레임의 절반은 8 개의 서브프레임으로 나뉘어지고, 프레임 레이트는 120Hz 이며, 적산 스큐는 456μs 또는 프레임 주기의 5%이고, 총 적산 독출 시간은 521 μs이며, 개별 펄스 바이어스 서브프레임 시간은 62 μs이다.
이제 도 3을 참조하면, 본 발명의 구현형태에 따른, 마이크로볼로미터(312)를 검출기로서 사용하고 그 아날로그부 및 디지털부가 강조되는 ROIC를 보여주는 개략도가 도시된다. 이러한 구현형태는 ROIC 아날로그 픽셀(300) 부분 및 ROIC 디지털 픽셀(302) 부분을 보여준다. ROIC는 마이크로볼로미터(312), 검출기 공통부(304), 저잡음 바이어스 앰프(306)가 있는 BDI 입력부, 적산 스톱 스위치(308), 독출 적산(200) 커패시터(310), 임계 전압 입력부(102)가 있는 비교기(104), VRSI 레퍼런스/램프(ramp)(336), 적산 리셋 스위치(316), 카운트 리셋 연결부(324), 카운트 래치 이네이블(322), 광역 클록(320), 독출 연결부(326), 데이터인 버스(data in bus; 328), 카운트/시프트 버스(330), 카운터/시프트 레지스터(332), 및 데이터 아웃 모듈(data out module; 334)을 포함한다.
도 4는 ROIC 픽셀 내의 많은 컴포넌트들이 검출기들의 그룹 사이에서 공유되게 하는, 도 3의 ROIC에 대한 변형예를 개시한다. 이러한 구현형태에서, 독출 연결부(326)는 래치 연결부(410)로 대체되고, 카운터/시프트 레지스터(332)는 카운터/래치(412)로 대체되며, 비교기(104) 출력은 VREF(336)에 연결된다. 다른 차이로는 연결된 래치 쓰기 선택(402) 및 래치 읽기 선택(404)을 가지는 래치 모듈(400)을 카운터/래치(412)에 연결하는 것이 있다. 래치 모듈은 하나의 카운터(412)가 검출기들의 그룹 사이에서 공유되게 한다. 래치 모듈은 카운터와 같은 개수의 비트를 가지는 래치를 포함한다. 래치의 개수는 공유된 검출기 픽셀의 개수와 같다. 전술된 예시적인 구현형태에서, 예를 들어 래치 모듈 내에 8 개의 10-비트 래치가 있을 것이다.
래치 모듈은 다른 검출기의 이전 서브프레임으로부터의 대략적 카운트 데이터를 저장하는 반면에, 전류 검출기는 현재의 서브프레임 내에서 카운트 하기 위하여 카운터를 사용하고 있다. 각각의 검출기에 대한 서브프레임의 끝에서, 카운터 값이 해당 검출기에 대한 래치에 저장되고, 이전 서브프레임의, 다음 검출기에 대한 카운트 데이터가 카운터에 로딩되어 다음 서브프레임 동안 해당 검출기에 대해 적산을 계속한다. 래치가 카운터보다 간단하기 때문에, 픽셀 내에 필요한 디바이스의 개수가 최소화된다. 콤팩트한 SRAM(static random-access memory) 디바이스가 레이아웃 면적을 최소화하는 이러한 래치를 위하여 사용될 수 있다. 별개의 래치들도 래치로부터의 대략적 독출을 허용할 수 있지만, 카운터는 미세 변환을 위해 사용되고, 그러므로 보통의 독출 시간을 넘어 미세 변환을 위해 별개의 시간이 필요하지 않다. 이러한 도면은 마이크로볼로미터(312) 및 바이어스 앰프(306) 사이에 추가된 다중화기(406)를 더 포함하는데, 이것은 이러한 구현형태에서 회로의 공유를 위하여 요구된다. 더 나아가, 이러한 도면은 회로(408)의 적산 커패시터 섹션을 개략적으로 보여주는데, 이것은 각각의 픽셀에 대해서 존재해야 한다. 각각의 픽셀에 존재해야 하는 회로의 다른 컴포넌트, 즉 픽셀들의 그룹 내의 픽셀들 사이에서 공유될 수 없는 컴포넌트들은, 마이크로볼로미터(312) 자체, 래치 모듈(400), 적산 용량의 일부인 비교기(104) 입력부(하지만 비교기(104) 자체는 공유될 수 있음), 적산 스톱 스위치(308), 및 독출 적산(200) 커패시터(310)를 포함한다. 다른 모든 컴포넌트들은 다양한 구현형태에서 공유될 수 있다.
이제 도 5를 참조하면, 도 5는 도 4의 회로가 미세 변환 램프(500)를 더 포함하는 것을 보여준다. 미세 잔류량을 변환하기 위해서 여러 옵션들이 존재한다. 실시형태들에서, 단일 기울기 ADC가 사용되어, 대략적 변환 및 미세 변환이 순차적으로 수행된다고 가정하고 이들을 위해서 동일한 카운터가 사용될 수 있게 한다. 그러면 각각의 픽셀 내에 변환 램프가 필요하고, 결과적으로 변환이 고정된 이득으로 고정된 시간 내에 수행되게 될 것이다. 도 3 및 4는 VREF(336)를 사용하는 단일 기울기 변환 램프를 보여주는데, 이것은 적산 및 대략적 변환 도중에는 DC 전압이지만 미세 변환을 위해서는 램프(ramp)가 된다. 도 5는 전압 램프 입력(500)에 연결된 커플링 커패시터를 사용하는 램프를 도입한다. 이러한 커패시터는 전압 램프를 일정한 전류로 변환하고, 이것이 적산 커패시터(310)를 채우도록 적산되며, 변환을 수행하도록 비교기(104)를 트립시킨다. 이러한 구현형태는 적어도 오프셋의 일부 교정을 요구할 수 있지만, 적산 시간은 정확하고 변환은 추후에 수행될 수 있다.
실시형태들에서, 래치와 쌍을 이루는 표준 이진 카운터가 사용될 수도 있다. 다른 구현형태에서는, 차지 펌프 "아날로그" 다치 디지털(Multi-Value Digital; MVD) 카운터가 사용될 수 있다. 이러한 구현형태는 넓은 면적을 가지는 다이를 지원할 것이지만, 훨씬 더 복잡한 회로를 수반하고 독출을 느리게 할 수 있을 것이다.
실시형태들에서, 마이크로볼로미터(312)의 서브프레임 적산 및 합산을 수행하기 위하여 HDR 픽셀이 사용된다.
실시형태들에서, 디지털 픽셀 ROIC는 우물 채움(well fill)의 개수를 카운트하고(대략적 변환), 적산의 종료 시에 잔류량을 디지털화한다(미세 변환).
실시형태들에서, 미세 잔류량 변환은 대략적 변환을 위하여 사용되는 동일한 비교기(104) 및 카운터가 있는 픽셀 내에서 단일 기울기 변환을 사용한다.
실시형태들에서, 대략적 카운터는 롤오버(roll over)됨으로써 동적 범위를 향상시킬 수 있다.
롤링 서브프레임 펄스드 바이어스 적산은 짧은 시상수에 대해서 FPA 시상수가 일부 변하게 한다. 검출기 시상수가 독출 시간보다 작으면, 서브프레임의 독출 시간은 프레임 당 총 독출 적산 시간(200)을 증가시킬 필요 없이, FPA의 시상수를 검출기의 시상수보다 길게 연장할 수 있다. 총 독출 적산 시간(200)을 짧게 유지하면 마이크로볼로미터(312)의 감도 및 파워에 대한 장점이 얻어질 수 있다.
이것은, 마이크로볼로미터(312) 감도가 독출 중에 검출기로 들어가는 파워 입력에 의존하고, 특정 성능 레벨을 위하여 특정한 총 에너지 레벨이 요구되기 때문이다. 더 짧은 적산 시간이 시간 당 더 높은 파워를 가지고 파워가 I2R과 같기 때문에, 적산 시간이 짧아지면 더 높지만 적산 시간의 제곱근 정도인 전류가 얻어진다. 그러나, 시간 단축은 총 전자 수가 줄어도 선형이다. 적산 시간이 짧아지면, 더 낮은 바이어스 파워 및 더 낮은 카운팅 파워에 대하여, 동일한 감도에 대해서 총 검출기 적산 전류(integrated current)도 작아진다. 전류가 더 높아지면 더 높은 전체 검출기 바이어스를 사용할 수 있어서, 중요한 ROIC 디자인 드라이버인 ROIC 검출기 바이어스 잡음에 대한 요건이 감소된다. 바이어스 전류가 더 높아지면, 합리적인 검출기 바이어스 전압을 여전히 제공하면서도 더 낮은 검출기 임피던스를 사용할 수 있어서, 더 짧은 독출 적산(200) 시간에 대하여 검출기 및 ROIC에 중요한 장점이 된다.
결론적으로, 본원에서 개시된 롤링 서브프레임 적산 기술의 장점에는, 역시 총 디지털 카운트 파워가 줄어들게 허용하는 동시 적산과 비교할 때, 1 / 서브프레임 개수 미만인 적산 스큐, 더 낮은 검출기 바이어스 전류, 및 전체 어레이에 대한 파워가 포함된다. 전류 및 파워가 감소되면 더 큰 포맷에 대한 확장성(scalability)이 전체 동시 적산보다 커지게 된다. 더 높은 바이어스 전류 및 더 짧은 적산 시간은, 더 높은 바이어스 전압 때문에 동일한 리드 파워 및 수행에 대해서 더 적은 총 적산된 전하를 가지게 된다. 적산 도중의 더 높은 바이어스 전류 및 전압은 ROIC 입력 잡음에 의해 영향을 덜 받게 되고, FPA의 장면 적산 시상수를 변경하기 위해 사용될 수 있으며, 여러 짧은 시상수 서브프레임을 합산하여 더 긴 시상수 프레임을 생성하게 하는 능력을 제공하고, 서브프레임의 개수가 변해서 시상수를 변경하게 한다. 더 나아가, 서브프레임 인터리빙이 개별 행 단위로 수행되면, 개시된 시스템 및 방법은 인접 픽셀 사이에서 피쳐들이 공유될 수 있게 하고, 일부 경우에는 더 큰 채움 인자(fill factor)를 허용한다.
본 발명의 실시예에 대한 전술된 설명은 예시와 설명을 위하여 제공되었다. 이것은 망라적인 것이거나 본 발명을 개시된 구체적인 형태로 한정하려는 것이 아니다. 많은 변경예 및 변형예가 이러한 개시 내용을 고려하여 구현될 수 있다. 본 발명의 범위는 이러한 상세한 설명이 아니라, 첨부된 청구항에 의해 한정되도록 의도된다.

Claims (17)

  1. 적외선 이미징 장치로서,
    초점면 어레이를 포함하고, 상기 초점면 어레이는 복수 개의 픽셀을 포함하며,
    상기 픽셀은 동일한 개수의 픽셀들을 포함하는 서브세트들로 그룹화되고, 각각의 픽셀은,
    전자기 에너지를 수신하도록 구성되는 마이크로볼로미터(microbolometer); 및
    독출 적산 회로(readout integrated circuit, ROIC) - 각각의 그룹으로부터의 하나의 마이크로볼로미터가 상기 ROIC에 의해 동시에 적산되고, 나머지 마이크로볼로미터는, 한 번에 하나씩, 픽셀의 다른 그룹 모두의 마이크로볼로미터와 동시에 적산되고, 각각의 적산의 결과를 합산하여 각각의 픽셀에 대한 총 프레임 적산 값을 제공하고, 상기 ROIC로부터 적산된 전류가 독출됨 -
    를 포함하는, 적외선 이미징 장치.
  2. 제 1 항에 있어서,
    각각의 픽셀의 독출 적산 회로는,
    검출기 공통부(detector common), 저잡음 바이어스 앰프가 있는 BDI 입력부, 적산 스톱 스위치(integration stop switch), 독출 적산 커패시터, 임계 전압 입력부가 있는 비교기, VRSI 레퍼런스/램프(ramp), 적산 리셋 스위치, 카운트 리셋 연결부, 카운트 래치 이네이블(enable count latch), 광역 클록, 독출 연결부, 데이터인 버스(data in bus), 카운트/시프트 버스, 카운터/시프트 레지스터, 및 데이터 아웃 모듈(data out module)을 포함하는, 적외선 이미징 장치.
  3. 제 1 항에 있어서,
    상기 독출 적산 회로는 픽셀내 단일 기울기 아날로그-디지털 변환을 수행하도록 구성되는, 적외선 이미징 장치.
  4. 제 1 항에 있어서,
    상기 독출 적산 회로는 픽셀내 2-스테이지 아날로그-디지털 변환을 수행하도록 구성되는, 적외선 이미징 장치.
  5. 적외선 이미징 장치로서,
    초점면 어레이를 포함하고, 상기 초점면 어레이는 픽셀의 복수 개의 그룹을 포함하며,
    각각의 픽셀은 동일한 개수의 픽셀들을 포함하는 서브세트들로 그룹화되고, 픽셀들의 하나의 그룹은 픽셀들의 그룹 내의 다른 픽셀과 복수 개의 컴포넌트를 공유하고,
    각각의 픽셀은 마이크로볼로미터 광검출기를 포함하고,
    상기 픽셀들의 그룹들 각각은, 상기 픽셀들의 그룹에 공통인 독출 적산 회로(readout integrated circuit, ROIC)를 사용하여, 상기 픽셀들의 그룹 내의 마이크로볼로미터들에 의해 수집되는 전자기 에너지의 픽셀내 아날로그-디지털 변환을 수행하도록 구성되고,
    각각의 그룹으로부터의 하나의 마이크로볼로미터가 상기 ROIC에 의해 동시에 적산되고, 나머지 마이크로볼로미터는, 한 번에 하나씩, 픽셀의 다른 그룹 모두의 마이크로볼로미터와 동시에 적산되고, 각각의 픽셀에 대하여 모든 마이크로볼로미터가 상기 ROIC에 의해 적산될 때까지 적산이 반복되며, 각각의 적산의 결과를 합산하여 각각의 픽셀에 대한 총 프레임 적산 값을 제공하고, 상기 ROIC로부터 적산된 전류가 독출되는, 적외선 이미징 장치.
  6. 제 5 항에 있어서,
    상기 공통인 회로는 독출 적산 회로를 포함하는, 적외선 이미징 장치.
  7. 제 6 항에 있어서,
    픽셀들의 각각의 그룹에 공통인 독출 적산 회로는,
    검출기 공통부, 저잡음 바이어스 앰프가 있는 BDI 입력부, 적산 스톱 스위치, 독출 적산 커패시터, 임계 전압 입력부가 있는 비교기, VRSI 레퍼런스/램프, 적산 리셋 스위치, 카운트 리셋 연결부, 카운트 래치 이네이블, 광역 클록, 래치 연결부, 데이터인 버스, 카운트/시프트 버스, 차지 펌프 아날로그 다치(multi-value) 디지털 카운터, 및 데이터 아웃 모듈을 포함하는, 적외선 이미징 장치.
  8. 제 6 항에 있어서,
    픽셀들의 각각의 그룹에 공통인 독출 적산 회로는,
    검출기 공통부, 저잡음 바이어스 앰프가 있는 BDI 입력부, 적산 스톱 스위치, 독출 적산 커패시터, 임계 전압 입력부가 있는 비교기, VRSI 레퍼런스/램프, 적산 리셋 스위치, 카운트 리셋 연결부, 카운트 래치 이네이블, 광역 클록, 래치 연결부, 데이터인 버스, 카운트/시프트 버스, 카운터/래치, 및 데이터 아웃 모듈을 포함하는, 적외선 이미징 장치.
  9. 제 8 항에 있어서,
    상기 적외선 이미징 장치는,
    래치 쓰기 선택(select latch write) 및 래치 읽기 선택((select latch read)을 포함하는 래치 모듈을 더 포함하고,
    상기 래치 모듈은 상기 카운터/래치와 동작하도록 통신하는 상태인, 적외선 이미징 장치.
  10. 제 9 항에 있어서,
    상기 적외선 이미징 장치는,
    상기 마이크로볼로미터와 상기 바이어스 앰프 사이에 배치되는 다중화기를 더 포함하는, 적외선 이미징 장치.
  11. 제 10 항에 있어서,
    상기 독출 적산 회로는 픽셀내 단일 기울기 ADC를 수행하도록 구성되는, 적외선 이미징 장치.
  12. 제 10 항에 있어서,
    상기 독출 적산 회로는 픽셀내 2-스테이지 ADC를 수행하도록 구성되는, 적외선 이미징 장치.
  13. 롤링(rolling) 서브프레임 펄스 바이어스 적산 방법으로서,
    복수 개의 픽셀을 포함하는 초점면 어레이 상에서 - 상기 픽셀은 동일한 개수의 픽셀을 포함하는 서브세트로 그룹화되고, 각각의 픽셀은 마이크로볼로미터 광검출기를 포함함 -,
    독출 적산 회로(readout integrated circuit, ROIC)를 사용하여, 픽셀들의 각각의 그룹으로부터의 하나의 마이크로볼로미터를 동시에 적산하는 단계;
    각각의 그룹 내의 나머지 마이크로볼로미터를, 한 번에 하나씩, 픽셀의 다른 그룹 모두의 마이크로볼로미터와 동시에 적산하는 단계;
    각각의 적산의 결과를 합산함으로써, 각각의 픽셀에 대한 총 프레임 적산 값을 제공하는 단계; 및
    상기 독출 적산 회로로부터 적산된 전류를 독출하는 단계를 포함하는, 적산 방법.
  14. 제 13 항에 있어서,
    상기 독출 적산 회로로부터 적산된 전류를 독출하는 단계는,
    상기 독출 적산 회로로부터 대략적 데이터(coarse data)를 독출하는 것; 및
    상기 대략적 데이터의 미세 변환(fine conversion)을 수행하는 것을 포함하는, 적산 방법.
  15. 제 14 항에 있어서,
    상기 방법은,
    상기 대략적 데이터의 미세 변환을 수행한 이후에, 상기 독출 적산 회로로부터 미세 변환된 미세 데이터(fine data)를 독출하는 단계를 더 포함하는, 적산 방법.
  16. 제 13 항에 있어서,
    상기 방법은,
    픽셀들의 그룹으로부터의 각각의 픽셀이 적산된 후에, 상기 픽셀의 적산을 복수 회 반복하는 단계를 더 포함하는, 적산 방법.
  17. 제 13 항에 있어서,
    픽셀들의 각각의 그룹으로부터의 하나의 마이크로볼로미터의 적산은, 독출 적산(readout integration)에 전속된 프레임의 소분율(small fraction)의 일부에 걸쳐 일어나는, 적산 방법.
KR1020207036761A 2018-05-21 2019-04-23 롤링 서브프레임 펄스드 바이어스 마이크로볼로미터 적산 KR102576469B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/985,237 2018-05-21
US15/985,237 US10992895B2 (en) 2018-05-21 2018-05-21 Rolling subframe pulsed bias microbolometer integration
PCT/US2019/028682 WO2020040824A2 (en) 2018-05-21 2019-04-23 Rolling subframe pulsed bias microbolometer integration

Publications (2)

Publication Number Publication Date
KR20210011434A KR20210011434A (ko) 2021-02-01
KR102576469B1 true KR102576469B1 (ko) 2023-09-07

Family

ID=68532410

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207036761A KR102576469B1 (ko) 2018-05-21 2019-04-23 롤링 서브프레임 펄스드 바이어스 마이크로볼로미터 적산

Country Status (6)

Country Link
US (1) US10992895B2 (ko)
EP (1) EP3797513A4 (ko)
JP (1) JP7163416B2 (ko)
KR (1) KR102576469B1 (ko)
CA (1) CA3100661A1 (ko)
WO (1) WO2020040824A2 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11523083B2 (en) * 2020-02-11 2022-12-06 Bae Systems Information And Electronic Systems Integration Inc. Low power in-pixel single slope analog to digital converter (ADC)
CN113766150B (zh) * 2021-08-31 2024-03-26 北京安酷智芯科技有限公司 一种降噪方法、电路系统、电子设备及计算机可读存储介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150085134A1 (en) * 2013-09-20 2015-03-26 Vlad Novotny Infrared Imager Readout Electronics
JP2015528655A (ja) * 2012-08-09 2015-09-28 イノバシオネス・ミクロエレクトロニカス・ソシエダッド・リミターダ・(アナフォーカス)Innovaciones Microelectronicas S.L.(Anafocus) 高速画像センサのための二段のアナログデジタル変換器

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL123440A (en) * 1995-08-30 2001-12-23 Lockheed Martin Ir Imaging Sys Bolometric focal plane array
US6274869B1 (en) * 1996-06-28 2001-08-14 Lockheed-Martin Ir Imaging Systems, Inc. Digital offset corrector
US6249002B1 (en) * 1996-08-30 2001-06-19 Lockheed-Martin Ir Imaging Systems, Inc. Bolometric focal plane array
US6791610B1 (en) * 1996-10-24 2004-09-14 Lockheed Martin Ir Imaging Systems, Inc. Uncooled focal plane array sensor
US6953932B2 (en) * 1999-10-07 2005-10-11 Infrared Solutions, Inc. Microbolometer focal plane array with temperature compensated bias
US6433333B1 (en) * 2000-03-03 2002-08-13 Drs Sensors & Targeting Systems, Inc. Infrared sensor temperature compensated response and offset correction
US20020125430A1 (en) 2001-03-06 2002-09-12 Honeywell International Inc. Bolometer operation using fast scanning
US6476392B1 (en) * 2001-05-11 2002-11-05 Irvine Sensors Corporation Method and apparatus for temperature compensation of an uncooled focal plane array
US6683310B2 (en) * 2001-06-18 2004-01-27 Honeywell International Inc. Readout technique for microbolometer array
US20060131484A1 (en) * 2004-12-17 2006-06-22 Mark Peting High-dynamic range image sensors
EP2419939B1 (en) * 2009-04-12 2016-03-02 Ud Holdings, Llc Infrared detector
US9918023B2 (en) * 2010-04-23 2018-03-13 Flir Systems, Inc. Segmented focal plane array architecture
WO2013059757A1 (en) * 2011-10-21 2013-04-25 Santa Barbara Infrared, Inc. Techniques for tiling arrays of pixel elements
US9748214B2 (en) * 2011-10-21 2017-08-29 Santa Barbara Infrared, Inc. Techniques for tiling arrays of pixel elements and fabricating hybridized tiles
WO2013129646A1 (ja) * 2012-03-01 2013-09-06 株式会社ニコン A/d変換回路、及び固体撮像装置
US9153473B2 (en) * 2013-09-20 2015-10-06 Globalfoundries Singapore Pte. Ltd. Wafer processing
CN105794203B (zh) * 2013-12-04 2020-03-20 拉姆伯斯公司 高动态范围图像传感器
US9787923B2 (en) * 2014-01-13 2017-10-10 Intrinsix Corporation Correlated double sampled (CDS) pixel sense amplifier
US9743024B2 (en) * 2015-07-01 2017-08-22 Massachusetts Institute Of Technology Method and apparatus for on-chip per-pixel pseudo-random time coded exposure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015528655A (ja) * 2012-08-09 2015-09-28 イノバシオネス・ミクロエレクトロニカス・ソシエダッド・リミターダ・(アナフォーカス)Innovaciones Microelectronicas S.L.(Anafocus) 高速画像センサのための二段のアナログデジタル変換器
US20150085134A1 (en) * 2013-09-20 2015-03-26 Vlad Novotny Infrared Imager Readout Electronics

Also Published As

Publication number Publication date
EP3797513A4 (en) 2022-03-30
JP2021525476A (ja) 2021-09-24
US20190356875A1 (en) 2019-11-21
EP3797513A2 (en) 2021-03-31
KR20210011434A (ko) 2021-02-01
CA3100661A1 (en) 2020-02-27
US10992895B2 (en) 2021-04-27
JP7163416B2 (ja) 2022-10-31
WO2020040824A2 (en) 2020-02-27
WO2020040824A3 (en) 2020-04-16

Similar Documents

Publication Publication Date Title
US7626529B2 (en) Method for digitizing an analog quantity, digitizing device implementing said method, and electromagnetic radiation detector integrating such a device
US20150085134A1 (en) Infrared Imager Readout Electronics
US9100601B2 (en) Image pickup device and camera system
JP5324947B2 (ja) 信号処理装置および光検出装置
JP2020505855A (ja) 拡張されたダイナミックレンジを備えたイメージングアレイ
US20100264296A1 (en) Mixed analog and digital pixel for high dynamic range readout
US20140293102A1 (en) Conditional-reset, temporally oversampled image sensor
KR20160018506A (ko) 분할-게이트 조건부-재설정 이미지 센서
WO2005120046A2 (en) Imaging device
US11187589B2 (en) High-resolution thermopile infrared sensor array
US20170054922A1 (en) Infrared imager readout electronics
KR20160067848A (ko) 촬상 소자, 방사선 검출 장치 및 촬상 소자의 제어 방법
JP6734478B2 (ja) フラックスレートユニットセル焦点面アレイ
KR102576469B1 (ko) 롤링 서브프레임 펄스드 바이어스 마이크로볼로미터 적산
JP2016042650A (ja) 半導体光検出装置、放射線計数装置、および、半導体光検出装置の制御方法
Ceylan et al. Digital readout integrated circuit (DROIC) implementing time delay and integration (TDI) for scanning type infrared focal plane arrays (IRFPAs)
Kang et al. Multiple integration method for a high signal-to-noise ratio readout integrated circuit
US11350054B2 (en) Dual gain imaging digital pixel memory
JP5631982B2 (ja) 電磁放射検出器により放出された電流をベースライニングするための電子装置
CN110214444B (zh) 背面照明的全局快门成像阵列
US20240053204A1 (en) An interface circuit for photodetectors providing full-frame integration and pixel-level digitization
Lambropoulos et al. Charge integrating ASIC with pixel level A/D conversion
CN113196744A (zh) Ccd光电探测器和用于运行的相关方法
Kang et al. Multiple integration method for high signal-to-noise ratio readout integrated circuit [IR focal plane array applications]

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant