KR102329513B1 - Connections between laminated heater and heater voltage inputs - Google Patents

Connections between laminated heater and heater voltage inputs Download PDF

Info

Publication number
KR102329513B1
KR102329513B1 KR1020170057507A KR20170057507A KR102329513B1 KR 102329513 B1 KR102329513 B1 KR 102329513B1 KR 1020170057507 A KR1020170057507 A KR 1020170057507A KR 20170057507 A KR20170057507 A KR 20170057507A KR 102329513 B1 KR102329513 B1 KR 102329513B1
Authority
KR
South Korea
Prior art keywords
heating
zone
layer
ceramic layer
zones
Prior art date
Application number
KR1020170057507A
Other languages
Korean (ko)
Other versions
KR20170126802A (en
Inventor
유마 오쿠라
다렐 에를리히
에릭 에이. 파페
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/586,203 external-priority patent/US10667379B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170126802A publication Critical patent/KR20170126802A/en
Application granted granted Critical
Publication of KR102329513B1 publication Critical patent/KR102329513B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/28Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor embedded in insulating material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/02Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support

Abstract

기판 프로세싱 시스템을 위한 기판 지지부는 복수의 히팅 존들, 베이스플레이트, 베이스플레이트 상에 배열된 히팅층, 히팅층 상에 배열된 세라믹층, 및 복수의 히팅 존들의 제 1 존 내 세라믹층 내로 베이스플레이트, 히팅층을 통해 제공된 배선을 포함한다. 전기적 연결부가 제 1 존의 배선으로부터 복수의 히팅 존들의 제 2 존으로 그리고 제 2 존의 히팅층의 히팅 엘리먼트로 세라믹층을 가로질러 라우팅된다.A substrate support for a substrate processing system includes a baseplate into a plurality of heating zones, a baseplate, a heating layer arranged on the baseplate, a ceramic layer arranged on the heating layer, and a ceramic layer in a first zone of the plurality of heating zones; and wiring provided through the heating layer. Electrical connections are routed across the ceramic layer from the wiring in the first zone to the second zone of the plurality of heating zones and to the heating element of the heating layer in the second zone.

Description

적층된 히터와 히터 전압 입력부들 사이의 연결부들{CONNECTIONS BETWEEN LAMINATED HEATER AND HEATER VOLTAGE INPUTS}CONNECTIONS BETWEEN LAMINATED HEATER AND HEATER VOLTAGE INPUTS

본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 기판 지지부 온도를 제어하기 위한 시스템들 및 방법들에 관련된다.The present disclosure relates to substrate processing systems, and more particularly to systems and methods for controlling a substrate support temperature.

본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.The background description provided herein is generally intended to provide a context for the present disclosure. The achievements of the inventors to the extent described in this background section and aspects of the art that may not be admitted as prior art at the time of filing are not expressly or implicitly admitted as prior art to the present disclosure.

기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하기 위해 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은, 이로 제한되는 것은 아니지만, CVD (chemical vapor deposition), ALD (atomic layer deposition), 도전체 에칭, 및/또는 다른 에칭, 증착 또는 세정 프로세스들을 포함한다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버의 기판 지지부, 예컨대 페데스탈, ESC (electrostatic chuck), 등 상에 배치될 수도 있다. 에칭 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 플라즈마는 화학 반응들을 개시하도록 사용될 수도 있다.Substrate processing systems may be used to process substrates, such as semiconductor wafers. Exemplary processes that may be performed on the substrate include, but are not limited to, chemical vapor deposition (CVD), atomic layer deposition (ALD), conductor etching, and/or other etching, deposition or cleaning processes. The substrate may be placed on a substrate support, such as a pedestal, electrostatic chuck (ESC), or the like, of a processing chamber of a substrate processing system. During etching, gas mixtures comprising one or more precursors may be introduced into the processing chamber and plasma may be used to initiate chemical reactions.

ESC와 같은, 기판 지지부는 기판을 지지하도록 배열된 세라믹층을 포함할 수도 있다. 예를 들어, 기판은 프로세싱 동안 세라믹층에 클램핑될 수도 있다. 히팅층이 기판 지지부의 세라믹층과 베이스플레이트 사이에 배열될 수도 있다. 단지 예를 들면, 히팅층은 히팅 엘리먼트들, 배선, 등을 포함하는 세라믹 히팅 플레이트일 수도 있다. 기판의 온도는 히팅 플레이트의 온도를 제어함으로써 프로세스 단계들 동안 제어될 수도 있다.A substrate support, such as an ESC, may include a ceramic layer arranged to support the substrate. For example, the substrate may be clamped to the ceramic layer during processing. A heating layer may be arranged between the base plate and the ceramic layer of the substrate support. By way of example only, the heating layer may be a ceramic heating plate including heating elements, wiring, and the like. The temperature of the substrate may be controlled during process steps by controlling the temperature of the heating plate.

기판 프로세싱 시스템을 위한 기판 지지부는, 복수의 히팅 존들, 베이스플레이트, 베이스플레이트 상에 배열된 히팅층, 히팅층 상에 배열된 세라믹층, 및 복수의 히팅 존들의 제 1 존에서 베이스플레이트, 히팅층을 통해 세라믹층 내로 제공된 배선을 포함한다. 전기적 연결부는 제 1 존의 배선으로부터, 복수의 히팅 존들의 제 2 존으로 세라믹층을 가로질러, 그리고 제 2 존의 히팅층의 히팅 엘리먼트로 라우팅된다.A substrate support for a substrate processing system includes a plurality of heating zones, a baseplate, a heating layer arranged on the baseplate, a ceramic layer arranged on the heating layer, and a baseplate, a heating layer in a first zone of the plurality of heating zones. wiring provided into the ceramic layer through Electrical connections are routed from the wiring in the first zone, across the ceramic layer to the second zone of the plurality of heating zones, and to the heating element in the heating layer in the second zone.

다른 특징들에서, 전기적 연결부는 전기적 트레이스에 대응한다. 전기적 연결부는 베이스플레이트를 통해 제공된 배선과 상이한 제 2 배선에 대응한다. 제 2 존은 제 1 존의 방사상 외측에 위치된다. 전기적 연결부는 히팅 엘리먼트보다 낮은 전기 저항을 갖는다.In other features, the electrical connection corresponds to the electrical trace. The electrical connection corresponds to a second wiring different from the wiring provided through the baseplate. The second zone is located radially outside the first zone. The electrical connection has a lower electrical resistance than the heating element.

다른 특징들에서, 기판 지지부는 베이스플레이트, 히팅층, 및 제 1 존의 세라믹층를 통해 제공된 비아를 포함하고, 배선은 비아를 통해 라우팅된다. 전기적 연결부는 땜납 연결부 및 도전성 에폭시 중 적어도 하나를 사용하여 히팅 엘리먼트의 연결 지점에 커플링된다.In other features, the substrate support includes a via provided through the baseplate, the heating layer, and the ceramic layer of the first zone, and the wiring is routed through the via. The electrical connection is coupled to the connection point of the heating element using at least one of a solder connection and a conductive epoxy.

또 다른 특징들에서, 기판 지지부는 세라믹층 및 제 2 존의 히팅층을 통해 제공되는 비아를 포함한다. 비아는 히팅 엘리먼트의 연결 지점으로 전기적 연결부를 커플링하는 도전성 재료로 충진된다. 기판 지지부는 전기적 연결부와 히팅 엘리먼트 사이에 배열된 콘택트 패드를 포함한다. 콘택트 패드는 세라믹층 내에 배열된 제 1 부분 및 히팅층 내에 배열된 제 2 부분을 포함한다. 비아는 도전성 재료로 충진된다. 도전성 재료는 콘택트 패드의 제 1 부분과 콘택트 패드의 제 2 부분 사이에 제공된다.In still other features, the substrate support includes a via provided through the ceramic layer and the heating layer of the second zone. The via is filled with a conductive material that couples the electrical connection to the connection point of the heating element. The substrate support includes contact pads arranged between the electrical connection and the heating element. The contact pad includes a first portion arranged in the ceramic layer and a second portion arranged in the heating layer. The vias are filled with a conductive material. A conductive material is provided between the first portion of the contact pad and the second portion of the contact pad.

본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.Additional areas of applicability of the present disclosure will become apparent from the detailed description, claims and drawings. The detailed description and specific examples are intended for illustrative purposes only and are not intended to limit the scope of the present disclosure.

본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시의 원리들에 따른 기판 지지부를 포함하는 예시적인 기판 프로세싱 시스템의 기능적 블록도이다.
도 2a는 본 개시의 원리들에 따른 예시적인 정전 척이다.
도 2b는 본 개시의 원리들에 따른 예시적인 정전 척의 존들 및 열적 제어 엘리먼트들을 예시한다.
도 3은 본 개시의 원리들에 따른 정전 척의 세라믹층을 통한 전기적 연결부들의 예시적인 라우팅을 예시한다.
도 4a 및 도 4b는 본 개시의 원리들에 따른 세라믹층과 히팅층 간의 제 1 예시적인 연결을 예시한다.
도 5a 및 도 5b는 본 개시의 원리들에 따른 세라믹층과 히팅층 간의 제 2 예시적인 연결을 예시한다.
도 6a 및 도 6b는 본 개시의 원리들에 따른 세라믹층과 히팅층 간의 제 3 예시적인 연결을 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
BRIEF DESCRIPTION OF THE DRAWINGS The present disclosure will be more fully understood from the detailed description and accompanying drawings.
1 is a functional block diagram of an exemplary substrate processing system including a substrate support in accordance with the principles of the present disclosure.
2A is an exemplary electrostatic chuck in accordance with the principles of the present disclosure.
2B illustrates zones and thermal control elements of an exemplary electrostatic chuck in accordance with the principles of this disclosure.
3 illustrates an exemplary routing of electrical connections through a ceramic layer of an electrostatic chuck in accordance with the principles of the present disclosure.
4A and 4B illustrate a first exemplary connection between a ceramic layer and a heating layer in accordance with the principles of the present disclosure.
5A and 5B illustrate a second exemplary connection between a ceramic layer and a heating layer in accordance with the principles of the present disclosure.
6A and 6B illustrate a third exemplary connection between a ceramic layer and a heating layer in accordance with the principles of the present disclosure.
In the drawings, reference numbers may be reused to identify similar and/or identical elements.

관련 출원들의 교차 참조CROSS REFERENCE TO RELATED APPLICATIONS

본 출원은 2016년 5월 10일 출원된 미국 특허 가출원 번호 제 62/334,097 호 및 2016년 5월 10일 출원된 미국 특허 가출원 번호 제 62/334,084 호의 이점을 주장한다.This application claims the benefit of U.S. Provisional Patent Application No. 62/334,097, filed May 10, 2016, and Provisional Patent Application No. 62/334,084, filed May 10, 2016.

본 출원은 2017년 5월 3일 출원된 미국 특허 출원 번호 제 15/586,178 호와 관련된다. 상기 참조된 출원들의 전체 개시는 참조로서 본 명세서에 인용된다.This application relates to U.S. Patent Application Serial No. 15/586,178, filed on May 3, 2017. The entire disclosure of the above-referenced applications is incorporated herein by reference.

정전 척 (ESC) 과 같은 기판 지지부는 하나 또는 복수의 히팅 존들 (예를 들어, 멀티-존 ESC) 포함할 수도 있다. ESC는 히팅층의 존 각각을 위해 각각의 히팅 엘리먼트들을 포함할 수도 있다. 히팅 엘리먼트들은 각각의 존들 각각에서 목표된 설정점 온도를 대략적으로 달성하도록 제어된다.A substrate support, such as an electrostatic chuck (ESC), may include one or multiple heating zones (eg, a multi-zone ESC). The ESC may include respective heating elements for each zone of the heating layer. The heating elements are controlled to approximately achieve a desired set point temperature in each of the respective zones.

히팅층은 기판 지지부의 상부 세라믹층과 베이스플레이트 사이에 배열된 적층된 히팅 플레이트를 포함할 수도 있다. 히팅 플레이트는 ESC의 존들 전반에 배열된 복수의 히팅 엘리먼트들을 포함한다. 히팅 엘리먼트들은 베이스플레이트를 통해 ESC 아래의 전압 소스로부터 제공된 전압 입력들을 수신하는 전기적 트레이스들 또는 다른 배선을 포함한다. 예를 들어, 베이스플레이트는 히팅 플레이트의 히팅 엘리먼트들의 연결 지점들과 정렬된 하나 이상의 비아들 (예를 들어, 홀들 또는 액세스 포트들) 을 포함할 수도 있다. 배선은 베이스플레이트의 비아들을 통해 전압 소스와 히팅 엘리먼트들의 연결 지점들 사이에 연결된다.The heating layer may include stacked heating plates arranged between the base plate and the upper ceramic layer of the substrate support. The heating plate includes a plurality of heating elements arranged throughout the zones of the ESC. The heating elements include electrical traces or other wiring that receives voltage inputs provided from a voltage source below the ESC through the baseplate. For example, the baseplate may include one or more vias (eg, holes or access ports) aligned with connection points of the heating elements of the heating plate. A wiring is connected between the connection points of the voltage source and the heating elements through the vias of the baseplate.

통상적으로, 비아들 및 비아들을 통해 라우팅된 배선은 히터 배제 존들 (즉, 히팅 엘리먼트들이 위치될 수 없는 존들) 을 방지하고 온도 불균일도들을 감소시키도록 히팅 엘리먼트들의 대응하는 연결 지점들에 가능한 가까운 것이 바람직하다. 예를 들어, 비아들은 연결 지점들 바로 아래에 위치될 수도 있다. 그러나, 일부 ESC들에서, 다양한 구조적 피처들이 가장 바람직한 위치들에 비아들, 배선들 및 다른 히팅 엘리먼트 컴포넌트들을 제공하는 것을 방해할 수도 있다. 결과적으로, 비아들 및 대응하는 배선들은 더 이격되게 위치될 수도 있고, 그리고/또는 ESC의 목적지 존의 외측에 위치될 수도 있다. 예를 들어, 내측 존, 중간-내측 존, 중간-외측 존, 및 외측 존을 갖는 ESC에서 외측 존을 위한 비아들 및 배선은 중간 외측-존 아래에 위치될 수도 있고, 비-대칭적인 히팅 패턴 및 온도 불균일도들을 발생시킨다.Typically, vias and wiring routed through vias should be as close as possible to the corresponding connection points of heating elements to avoid heater exclusion zones (ie, zones in which heating elements cannot be located) and reduce temperature non-uniformities. desirable. For example, vias may be located directly below connection points. However, in some ESCs, various structural features may prevent providing vias, wires and other heating element components in the most desirable locations. Consequently, the vias and corresponding interconnects may be located more spaced apart and/or located outside the destination zone of the ESC. For example, in an ESC having an inner zone, a middle-inner zone, a middle-outer zone, and an outer zone, vias and wiring for the outer zone may be located below the middle outer-zone, and have a non-symmetrical heating pattern. and temperature non-uniformities.

본 개시의 원리들에 따른 시스템들 및 방법들은 히팅 플레이트 위의 세라믹층을 통해 전압 입력부들과 히팅 플레이트 사이의 연결들을 제공한다. 즉, 배선은 베이스플레이트 및 히팅층의 비아들을 통해 세라믹층 내로 상향으로 제공된다. 세라믹층 내에서, 전기적 트레이스들, 콘택트들, 등을 포함할 수도 있는 배선은 히팅층의 목표된 연결 지점을 향해 그리고 이어서 목표된 연결 지점에서 히팅층 내로 다시 하향으로 수평으로 (즉, 가로질러) 라우팅된다. 이에 따라, 비아들과 각각의 연결 지점들 간의 전기적 연결부들은 세라믹층 내에 임베딩되고, 비아들과 전압 입력부들 및 연결 지점들을 위한 배선 간의 거리들을 최소화할 필요가 없다. 이 방식에서, 세라믹층을 통해 전기적 연결부들을 라우팅하는 것은 설계 유연성 (예를 들어, 비아들의 위치) 을 개선하고, 히터 배제 존들을 감소시키고, ESC에 걸쳐 온도 균일도를 개선한다.Systems and methods in accordance with the principles of the present disclosure provide connections between voltage inputs and a heating plate through a ceramic layer over the heating plate. That is, the wiring is provided upwardly into the ceramic layer through the vias of the base plate and the heating layer. Within the ceramic layer, wiring, which may include electrical traces, contacts, etc., is routed horizontally (ie, across) towards a desired connection point of the heating layer and then downwardly back into the heating layer at the desired connection point. are routed Accordingly, the electrical connections between the vias and the respective connection points are embedded in the ceramic layer, and there is no need to minimize the distances between the vias and the wiring for the voltage inputs and the connection points. In this manner, routing electrical connections through the ceramic layer improves design flexibility (eg, location of vias), reduces heater exclusion zones, and improves temperature uniformity across the ESC.

이제 도 1을 참조하면, 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 단지 예를 들면, 기판 프로세싱 시스템 (100) 은 RF 플라즈마 및/또는 다른 적합한 기판 프로세싱을 사용하여 에칭을 수행하기 위해 사용될 수도 있다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 챔버 (102) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 기판 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 챔버 (102) 는 상부 전극 (104) 및 기판 지지부 (106), 예컨대 정전 척 (ESC) 을 포함한다. 동작 동안, 기판 (108) 은 기판 지지부 (106) 상에 배치된다. 특정한 기판 프로세싱 시스템 (100) 및 챔버 (102) 가 예로서 도시되고, 본 개시의 원리들은 다른 타입들의 기판 프로세싱 시스템들 및 챔버들, 예컨대 플라즈마를 인시츄로 생성하고, 리모트 플라즈마 생성 및 (예를 들어, 마이크로파 튜브를 사용하는) 전달, 등을 구현하는 기판 프로세싱 시스템에 적용될 수도 있다.Referring now to FIG. 1 , an exemplary substrate processing system 100 is shown. By way of example only, the substrate processing system 100 may be used to perform etching using RF plasma and/or other suitable substrate processing. The substrate processing system 100 includes a substrate processing chamber 102 that surrounds other components of the substrate processing chamber 102 and contains an RF plasma. The substrate processing chamber 102 includes an upper electrode 104 and a substrate support 106 , such as an electrostatic chuck (ESC). During operation, the substrate 108 is disposed on the substrate support 106 . A particular substrate processing system 100 and chamber 102 are shown by way of example, and the principles of the present disclosure can be used to generate other types of substrate processing systems and chambers, such as plasma in situ, remote plasma generation and (eg, For example, it may be applied to a substrate processing system that implements delivery (using a microwave tube), and the like.

단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (109) 를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 실린더형이고 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에 스템의 반대편 단부로부터 방사상 외측으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 도전 플레이트를 포함하고, 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.By way of example only, the upper electrode 104 may include a showerhead 109 that introduces and distributes process gases. The showerhead 109 may include a stem portion that includes one end connected to a top surface of the processing chamber. The base portion is generally cylindrical and extends radially outwardly from the opposite end of the stem at a location spaced apart from the top surface of the processing chamber. The substrate-facing surface or facing plate of the base portion of the showerhead includes a plurality of holes through which a process gas or a purge gas flows. Alternatively, the upper electrode 104 may include a conductive plate, and the process gases may be introduced in another manner.

기판 지지부 (106) 는 하부 전극으로서 작용하는 도전성 베이스플레이트 (110) 를 포함한다. 베이스플레이트 (110) 는 세라믹층 (111) 을 지지하고, 그리고 히팅 플레이트 (112) 가 베이스플레이트 (110) 와 세라믹층 (111) 사이에 배열된다. 단지 예를 들면, 히팅 플레이트 (112) 는 라미네이트된 (laminated), 멀티-존 히팅 플레이트에 대응할 수도 있다. 내열층 (114) (예를 들어, 본딩층) 이 히팅 플레이트 (112) 와 베이스플레이트 (110) 사이에 배열될 수도 있다. 베이스플레이트 (110) 는 베이스플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다.The substrate support 106 includes a conductive baseplate 110 that acts as a lower electrode. The base plate 110 supports the ceramic layer 111 , and a heating plate 112 is arranged between the base plate 110 and the ceramic layer 111 . By way of example only, heating plate 112 may correspond to a laminated, multi-zone heating plate. A heat resistant layer 114 (eg, a bonding layer) may be arranged between the heating plate 112 and the baseplate 110 . The baseplate 110 may include one or more coolant channels 116 for flowing coolant through the baseplate 110 .

RF 생성 시스템 (120) 은 RF 전압을 생성하고 상부 전극 (104) 하부 전극 (예를 들어, 기판 지지부 (106) 의 베이스플레이트 (110)) 중 하나로 출력한다. 상부 전극 (104) 및 베이스플레이트 (110) 중 다른 하나는 DC 접지되거나, AC 접지되거나 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스플레이트 (110) 로 피딩되는 RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도성으로 또는 리모트로 생성될 수도 있다. 예시적인 목적들을 위해 도시되었지만, RF 생성 시스템 (120) 은 용량 결합 플라즈마 (CCP) 시스템에 대응하고, 본 개시의 원리들은 다른 적합한 시스템들, 예컨대 단지 예를 들면 TCP (transformer coupled plasma) 시스템들, CCP 캐소드 시스템들, 리모트 마이크로파 플라즈마 생성 및 전달 시스템들에서 또한 구현될 수도 있다.The RF generation system 120 generates and outputs an RF voltage to one of the upper electrode 104 lower electrodes (eg, the baseplate 110 of the substrate support 106 ). The other of the upper electrode 104 and the baseplate 110 may be DC grounded, AC grounded, or floating. By way of example only, the RF generation system 120 may include an RF voltage generator 122 that generates an RF voltage that is fed to the top electrode 104 or baseplate 110 by a matching and distribution network 124 . have. In other examples, the plasma may be generated inductively or remotely. Although shown for illustrative purposes, RF generation system 120 corresponds to a capacitively coupled plasma (CCP) system, and the principles of the present disclosure may be applied to other suitable systems, such as transformer coupled plasma (TCP) systems, for example only; It may also be implemented in CCP cathode systems, remote microwave plasma generation and delivery systems.

가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2, …, 및 132-N (집합적으로 가스 소스들 (132)) 을 포함하고, N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 전구체들 및 이들의 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, …, 및 134-N (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (mass flow controllers) (136-1, 136-2, …, 및 136-N (집합적으로 질량 유량 제어기들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력은 프로세싱 챔버 (102) 로 피드된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (109) 로 피드된다.Gas delivery system 130 includes one or more gas sources 132-1, 132-2, ..., and 132-N (collectively gas sources 132), where N is an integer greater than zero. Gas sources supply one or more precursors and mixtures thereof.Gas sources may also supply a purge gas.Vaporized precursor may also be used.Gas sources 132 provide valves 134-1, 134 -2, …, and 134-N (collectively valves 134) and mass flow controllers (136-1, 136-2, …, and 136-N (collectively mass flow rate) It is coupled to the manifold 140 by controllers 136. The output of the manifold 140 is fed to the processing chamber 102. By way of example only, the output of the manifold 140 is 109) is fed.

온도 제어기 (142) 는 히팅 플레이트 (112) 내에 배치된 복수의 히팅 엘리먼트들 (144) 로 전압 입력들을 제공할 수도 있다. 예를 들어, 히팅 엘리먼트들 (144) 은 이로 제한되는 것은 아니지만, 멀티-존 히팅 플레이트 내 각각의 존들에 대응하는 히팅 엘리먼트들 및/또는 멀티-존 히팅 플레이트의 복수의 존들에 걸쳐 배치된 마이크로 히팅 엘리먼트들의 어레이를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 및 기판 (108) 의 온도를 제어하도록 복수의 히팅 엘리먼트들 (144) 을 제어하도록 사용될 수도 있다. 본 개시의 원리들에 따른 기판 지지부 (106) 는 이하에 보다 상세히 기술된 바와 같이 세라믹층 (111) 을 통해 히팅 엘리먼트들 (144) 에 대한 전기적 연결부들을 라우팅한다.The temperature controller 142 may provide voltage inputs to a plurality of heating elements 144 disposed within the heating plate 112 . For example, the heating elements 144 may include, but are not limited to, heating elements corresponding to respective zones in the multi-zone heating plate and/or micro heating disposed over a plurality of zones of the multi-zone heating plate. It may include an array of elements. The temperature controller 142 may be used to control the plurality of heating elements 144 to control the temperature of the substrate support 106 and the substrate 108 . The substrate support 106 according to the principles of the present disclosure routes electrical connections to the heating elements 144 through the ceramic layer 111 as described in more detail below.

온도 제어기 (142) 는 채널들 (116) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) 와 통신할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 를 냉각하도록 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.The temperature controller 142 may communicate with the coolant assembly 146 to control coolant flow through the channels 116 . For example, the coolant assembly 146 may include a coolant pump and reservoir. The temperature controller 142 operates the coolant assembly 146 to selectively flow coolant through the channels 116 to cool the substrate support 106 .

밸브 (150) 및 펌프 (152) 가 프로세싱 챔버 (102) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (170) 이 기판 지지부 (106) 상으로 기판들을 전달하고 그리고 기판 지지부 (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (170) 은 기판 지지부 (106) 와 로드록 (172) 사이에서 기판들을 이송할 수도 있다. 분리된 제어기들로서 도시되었지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에서 구현될 수도 있다.A valve 150 and pump 152 may be used to evacuate reactants from the processing chamber 102 . The system controller 160 may be used to control components of the substrate processing system 100 . A robot 170 may be used to transfer substrates onto and remove substrates from the substrate support 106 . For example, the robot 170 may transfer substrates between the substrate support 106 and the loadlock 172 . Although shown as separate controllers, the temperature controller 142 may be implemented within the system controller 160 .

이제 도 2a 및 도 2b를 참조하면, 예시적인 ESC (200) 가 도시된다. 온도 제어기 (204) 는 하나 이상의 전기적 연결부들 (208) 을 통해 ESC (200) 와 통신한다. 예를 들어, 전기적 연결부들 (208) 은 이로 제한되는 것은 아니지만, 집합적으로 히팅 엘리먼트들 (212) 로 지칭되는, 히팅 엘리먼트들 (212-1, 212-2, 212-3, 및 212-4) 을 선택적으로 제어하기 위한 연결부들 및 하나 이상의 온도 센서들 (220) 로부터 온도 피드백을 수신하기 위한 연결부들을 포함할 수도 있다.Referring now to FIGS. 2A and 2B , an exemplary ESC 200 is shown. The temperature controller 204 communicates with the ESC 200 via one or more electrical connections 208 . For example, electrical connections 208 may include, but are not limited to, heating elements 212 - 1 , 212 - 2 , 212 - 3 , and 212 - 4 , collectively referred to as heating elements 212 . ) ) and connections for receiving temperature feedback from one or more temperature sensors 220 .

도시된 바와 같이, ESC (200) 는 집합적으로 존들 (224) 로 지칭되는, 존들 (224-1, 224-2, 224-3, 및 224-4) 을 포함하는 멀티-존 ESC이고, 각각 외측 존, 중간-외측 존, 중간-내측 존 및 내측 존으로 각각 지칭될 수도 있다. 외측 존은 최외측 존에 대응할 수도 있다. 4 개의 동심원 존들 (224) 로 도시되었지만, 실시예들에서 ESC (200) 는 하나, 둘, 셋, 또는 다섯 개 이상의 존들 (224) 을 포함할 수도 있다. 존들 (224) 의 형상들은 가변할 수도 있다. 예를 들어, 존들 (224) 은 사분면들 (quadrants) 또는 또 다른 그리드-형 배열로 제공될 수도 있다. 존들 (224) 각각은, 단지 예를 들면, 존 온도 센서들 (220) 중 각각의 하나 및 히팅 엘리먼트들 (212) 중 각각의 하나를 포함한다. 실시예들에서, 존들 (224) 각각은 온도 센서들 (220) 중 하나 이상을 가질 수도 있다.As shown, ESC 200 is a multi-zone ESC comprising zones 224-1, 224-2, 224-3, and 224-4, collectively referred to as zones 224, each They may also be referred to as an outer zone, a mid-outer zone, a mid-medial zone, and an inner zone, respectively. The outer zone may correspond to the outermost zone. Although shown with four concentric zones 224 , in embodiments the ESC 200 may include one, two, three, or five or more zones 224 . The shapes of zones 224 may vary. For example, zones 224 may be provided in quadrants or another grid-like arrangement. Each of the zones 224 includes, for example only, a respective one of the zone temperature sensors 220 and a respective one of the heating elements 212 . In embodiments, each of the zones 224 may have one or more of the temperature sensors 220 .

ESC (200) 는 냉각제 채널들 (232) 을 포함하는 베이스플레이트 (228), 베이스플레이트 (228) 상에 형성된 내열층 (236), 내열층 (236) 상에 형성된 멀티-존 세라믹 히팅 플레이트 (240), 및 히팅 플레이트 (240) 상에 형성된 상부 세라믹층 (242) 을 포함한다. 베이스플레이트 (228) 및 세라믹층 (242) 을 통해 라우팅된 배선을 사용하여 온도 제어기 (204) 로부터 히팅 엘리먼트들 (212) 로 전압 입력들이 제공된다.The ESC 200 includes a baseplate 228 including coolant channels 232 , a heat resistant layer 236 formed on the baseplate 228 , and a multi-zone ceramic heating plate 240 formed on the heat resistant layer 236 . ), and an upper ceramic layer 242 formed on the heating plate 240 . Voltage inputs are provided from the temperature controller 204 to the heating elements 212 using wiring routed through the baseplate 228 and the ceramic layer 242 .

온도 제어기 (204) 는 목표된 설정점 온도에 따라 히팅 엘리먼트들 (212) 을 제어한다. 예를 들어, 온도 제어기 (204) 는 하나 이상의 존들 (224) 에 대한 설정점 온도를 (예를 들어, 도 1에 도시된 바와 같이 시스템 제어기 (160) 로부터) 수신할 수도 있다. 단지 예를 들면, 온도 제어기 (204) 는 존들 (224) 중 전부 또는 일부에 대해 동일한 설정점 온도 또는 존들 (224) 각각에 대해 상이한 각각의 설정점 온도들을 수신할 수도 있다. 존들 (224) 각각에 대한 설정점 온도들은 상이한 프로세스들 및 프로세스 각각의 상이한 단계들에 걸쳐 가변할 수도 있다.The temperature controller 204 controls the heating elements 212 according to the desired set point temperature. For example, the temperature controller 204 may receive a setpoint temperature for one or more zones 224 (eg, from the system controller 160 as shown in FIG. 1 ). For example only, the temperature controller 204 may receive the same setpoint temperature for all or some of the zones 224 or different respective setpoint temperatures for each of the zones 224 . The setpoint temperatures for each of the zones 224 may vary over different processes and different stages of each process.

온도 제어기 (204) 는 각각의 설정점 온도들 및 센서들 (220) 에 의해 제공된 온도 피드백에 기초하여 존들 (224) 각각에 대한 히팅 엘리먼트들 (212) 을 제어한다. 예를 들어, 온도 제어기 (204) 는 센서들 (220) 각각에서 설정점 온도들을 달성하도록 히팅 엘리먼트들 (212) 각각에 제공된 전력 (예를 들어, 전류 또는 듀티 사이클) 을 개별적으로 조정한다. 히팅 엘리먼트들 (212) 은 각각 단일 저항성 코일 또는 도 2b의 점선들에 의해 개략적으로 나타낸 다른 구조를 포함할 수도 있다. 이에 따라, 히팅 엘리먼트들 (212) 중 하나를 조정하는 것은 전체 각각의 존 (224) 의 온도에 영향을 주고, 또한 존들 (224) 중 다른 존들에 영향을 줄 수도 있다. 센서들 (220) 은 존들 (224) 각각의 국부적인 부분에만 온도 피드백을 제공할 수도 있다. 단지 예를 들면, 센서들 (220) 은, 존 (224) 의 평균 온도에 가장 가까운 상관을 갖도록 이전에 결정된 존 (224) 각각의 부분에 포지셔닝될 수도 있다.The temperature controller 204 controls the heating elements 212 for each of the zones 224 based on the respective setpoint temperatures and temperature feedback provided by the sensors 220 . For example, the temperature controller 204 individually adjusts the power (eg, current or duty cycle) provided to each of the heating elements 212 to achieve setpoint temperatures at each of the sensors 220 . The heating elements 212 may each include a single resistive coil or other structure schematically represented by the dashed lines in FIG. 2B . Accordingly, adjusting one of the heating elements 212 affects the temperature of each zone 224 as a whole, and may also affect other of the zones 224 . The sensors 220 may provide temperature feedback only to a localized portion of each of the zones 224 . For example only, sensors 220 may be positioned in each portion of zone 224 previously determined to have the closest correlation to the average temperature of zone 224 .

도시된 바와 같이, 각각의 비아들 (246, 250, 및 254) 및 대응하는 전압 입력들은 중간-외측 존 (224-2), 중간-내측 존 (224-3), 및 내측 존 (224-4) 에 제공된다. 본 명세서에서 사용된 바와 같이, "비아들"은 일반적으로 베이스플레이트 (228) 와 같은 구조를 관통하는 개구부들, 포트들, 등을 지칭하는 한편, "배선"은 비아들 내 도전성 재료를 지칭한다. 비아들이 특정한 위치에서 쌍들로 도시되지만, 단지 예를 들면, 임의의 적합한 위치들 및/또는 수의 비아들이 구현될 수도 있다. 예를 들어, 비아들 (246, 250, 및 254) 은 베이스플레이트 (228) 를 관통하여 제공되고 배선이 각각의 연결 지점들로 비아들 (246, 250, 및 254) 을 통해 제공된다. 그러나, 외측 존 (224-1) 에 대응하는 비아들 (258) 은 비아들 (246, 250, 및 254) 보다 더 이격되어 위치될 수도 있고, 중간-외측 존 (224-2) 내에 위치될 수도 있다. 즉, 외측 존 (224-1) 의 히팅 엘리먼트들을 위한 배선은 외측 존 (224-1) 바로 아래에 제공되지 않는다. 이에 따라, 부가적인 전기적 연결부들이 외측 존 (224-1) 의 히팅 엘리먼트들로 전압 입력들을 제공하도록 요구된다.As shown, each of vias 246 , 250 , and 254 and corresponding voltage inputs are connected to the middle-outer zone 224-2, the middle-inner zone 224-3, and the inner zone 224-4. ) is provided in As used herein, “vias” generally refer to openings, ports, etc. through a structure such as baseplate 228 , while “wiring” refers to the conductive material in the vias. . Although vias are shown in pairs in particular locations, for example only, any suitable locations and/or number of vias may be implemented. For example, vias 246 , 250 , and 254 are provided through baseplate 228 and wiring is provided through vias 246 , 250 , and 254 to respective connection points. However, vias 258 corresponding to outer zone 224 - 1 may be located more spaced apart than vias 246 , 250 , and 254 , and may be located within middle-outer zone 224 - 2 . have. That is, the wiring for the heating elements of the outer zone 224-1 is not provided directly below the outer zone 224-1. Accordingly, additional electrical connections are required to provide voltage inputs to the heating elements of the outer zone 224 - 1 .

도 3은 세라믹층 (408) 내에서 라우팅된 (예를 들어, 측방향으로 횡단하는) 전기적 연결부들 (404) 을 갖는 예시적인 ESC (400) 를 도시한다. 세라믹층 (408) 이 단일 균일한 층으로 도시되지만, 일부 예들에서, 세라믹층 (408) 은 복수의 개별 층들, 복수의 층들 중 일 층, 등에 대응할 수도 있다. ESC (400) 는 단지 예를 들면, 집합적으로 존들 (410) 로 지칭될 수도 있는, 외측 존 (410-1) (예를 들어, ESC (400) 의 방사상 최외측 존에 대응), 중간-외측 존 (410-2), 중간-내측 존 (410-3), 및 내측 존 (410-4) 을 포함하는 복수의 존들을 갖는다. 예를 들어, 베이스플레이트 (416) 의 비아 (412) 는 도 2a 및 도 2b에 상기 기술된 바와 같이 ESC (400) 의 외측 존 (410-1) 외부 (예를 들어, 중간-외측 존 (410-2) 내) 에 위치될 수도 있다. 전압 입력 (예를 들어, 배선) (420) 은 비아 (412) 및 히팅층 (424) 을 통해 세라믹층 (408) 내로 라우팅된다. 세라믹층 (408) 내에서, 전기적 연결부들 (404) 은 히팅층 (424) 내 연결 지점 (428) 을 향해 세라믹층 (408) 을 가로질러 라우팅된다. 이에 따라, ESC의 외측 존 (410-1) 내 히팅층 (424) 으로의 전압 입력은 베이스플레이트 (416) 및 세라믹층 (408) 을 통해 제공된다. 일부 예들에서, 전기적 연결부들 (404) 은 전기적 트레이스들에 대응한다. 다른 예들에서, 전기적 연결부들 (404) 은 배선을 포함한다. 예를 들어, 전기적 연결부들 (404) 의 배선은 전압 입력 (420) 의 배선으로부터 상이하거나 동일할 수도 있다.3 shows an example ESC 400 with electrical connections 404 routed (eg, laterally transverse to) within a ceramic layer 408 . Although ceramic layer 408 is shown as a single uniform layer, in some examples, ceramic layer 408 may correspond to a plurality of individual layers, one of a plurality of layers, or the like. The ESC 400 is, for example only, an outer zone 410 - 1 (eg, corresponding to a radially outermost zone of the ESC 400 ), which may be collectively referred to as zones 410 , a middle- It has a plurality of zones including an outer zone 410-2, a middle-inner zone 410-3, and an inner zone 410-4. For example, vias 412 of baseplate 416 may be outside (eg, mid-outer zone 410 ) of outer zone 410 - 1 of ESC 400 as described above in FIGS. 2A and 2B . -2) may be located within). A voltage input (eg, wiring) 420 is routed through via 412 and heating layer 424 into ceramic layer 408 . Within the ceramic layer 408 , electrical connections 404 are routed across the ceramic layer 408 towards a connection point 428 in the heating layer 424 . Accordingly, a voltage input to the heating layer 424 in the outer zone 410 - 1 of the ESC is provided through the baseplate 416 and the ceramic layer 408 . In some examples, electrical connections 404 correspond to electrical traces. In other examples, the electrical connections 404 include wiring. For example, the wiring of the electrical connections 404 may be different or the same from the wiring of the voltage input 420 .

세라믹층 (408) 내 전기적 연결부들 (404) 은 (예를 들어, 히팅층 (424) 의 히팅 엘리먼트들 (436) 에 상대적으로) 저 전기 저항을 갖는 도전성 재료 및/또는 치수들을 가질 수도 있다. 단지 예를 들면, 전기적 연결부들 (404) 은 이로 제한되는 것은 아니지만, 텅스텐, 구리, 마그네슘, 팔라듐, 은, 및/또는 다양한 이들의 합금들을 포함할 수도 있다. 반대로, 히팅 엘리먼트들 (436) 은 이로 제한되는 것은 아니지만, 니켈 합금, 철 합금, 텅스텐 합금, 등을 포함할 수도 있다. 히팅층 (424) 은 내부에 임베딩된 히팅 엘리먼트들 (436) 과 함께, 폴리이미드, 아크릴, 실리콘, 등을 포함할 수도 있다.The electrical connections 404 in the ceramic layer 408 may have a conductive material and/or dimensions that have a low electrical resistance (eg, relative to the heating elements 436 of the heating layer 424 ). By way of example only, and not limitation, electrical connections 404 may include, but are not limited to, tungsten, copper, magnesium, palladium, silver, and/or various alloys thereof. Conversely, the heating elements 436 may include, but are not limited to, a nickel alloy, an iron alloy, a tungsten alloy, or the like. The heating layer 424 may include polyimide, acrylic, silicone, or the like, with heating elements 436 embedded therein.

비아 (412) 는 중간-외측 존 (410-2) 내에 위치되고, 전기적 연결부들 (404) 은 중간-외측 존 (410-2) 으로부터 세라믹층 (408) 을 가로질러 외측 존 (410-1) 으로 라우팅되는 것으로 도시되지만, 다른 예들에서 비아 (412) 는 존들 (410) 중 어느 하나 내에 위치될 수도 있고, 전기적 연결부들 (404) 은 다른 존들 (410) 중 어느 하나 내에 위치될 수도 있다. 일부 예들에서, 전기적 연결부들 (404) 은 복수의 존들 (410) 을 가로질러 (예를 들어, 중간-내측 존 (410-3) 내에 위치된 비아로부터 외측 존 (410-1) 으로) 라우팅된다. 또한, 전기적 연결부들 (404) 이 방사상 내측 존의 비아로부터 방사상 외측 존으로 라우팅되는 것으로 도시되지만, 다른 예들에서, 전기적 연결부들 (404) 은 방사상 외측 존의 비아로부터 방사상 내측 존으로 (예를 들어, 외측 존 (410-1) 내에 위치된 비아로부터 중간-내측 존 (410-3) 으로) 라우팅된다.Via 412 is located in middle-outer zone 410-2, and electrical connections 404 from middle-outer zone 410-2 across ceramic layer 408 to outer zone 410-1. Although shown routed to , in other examples the via 412 may be located within any one of the zones 410 , and the electrical connections 404 may be located within any of the other zones 410 . In some examples, electrical connections 404 are routed across a plurality of zones 410 (eg, from a via located within mid-inner zone 410-3 to outer zone 410-1). . Also, although electrical connections 404 are shown to be routed from a via in a radially inner zone to a radially outer zone, in other examples, electrical connections 404 are routed from a via in a radially outer zone to a radially inner zone (e.g., , from a via located in the outer zone 410 - 1 to the middle-inner zone 410 - 3 ).

이제 도 4a 및 도 4b를 참조하면, 본 개시의 원리들에 따른 ESC (450) 의 제 1 예시적인 배열이 도시된다. 도 4a는 단면도이고, 도 4b는 평면도이다. 이 예에서, 전기적 연결부 (454) (예를 들어, 전기적 연결부 (404) 에 대응) 는 히팅층 (462) 상에 형성된 세라믹층 (458) 을 통해 라우팅된다. 예를 들어, 전기적 연결부 (454) 는 ESC (450) 의 중간-외측 존으로부터 외측 존으로 라우팅된다. 전기적 연결부 (454) 는 도전성 재료 (470) (예를 들어, 납땜, 도전성 에폭시, 등) 를 사용하여, 히팅 엘리먼트 (466) 의 연결 지점에 전기적으로 커플링된다.Referring now to FIGS. 4A and 4B , a first exemplary arrangement of an ESC 450 in accordance with the principles of the present disclosure is shown. 4A is a cross-sectional view, and FIG. 4B is a plan view. In this example, electrical connection 454 (eg, corresponding to electrical connection 404 ) is routed through ceramic layer 458 formed on heating layer 462 . For example, electrical connections 454 are routed from the mid-outer zone to the outer zone of the ESC 450 . Electrical connection 454 is electrically coupled to a connection point of heating element 466 using conductive material 470 (eg, solder, conductive epoxy, etc.).

이제 도 5a 및 도 5b를 참조하면, 본 개시의 원리들에 따른 ESC (500) 의 제 2 예시적인 배열이 도시된다. 도 5a는 단면도이고, 도 5b는 평면도이다. 이 예에서, 전기적 연결부 (504) 는 히팅층 (512) 상에 형성된 세라믹층 (508) 을 통해 라우팅된다. 예를 들어, 전기적 연결부 (504) 는 ESC (500) 의 중간-외측 존으로부터 외측 존으로 라우팅된다. 전기적 연결부 (504) 는 도전성 재료 (524) (예를 들어, 납땜, 도전성 에폭시, 등) 로 충진된 비아 (520) 를 사용하여, 히팅 엘리먼트 (516) 의 연결 지점에 전기적으로 커플링된다. 예를 들어, 비아 (520) 는 전기적 연결부 (504), 세라믹층 (508), 히팅층 (512), 및 히팅 엘리먼트 (516) 의 대응하는 영역들을 통해 형성될 수도 있고, 이어서 도전성 재료 (524) 를 사용하여 충진될 수도 있다.Referring now to FIGS. 5A and 5B , a second exemplary arrangement of an ESC 500 in accordance with the principles of the present disclosure is shown. 5A is a cross-sectional view, and FIG. 5B is a plan view. In this example, the electrical connections 504 are routed through the ceramic layer 508 formed on the heating layer 512 . For example, the electrical connections 504 are routed from the mid-outer zone to the outer zone of the ESC 500 . Electrical connection 504 is electrically coupled to a connection point of heating element 516 using via 520 filled with conductive material 524 (eg, solder, conductive epoxy, etc.). For example, via 520 may be formed through corresponding regions of electrical connection 504 , ceramic layer 508 , heating layer 512 , and heating element 516 , followed by conductive material 524 . It may be filled using

이제 도 6a 및 도 6b를 참조하면, 본 개시의 원리들에 따른 ESC (600) 의 제 3 예시적인 배열이 도시된다. 도 6a는 단면도이고, 도 6b는 평면도이다. 이 예에서, 전기적 연결부 (604) 는 히팅층 (612) 상에 형성된 세라믹층 (608) 을 통해 라우팅된다. 예를 들어, 전기적 연결부 (604) 는 ESC (600) 의 중간-외측 존으로부터 외측 존으로 라우팅된다. 전기적 연결부 (604) 는 도전성 재료 (624) (예를 들어, 납땜, 도전성 에폭시, 등) 로 충진된 비아 (620) 를 사용하여, 히팅 엘리먼트 (616) 의 연결 지점에 전기적으로 커플링된다. 예를 들어, 비아 (620) 는 전기적 연결부 (604), 세라믹층 (608), 히팅층 (612), 히팅 엘리먼트 (616), 및 콘택트 패드 (628) 의 대응하는 영역들을 통해 형성될 수도 있고, 이어서 도전성 재료 (624) 를 사용하여 충진될 수도 있다.Referring now to FIGS. 6A and 6B , a third exemplary arrangement of an ESC 600 in accordance with the principles of the present disclosure is shown. 6A is a cross-sectional view, and FIG. 6B is a plan view. In this example, the electrical connections 604 are routed through the ceramic layer 608 formed on the heating layer 612 . For example, the electrical connections 604 are routed from the mid-outer zone to the outer zone of the ESC 600 . Electrical connection 604 is electrically coupled to the connection point of heating element 616 using via 620 filled with conductive material 624 (eg, solder, conductive epoxy, etc.). For example, via 620 may be formed through corresponding regions of electrical connection 604 , ceramic layer 608 , heating layer 612 , heating element 616 , and contact pad 628 , It may then be filled using conductive material 624 .

도시된 바와 같이, 도전성 재료 (624) 는 콘택트 패드 (628) 의 분리된 부분들 사이 (즉, 전기적 연결부 (604) 에 커플링된 콘택트 패드 (628) 의 부분 (632) 과 히팅 엘리먼트 (616) 에 커플링된 콘택트 패드 (628) 의 부분 (636) 사이) 에 제공될 수도 있다. 콘택트 패드 (628) 의 부분들 (632 및 636) 은 동일한 재료 또는 상이한 재료들을 포함할 수도 있다. 예를 들어, 부분 (632) 은 전기적 연결부 (604) 와 동일한 재료를 포함할 수도 있고, 한편 부분 (636) 은 히팅 엘리먼트 (616) 와 동일한 재료를 포함한다. 다른 예들에서, 콘택트 패드 (628) 는 전기적 연결부 (604) 및 히팅 엘리먼트 (616) 를 관통하여 형성된 비아 (620) 를 갖는 히팅 엘리먼트 (616) 양자에 커플링된 단일 구조에 대응할 수도 있다.As shown, conductive material 624 is applied between the separated portions of contact pad 628 (ie, portion 632 of contact pad 628 coupled to electrical connection 604 and heating element 616 ). between the portion 636 of the contact pad 628 coupled to the Portions 632 and 636 of contact pad 628 may include the same material or different materials. For example, portion 632 may include the same material as electrical connection 604 , while portion 636 includes the same material as heating element 616 . In other examples, the contact pad 628 may correspond to a single structure coupled to both the electrical connection 604 and the heating element 616 having a via 620 formed therethrough.

전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.The foregoing description is merely exemplary in nature and is not intended to limit the disclosure, their application, or uses in any way. The broad teachings of the disclosure may be embodied in various forms. Accordingly, while this disclosure includes specific examples, the true scope of the disclosure should not be so limited, as other modifications will become apparent from a study of the drawings, the specification, and the following claims. It should be understood that one or more steps in a method may be executed in a different order (or concurrently) without changing the principles of the present disclosure. Further, although each of the embodiments has been described above as having specific features, any one or more of these features described with respect to any embodiment of the present disclosure may be used in any other embodiment, even if the combination is not explicitly described. may be implemented with the features of and/or in combination with the features of any other embodiments. That is, the described embodiments are not mutually exclusive, and permutations of one or more embodiments with other embodiments remain within the scope of the present disclosure.

엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.Spatial and functional relationships between elements (eg, between modules, circuit elements, semiconductor layers, etc.) are “connected”, “engaged”, “coupled” )", "adjacent", "next to", "on top of", "above", "below", and "placed are described using various terms, including "disposed." Unless explicitly stated to be “direct,” when a relationship between a first element and a second element is described in the above disclosure, the relationship is such that other intervening elements between the first and second elements It may be a direct relationship that does not exist, but may also be an indirect relationship in which one or more intervening elements (spatially or functionally) exist between the first element and the second element. As discussed herein, at least one of the phrases A, B, and C should be construed to mean logically (A or B or C), using a non-exclusive logical OR, and "at least one A , at least one B, and at least one C".

일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.In some implementations, the controller may be part of a system that may be part of the examples described above. Such systems may include semiconductor processing equipment, including a processing tool or tools, a chamber or chambers, a platform or platforms for processing, and/or specific processing components (substrate pedestal, gas flow system, etc.). . These systems may be incorporated into electronics for controlling their operation before, during, and after processing of a semiconductor substrate. Electronics may be referred to as a “controller,” which may control a system or various components or subparts of the systems. The controller controls delivery of processing gases, temperature settings (eg, heating and/or cooling), pressure settings, vacuum settings, power settings, depending on the processing requirements and/or type of system. , radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and motion settings, tools and other transport tools and/or It may be programmed to control any of the processes disclosed herein, including substrate transfers into and out of loadlocks coupled or interfaced with a particular system.

일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 기판에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 기판의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.Generally speaking, the controller receives instructions, issues instructions, controls an operation, enables cleaning operations, enables endpoint measurements, and/or various integrated circuits, logic, memory, and/or the like. It may be defined as an electronic device with software. Integrated circuits are chips in the form of firmware that store program instructions, digital signal processors (DSP), chips defined as application specific integrated circuits (ASICs) and/or one that executes program instructions (eg, software). It may include more than one microprocessor, or microcontrollers. Program instructions may be instructions passed to a controller or system in the form of various individual settings (or program files), which define operating parameters for executing a particular process on a semiconductor wafer or for a semiconductor substrate. In some embodiments, the operating parameters process one or more processing steps to achieve one or more processing steps during fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of the substrate. It may be part of a recipe prescribed by the engineer.

제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.The controller may be coupled to or part of a computer, which, in some implementations, may be integrated into, coupled to, or otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or part of a fab host computer system that may enable remote access of substrate processing. The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from a plurality of manufacturing operations, changes parameters of the current processing, and performs processing steps following the current processing. You can also enable remote access to the system to set up, or start a new process. In some examples, a remote computer (eg, a server) can provide process recipes to the system over a network that may include a local network or the Internet. The remote computer may include a user interface that enables input or programming of parameters and/or settings to be subsequently passed from the remote computer to the system. In some examples, the controller receives instructions in the form of data specifying parameters for each of the process steps to be performed during one or more operations. It should be understood that these parameters may be specific to the type of process to be performed and the type of tool the controller is configured to control or interface with. Thus, as described above, a controller may be distributed, eg, by including one or more separate controllers that are networked together and cooperate for a common purpose, eg, for the processes and controls described herein. An example of a distributed controller for this purpose is one or more integrated circuits on the chamber that communicate with one or more remotely located integrated circuits (eg, at the platform level or as part of a remote computer) that are combined to control a process on the chamber. can be circuits.

비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 기판들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.Exemplary systems include, but are not limited to, plasma etch chamber or module, deposition chamber or module, spin-rinse chamber or module, metal plating chamber or module, cleaning chamber or module, bevel edge etch chamber or module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer etch (ALE) chamber or module, ion implantation chamber or module, track chamber or module, and semiconductor may include any other semiconductor processing systems that may be used or associated with the fabrication and/or fabrication of substrates.

상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 기판들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.As described above, depending on the process step or steps to be performed by the tool, the controller is used in material transfer to move containers of substrates from/to tool locations and/or load ports within the semiconductor fabrication plant. It may communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout the factory, a main computer, another controller or tools. .

Claims (13)

기판 프로세싱 시스템을 위한 기판 지지부에 있어서,
복수의 히팅 존들;
베이스플레이트;
상기 베이스플레이트 상에 배열된 히팅층;
상기 히팅층 상에 배열된 세라믹층;
상기 복수의 히팅 존들의 제 1 존에서 상기 베이스플레이트, 상기 히팅층을 통해 상기 세라믹층 내로 제공된 배선; 및
상기 제 1 존의 상기 배선으로부터, 상기 복수의 히팅 존들의 제 2 존으로 상기 세라믹층을 가로질러, 그리고 상기 제 2 존의 상기 히팅층의 히팅 엘리먼트로 라우팅되는 전기적 연결부를 포함하는, 기판 지지부.
A substrate support for a substrate processing system, comprising:
a plurality of heating zones;
base plate;
a heating layer arranged on the base plate;
a ceramic layer arranged on the heating layer;
a wiring provided into the ceramic layer through the base plate and the heating layer in a first zone of the plurality of heating zones; and
and an electrical connection routed from the wiring in the first zone, across the ceramic layer to a second zone of the plurality of heating zones, and to a heating element in the heating layer in the second zone.
제 1 항에 있어서,
상기 전기적 연결부는 전기적 트레이스에 대응하는, 기판 지지부.
The method of claim 1,
and the electrical connections correspond to electrical traces.
제 1 항에 있어서,
상기 전기적 연결부는 상기 베이스플레이트를 통해 제공된 상기 배선과 상이한 제 2 배선에 대응하는, 기판 지지부.
The method of claim 1,
and the electrical connection portion corresponds to a second wiring different from the wiring provided through the base plate.
제 1 항에 있어서,
상기 제 2 존은 상기 제 1 존의 방사상으로 외측에 위치되는, 기판 지지부.
The method of claim 1,
and the second zone is located radially outward of the first zone.
제 1 항에 있어서,
상기 베이스플레이트, 상기 히팅층, 및 상기 제 1 존의 상기 세라믹층을 통해 제공된 비아를 더 포함하고, 상기 배선은 상기 비아를 통해 라우팅되는, 기판 지지부.
The method of claim 1,
a via provided through the baseplate, the heating layer, and the ceramic layer of the first zone, wherein the wiring is routed through the via.
제 1 항에 있어서,
상기 전기적 연결부는 상기 히팅 엘리먼트보다 낮은 전기 저항을 갖는, 기판 지지부.
The method of claim 1,
wherein the electrical connection has a lower electrical resistance than the heating element.
제 1 항에 있어서,
상기 전기적 연결부는 땜납 연결부 및 도전성 에폭시 중 적어도 하나를 사용하여 상기 히팅 엘리먼트의 연결 지점에 커플링되는, 기판 지지부.
The method of claim 1,
wherein the electrical connection is coupled to the connection point of the heating element using at least one of a solder connection and a conductive epoxy.
제 1 항에 있어서,
상기 세라믹층 및 상기 제 2 존의 상기 히팅층을 통해 제공되는 비아를 더 포함하는, 기판 지지부.
The method of claim 1,
and a via provided through the ceramic layer and the heating layer of the second zone.
제 8 항에 있어서,
상기 비아는 상기 히팅 엘리먼트의 연결 지점으로 상기 전기적 연결부를 커플링하는 도전성 재료로 충진되는, 기판 지지부.
9. The method of claim 8,
and the via is filled with a conductive material coupling the electrical connection to the connection point of the heating element.
제 8 항에 있어서,
상기 전기적 연결부와 상기 히팅 엘리먼트 사이에 배열된 콘택트 패드를 더 포함하는, 기판 지지부.
9. The method of claim 8,
and a contact pad arranged between the electrical connection and the heating element.
제 10 항에 있어서,
상기 콘택트 패드는 상기 세라믹층 내에 배열된 제 1 부분 및 상기 히팅층 내에 배열된 제 2 부분을 포함하는, 기판 지지부.
11. The method of claim 10,
wherein the contact pad comprises a first portion arranged in the ceramic layer and a second portion arranged in the heating layer.
제 11 항에 있어서,
상기 비아는 도전성 재료로 충진되는, 기판 지지부.
12. The method of claim 11,
wherein the via is filled with a conductive material.
제 12 항에 있어서,
상기 도전성 재료는 상기 콘택트 패드의 상기 제 1 부분과 상기 콘택트 패드의 상기 제 2 부분 사이에 제공되는, 기판 지지부.
13. The method of claim 12,
and the conductive material is provided between the first portion of the contact pad and the second portion of the contact pad.
KR1020170057507A 2016-05-10 2017-05-08 Connections between laminated heater and heater voltage inputs KR102329513B1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662334084P 2016-05-10 2016-05-10
US201662334097P 2016-05-10 2016-05-10
US62/334,097 2016-05-10
US62/334,084 2016-05-10
US15/586,203 2017-05-03
US15/586,203 US10667379B2 (en) 2016-05-10 2017-05-03 Connections between laminated heater and heater voltage inputs

Publications (2)

Publication Number Publication Date
KR20170126802A KR20170126802A (en) 2017-11-20
KR102329513B1 true KR102329513B1 (en) 2021-11-23

Family

ID=60338892

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170057507A KR102329513B1 (en) 2016-05-10 2017-05-08 Connections between laminated heater and heater voltage inputs
KR1020170057515A KR102360248B1 (en) 2016-05-10 2017-05-08 Laminated heater with different heater trace materials

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170057515A KR102360248B1 (en) 2016-05-10 2017-05-08 Laminated heater with different heater trace materials

Country Status (4)

Country Link
JP (2) JP6907018B2 (en)
KR (2) KR102329513B1 (en)
CN (2) CN107393847B (en)
TW (2) TWI744323B (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7017957B2 (en) * 2018-03-14 2022-02-09 日本特殊陶業株式会社 Holding device
WO2020010153A1 (en) 2018-07-05 2020-01-09 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US11666170B2 (en) * 2019-02-08 2023-06-06 Lexmark International, Inc. Cooking device having a cooking vessel and a ceramic heater
KR102639158B1 (en) * 2019-07-23 2024-02-22 삼성전자주식회사 Wafer processing apparatus, and wafer processing method using the same
TWI710298B (en) * 2019-11-06 2020-11-11 台灣愛司帝科技股份有限公司 Interposer board having heating function and electronic device
CN114423101B (en) * 2020-10-28 2024-01-09 汉达精密电子(昆山)有限公司 Infrared heating device with photomask plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3172327B2 (en) 1993-05-12 2001-06-04 アルパイン株式会社 Car navigation system
JP2002373862A (en) 2001-06-15 2002-12-26 Ngk Spark Plug Co Ltd Ceramic heater

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03240961A (en) * 1990-02-19 1991-10-28 Toshiba Corp Substrate heating device
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
WO2004030411A1 (en) * 2002-09-27 2004-04-08 Sumitomo Electric Industries, Ltd. Wafer holder and semiconductor production system
JP3918806B2 (en) * 2003-11-20 2007-05-23 住友電気工業株式会社 Heater member for placing object to be heated and heat treatment apparatus
US20060000822A1 (en) * 2004-02-23 2006-01-05 Kyocera Corporation Ceramic heater, wafer heating device using thereof and method for manufacturing a semiconductor substrate
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
DE102006002636B4 (en) * 2006-01-19 2009-10-22 Saint-Gobain Sekurit Deutschland Gmbh & Co. Kg Tan-clear pane with a heatable layer system
KR20080037879A (en) * 2006-10-27 2008-05-02 주식회사 코미코 Heater and method of forming the same
JP5294570B2 (en) * 2007-04-26 2013-09-18 株式会社小松製作所 Stage for substrate temperature controller
JP4353289B2 (en) * 2007-08-20 2009-10-28 セイコーエプソン株式会社 Electronic device and electronic equipment
JP2009087928A (en) * 2007-09-13 2009-04-23 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method therefor
JP5368708B2 (en) * 2008-01-18 2013-12-18 株式会社小松製作所 Stage for substrate temperature controller
JP5163349B2 (en) * 2008-08-01 2013-03-13 住友大阪セメント株式会社 Electrostatic chuck device
KR101120599B1 (en) * 2008-08-20 2012-03-09 주식회사 코미코 Ceramic heater, method for manufacturing the same, and apparatus for depositing a thin film including the same
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5855402B2 (en) * 2010-09-24 2016-02-09 日本碍子株式会社 Susceptor and its manufacturing method
JP3172327U (en) * 2010-10-07 2011-12-15 日本碍子株式会社 Components for semiconductor manufacturing equipment
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) * 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
KR101914731B1 (en) * 2011-08-30 2018-11-02 와틀로 일렉트릭 매뉴팩츄어링 컴파니 Method of manufacturing a high definition heater system
US9012811B2 (en) * 2012-01-13 2015-04-21 Viasystems Technologies Corp. L.L.C. Printed circuit board with embedded heater
JP6049509B2 (en) * 2012-03-28 2016-12-21 日本碍子株式会社 Manufacturing method of ceramic heater, heater electrode and ceramic heater
JP6081292B2 (en) * 2012-10-19 2017-02-15 東京エレクトロン株式会社 Plasma processing equipment
EP3514700A1 (en) * 2013-02-20 2019-07-24 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
WO2015020813A1 (en) * 2013-08-06 2015-02-12 Applied Materials, Inc. Locally heated multi-zone substrate support

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3172327B2 (en) 1993-05-12 2001-06-04 アルパイン株式会社 Car navigation system
JP2002373862A (en) 2001-06-15 2002-12-26 Ngk Spark Plug Co Ltd Ceramic heater

Also Published As

Publication number Publication date
TWI744323B (en) 2021-11-01
TW201806441A (en) 2018-02-16
CN107426837B (en) 2021-09-21
KR102360248B1 (en) 2022-02-07
JP6960763B2 (en) 2021-11-05
CN107393847B (en) 2024-02-02
CN107393847A (en) 2017-11-24
JP2017216440A (en) 2017-12-07
JP6907018B2 (en) 2021-07-21
KR20170126803A (en) 2017-11-20
JP2017216439A (en) 2017-12-07
TW201802947A (en) 2018-01-16
CN107426837A (en) 2017-12-01
KR20170126802A (en) 2017-11-20

Similar Documents

Publication Publication Date Title
KR102329513B1 (en) Connections between laminated heater and heater voltage inputs
US11848177B2 (en) Multi-plate electrostatic chucks with ceramic baseplates
TWI783960B (en) Substrate support with improved process uniformity
KR102627019B1 (en) Pin lifter assembly with small gap
CN110337714B (en) Substrate support and substrate processing system
US10153136B2 (en) Hollow RF feed with coaxial DC power feed
KR102570498B1 (en) Long Life High Power Terminals for Substrate Support with Embedded Heating Elements
US10667379B2 (en) Connections between laminated heater and heater voltage inputs
US10764966B2 (en) Laminated heater with different heater trace materials
US20230274954A1 (en) Substrate supports with multilayer structure including coupled heater zones with local thermal control
US20230253193A1 (en) Substrate support with uniform temperature across a substrate
US20210047732A1 (en) Connector for substrate support with embedded temperature sensors
US20230197420A1 (en) Monobloc pedestal for efficient heat transfer
WO2021168027A1 (en) Coolant channel with internal fins for substrate processing pedestals
KR20220024568A (en) Reduced Diameter Carrier Ring Hardware for Substrate Processing Systems

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant