JP6907018B2 - Connection between laminated heater and heater voltage input - Google Patents

Connection between laminated heater and heater voltage input Download PDF

Info

Publication number
JP6907018B2
JP6907018B2 JP2017093093A JP2017093093A JP6907018B2 JP 6907018 B2 JP6907018 B2 JP 6907018B2 JP 2017093093 A JP2017093093 A JP 2017093093A JP 2017093093 A JP2017093093 A JP 2017093093A JP 6907018 B2 JP6907018 B2 JP 6907018B2
Authority
JP
Japan
Prior art keywords
heating
substrate support
compartment
layer
electrical connection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017093093A
Other languages
Japanese (ja)
Other versions
JP2017216439A (en
Inventor
ユマ・オークラ
ダレル・エ−ルリヒ
エリック・エー.・ペープ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/586,203 external-priority patent/US10667379B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017216439A publication Critical patent/JP2017216439A/en
Application granted granted Critical
Publication of JP6907018B2 publication Critical patent/JP6907018B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/28Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor embedded in insulating material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/02Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support

Description

関連出願への相互参照
本願は、2016年5月10日出願の米国仮特許出願第62/334,097号および2016年5月10日出願の米国仮特許出願第62/334,084号の利益を主張する。
Cross-reference to related applications This application benefits from US Provisional Patent Application No. 62 / 334,097 filed May 10, 2016 and US Provisional Patent Application No. 62 / 334,084 filed May 10, 2016. Insist.

本願は、2017年5月3日出願の米国特許出願第15/586,178号に関連する。上記の出願の開示全体が、参照によって本明細書に組み込まれる。 This application is related to U.S. Patent Application No. 15 / 586,178 filed May 3, 2017. The entire disclosure of the above application is incorporated herein by reference.

本開示は、基板処理システムに関し、特に、基板支持体温度を制御するためのシステムおよび方法に関する。 The present disclosure relates to substrate processing systems, and in particular to systems and methods for controlling substrate support temperatures.

本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。 The description of the background art provided herein is for the purpose of schematically presenting the background of the present disclosure. The work of the inventor named herein, to the extent described in this background art, with respect to the present disclosure, both expressly and implicitly, with aspects described that would not normally be considered as prior art at the time of filing. Not recognized as a prior art.

半導体ウエハなどの基板を処理するために、基板処理システムが利用されうる。基板に実行されうる処理の例は、化学蒸着(CVD)、原子層蒸着(ALD)、導電体エッチング、および/または、その他のエッチング、蒸着、もしくは、洗浄処理を含むが、これらに限定されない。基板は、基板処理システムの処理チャンバ内の基板支持体(ペデスタル、静電チャック(ESC)など)上に配置されうる。エッチング中、1または複数の前駆体を含むガス混合物が、処理チャンバに導入されてよく、プラズマが、化学反応を開始するために利用されうる。 A substrate processing system can be used to process substrates such as semiconductor wafers. Examples of treatments that can be performed on the substrate include, but are not limited to, chemical vapor deposition (CVD), atomic layer deposition (ALD), conductor etching, and / or other etching, vapor deposition, or cleaning treatments. The substrate can be placed on a substrate support (pedestal, electrostatic chuck (ESC), etc.) in the processing chamber of the substrate processing system. During etching, a gas mixture containing one or more precursors may be introduced into the processing chamber and plasma can be utilized to initiate a chemical reaction.

ESCなどの基板支持体は、基板を支持するよう構成されたセラミック層を備えうる。例えば、基板は、処理中にセラミック層にクランプされうる。加熱層が、基板支持体のセラミック層およびベースプレートの間に配置されうる。単に例として、加熱層は、加熱素子、配線などを含むセラミック加熱プレートでありうる。基板の温度は、加熱プレートの温度を制御することによって処理工程中に制御されうる。 A substrate support such as an ESC may include a ceramic layer configured to support the substrate. For example, the substrate can be clamped to the ceramic layer during processing. A heating layer may be placed between the ceramic layer of the substrate support and the base plate. By way of example, the heating layer can be a ceramic heating plate that includes heating elements, wiring, and the like. The temperature of the substrate can be controlled during the processing process by controlling the temperature of the heating plate.

基板処理システムのための基板支持体が:複数の加熱区画と;ベースプレートと;ベースプレート上に配置された加熱層と;加熱層上に配置されたセラミック層と;ベースプレート、加熱層を通して、複数の加熱区画の内の第1区画内のセラミック層内に提供された配線とを備える。電気接続が、第1区画内の配線からセラミック層を横切って、複数の加熱区画の内の第2区画まで、そして、第2区画内の加熱層の加熱素子までルーティングされる。 The substrate support for the substrate processing system is: with multiple heating compartments; with the base plate; with the heating layer placed on the base plate; with the ceramic layer placed on the heating layer; with the base plate, multiple heating through the heating layer. It comprises the wiring provided in the ceramic layer in the first compartment within the compartment. Electrical connections are routed from the wiring in the first compartment across the ceramic layer to the second compartment of the plurality of heating compartments and to the heating elements of the heating layer in the second compartment.

別の特徴において、電気接続は、プリント基板上のパターンによる電気配線(以下、電気トレースという)に対応する。電気接続は、ベースプレートを通して提供された配線とは異なる第2配線に対応する。第2区画は、第1区画の半径方向外側に配置される。電気接続は、加熱素子よりも低い電気抵抗を有する。 In another feature, the electrical connection corresponds to electrical wiring (hereinafter referred to as electrical trace) with a pattern on the printed circuit board. The electrical connection corresponds to a second wire that is different from the wire provided through the base plate. The second compartment is arranged radially outside the first compartment. Electrical connections have lower electrical resistance than heating elements.

別の特徴において、基板支持体は、第1区画内のベースプレート、加熱層、および、セラミック層を通して提供されたビアを備え、配線は、ビアを通してルーティングされる。電気接続は、はんだ接続および導電性エポキシの少なくとも一方を用いて、加熱素子の接続点に結合される。 In another feature, the substrate support comprises vias provided through the base plate, heating layer, and ceramic layer in the first compartment, and the wiring is routed through the vias. The electrical connection is coupled to the connection point of the heating element using at least one of a solder connection and a conductive epoxy.

さらに別の特徴において、基板支持体は、第2区画内のセラミック層および加熱層を通して提供されたビアを備える。ビアは、導電材料で充填されて、電気接続を加熱素子の接続点に結合する。基板支持体は、電気接続と加熱素子との間に配置されたコンタクトパッドを備える。コンタクトパッドは、セラミック層内に配置された第1部分と、加熱層内に配置された第2部分とを備える。ビアは、導電材料で充填される。導電材料は、コンタクトパッドの第1部分とコンタクトパッドの第2部分との間に提供される。 In yet another feature, the substrate support comprises vias provided through a ceramic layer and a heating layer in the second compartment. The vias are filled with a conductive material to couple the electrical connection to the connection point of the heating element. The substrate support comprises a contact pad disposed between the electrical connection and the heating element. The contact pad comprises a first portion disposed within the ceramic layer and a second portion disposed within the heating layer. The vias are filled with a conductive material. The conductive material is provided between the first portion of the contact pad and the second portion of the contact pad.

詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。 The detailed description, claims, and drawings reveal additional areas to which this disclosure can be applied. The detailed description and specific examples are for illustration purposes only and are not intended to limit the scope of the present disclosure.

本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。 The present disclosure can be more fully understood from the detailed description and the accompanying drawings described below.

本開示の原理に従って、基板支持体を備えた基板処理システムの一例を示す機能ブロック図。FIG. 6 is a functional block diagram showing an example of a substrate processing system provided with a substrate support according to the principle of the present disclosure.

本開示の原理に従って、静電チャックの一例を示す説明図。Explanatory drawing which shows an example of the electrostatic chuck according to the principle of this disclosure.

本開示の原理に従って、静電チャック例の区画および温度制御素子を示す説明図。Explanatory drawing which shows the section and a temperature control element of an example of an electrostatic chuck according to the principle of this disclosure.

本開示の原理に従って、静電チャックのセラミック層を通して電気接続をルーティングする例を示す説明図。Explanatory drawing which shows an example of routing an electrical connection through a ceramic layer of an electrostatic chuck according to the principle of this disclosure.

本開示の原理に従って、セラミック層と加熱層との間の第1例の接続を示す説明図。Explanatory drawing which shows the connection of 1st example between a ceramic layer and a heating layer according to the principle of this disclosure. 本開示の原理に従って、セラミック層と加熱層との間の第1例の接続を示す説明図。Explanatory drawing which shows the connection of 1st example between a ceramic layer and a heating layer according to the principle of this disclosure.

本開示の原理に従って、セラミック層と加熱層との間の第2例の接続を示す説明図。Explanatory drawing which shows the connection of 2nd example between a ceramic layer and a heating layer according to the principle of this disclosure. 本開示の原理に従って、セラミック層と加熱層との間の第2例の接続を示す説明図。Explanatory drawing which shows the connection of 2nd example between a ceramic layer and a heating layer according to the principle of this disclosure.

本開示の原理に従って、セラミック層と加熱層との間の第3例の接続を示す説明図。Explanatory drawing which shows the connection of 3rd example between a ceramic layer and a heating layer according to the principle of this disclosure. 本開示の原理に従って、セラミック層と加熱層との間の第3例の接続を示す説明図。Explanatory drawing which shows the connection of 3rd example between a ceramic layer and a heating layer according to the principle of this disclosure.

図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。 The same reference numerals may be used in the drawings to identify similar and / or identical elements.

静電チャック(ESC)などの基板支持体が、1または複数の加熱区画を備えてよい(例えば、マルチゾーンESC)。ESCは、加熱層の各区画のためのそれぞれの加熱素子を備えてよい。加熱素子は、それぞれの区画の各々における所望の設定温度におおよそ達するように制御される。 A substrate support such as an electrostatic chuck (ESC) may include one or more heating compartments (eg, multi-zone ESC). The ESC may include a respective heating element for each compartment of the heating layer. The heating element is controlled to approximately reach the desired set temperature in each of the respective compartments.

加熱層は、基板支持体の上部セラミック層とベースプレートとの間に配置された積層加熱プレートを備えてよい。加熱プレートは、ESCの区画にわたって配置された複数の加熱素子を備える。加熱素子は、ベースプレートを通してESCの下方の電圧源から供給された電圧入力を受ける電気トレースまたはその他の配線を備える。例えば、ベースプレートは、加熱プレート内の加熱素子の接続点と整列された1または複数のビア(例えば、ホールまたはアクセスポート)を備えてよい。配線は、ベースプレート内のビアを通して、電圧源と加熱素子の接続点との間に接続される。 The heating layer may include a laminated heating plate disposed between the upper ceramic layer of the substrate support and the base plate. The heating plate comprises a plurality of heating elements arranged across the compartments of the ESC. The heating element comprises an electrical trace or other wiring that receives a voltage input supplied from a voltage source below the ESC through the base plate. For example, the base plate may include one or more vias (eg, holes or access ports) aligned with the connection points of the heating elements in the heating plate. The wiring is connected between the voltage source and the connection point of the heating element through vias in the base plate.

通例は、ヒータ除外区画(すなわち、加熱素子を配置できない区画)をなくすと共に、温度不均一性を低減するために、ビアを通してルーティングされるビアおよび配線が、加熱素子の対応する接続点に可能な限り近くなるようにすることが望ましい。例えば、ビアは、接続点の真下に配置されうる。しかしながら、一部のESCでは、様々な構造的フィーチャが、ほとんどの望ましい位置にビア、配線、および、その他の加熱素子構成要素を提供することを妨げうる。結果的に、ビアおよび対応する配線は、さらに離れて配置される場合がある、および/または、ESCの目的区画の外側に配置される場合がある。例えば、内側区画、中間内側区画、中間外側区画、および、外側区画を有するESCにおいて、外側区画のためのビアおよび配線は、中間外側区画の下に配置され、結果として、非対照の加熱パターンおよび温度の不均一性につながりうる。 Typically, vias and wiring routed through the vias are possible at the corresponding junctions of the heating elements to eliminate heater exclusion compartments (ie, compartments where heating elements cannot be placed) and reduce temperature non-uniformity. It is desirable to be as close as possible. For example, the via can be placed directly below the connection point. However, in some ESCs, various structural features can prevent providing vias, wiring, and other heating element components in most desirable locations. As a result, the vias and corresponding wires may be located further apart and / or outside the ESC's destination compartment. For example, in an ESC having an inner compartment, an intermediate inner compartment, an intermediate outer compartment, and an outer compartment, vias and wiring for the outer compartment are placed below the intermediate outer compartment, resulting in an uncontrolled heating pattern and It can lead to temperature non-uniformity.

本開示の原理に従ったシステムおよび方法は、加熱プレート上方のセラミック層を通して電圧入力と加熱プレートとの間の接続を提供する。換言すると、配線が、ベースプレートおよび加熱層内のビアを通してセラミック層内へ上向きに提供される。セラミック層内で、配線は、電気トレース、コンタクトなどを備えてよく、加熱層の所望の接続点に向かって水平にルーティングされ(すなわち、横断し)、その後、所望の接続点で加熱層内へ下向きに戻る。したがって、ビアとそれぞれの接続点との間の電気接続は、セラミック層に埋め込まれ、電圧入力のためのビアおよび配線と接続点との間の距離を最小化する必要がない。このように、セラミック層を通して電気接続をルーティングすることで、設計の柔軟性(例えば、ビアの位置)が改善され、ヒータ除外区画が減り、ESCにわたる温度の均一性が改善される。 Systems and methods according to the principles of the present disclosure provide a connection between the voltage input and the heating plate through a ceramic layer above the heating plate. In other words, the wiring is provided upwards into the ceramic layer through the vias in the base plate and heating layer. Within the ceramic layer, the wiring may be equipped with electrical traces, contacts, etc., routed horizontally (ie, across) towards the desired connection point of the heating layer and then into the heating layer at the desired connection point. Go back down. Therefore, the electrical connection between the vias and their respective connection points is embedded in the ceramic layer, eliminating the need to minimize the distance between the vias and wiring for voltage input and the connection points. In this way, routing electrical connections through the ceramic layer improves design flexibility (eg, via location), reduces heater exclusion compartments, and improves temperature uniformity across the ESC.

ここで、図1を参照すると、基板処理システムの一例100が示されている。単に例として、基板処理システム100は、RFプラズマを用いたエッチングおよび/またはその他の適切な基板処理を実行するために用いられてよい。基板処理システム100は、基板処理チャンバ102の他の構成要素を収容すると共にRFプラズマを閉じ込める基板処理チャンバ102を備える。基板処理チャンバ102は、上側電極104と、基板支持体106(静電チャック(ESC)など)とを備える。動作中、基板108が、基板支持体106上に配置される。具体的な基板処理システム100およびチャンバ102が一例として示されているが、本開示の原理は、その場でプラズマを生成する基板処理システム、(例えば、マイクロ波チューブを用いて)遠隔プラズマの生成および供給を実施する基板処理システムなど、他のタイプの基板処理システムおよびチャンバに適用されてもよい。 Here, referring to FIG. 1, an example 100 of a substrate processing system is shown. As merely an example, the substrate processing system 100 may be used to perform etching and / or other suitable substrate processing with RF plasma. The substrate processing system 100 includes a substrate processing chamber 102 that accommodates other components of the substrate processing chamber 102 and confine RF plasma. The substrate processing chamber 102 includes an upper electrode 104 and a substrate support 106 (electrostatic chuck (ESC) or the like). During operation, the substrate 108 is placed on the substrate support 106. Although specific substrate processing systems 100 and chambers 102 are shown as examples, the principles of the present disclosure are substrate processing systems that generate in-situ plasma, such as the generation of remote plasma (using microwave tubes). And may be applied to other types of substrate processing systems and chambers, such as substrate processing systems that carry out the supply.

単に例として、上側電極104は、処理ガスを導入して分散させるシャワーヘッド109を備えてよい。シャワーヘッド109は、処理チャンバの上面に接続された一端を備えるステム部分を備えてよい。ベース部分は、略円筒形であり、処理チャンバの上面から離れた位置でステム部分の反対側の端部から半径方向外向きに広がる。シャワーヘッドのベース部分の基板対向面すなわちフェースプレートは、処理ガスまたはパージガスが流れる複数の穴を備える。あるいは、上側電極104は、導電性のプレートを備えてもよく、処理ガスは、別の方法で導入されてよい。 As a simple example, the upper electrode 104 may include a shower head 109 that introduces and disperses the processing gas. The shower head 109 may include a stem portion with one end connected to the top surface of the processing chamber. The base portion is substantially cylindrical and extends radially outward from the opposite end of the stem portion at a position away from the top surface of the processing chamber. The substrate facing surface or face plate of the base portion of the shower head is provided with a plurality of holes through which processing gas or purge gas flows. Alternatively, the upper electrode 104 may include a conductive plate, and the processing gas may be introduced in another way.

基板支持体106は、下側電極として機能する導電性のベースプレート110を備える。ベースプレート110は、セラミック層111を支持し、加熱プレート112が、ベースプレート110とセラミック層111との間に配置されている。単に例として、加熱プレート112は、積層マルチゾーン加熱プレートに対応してよい。熱抵抗層114(例えば、ボンド層)が、加熱プレート112とベースプレート110との間に配置されてよい。ベースプレート110は、ベースプレート110に冷却剤を流すための1または複数の冷却剤流路116を備えてよい。 The substrate support 106 includes a conductive base plate 110 that functions as a lower electrode. The base plate 110 supports the ceramic layer 111, and the heating plate 112 is arranged between the base plate 110 and the ceramic layer 111. By way of example only, the heating plate 112 may correspond to a laminated multi-zone heating plate. A thermal resistance layer 114 (eg, a bond layer) may be arranged between the heating plate 112 and the base plate 110. The base plate 110 may include one or more coolant channels 116 for flowing the coolant through the base plate 110.

RF発生システム120が、RF電圧を生成して、上側電極104および下側電極(例えば、基板支持体106のベースプレート110)の一方に出力する。上側電極104およびベースプレート110のもう一方は、DC接地、AC接地されるか、または、浮遊していてよい。単に例として、RF発生システム120は、整合/配電ネットワーク124によって上側電極104またはベースプレート110に供給されるRF電圧を生成するRF電圧発生器122を備えてよい。他の例において、プラズマは、誘導的にまたは遠隔で生成されてよい。例示の目的で示すように、RF発生システム120は、容量結合プラズマ(CCP)システムに対応するが、本開示の原理は、単に例として、トランス結合プラズマ(TCP)システム、CCPカソードシステム、遠隔マイクロ波プラズマ生成/供給システムなど、他の適切なシステムで実施されてもよい。 The RF generation system 120 generates an RF voltage and outputs it to one of the upper electrode 104 and the lower electrode (for example, the base plate 110 of the substrate support 106). The other side of the upper electrode 104 and the base plate 110 may be DC grounded, AC grounded, or floating. As merely an example, the RF generator system 120 may include an RF voltage generator 122 that generates an RF voltage that is supplied to the top electrode 104 or base plate 110 by the matching / distribution network 124. In another example, the plasma may be generated inductively or remotely. As illustrated for purposes of illustration, the RF generation system 120 corresponds to a capacitively coupled plasma (CCP) system, but the principles of the present disclosure are merely examples of trans-coupled plasma (TCP) systems, CCP cathode systems, remote microwaves. It may be implemented in other suitable systems, such as a wave plasma generation / supply system.

ガス供給システム130は、1または複数のガス源132−1、132−2、・・・、および、132−N(集合的に、ガス源132)を備えており、ここで、Nはゼロより大きい整数である。ガス源は、1または複数の前駆体およびそれらの混合物を供給する。ガス源は、パージガスを供給してもよい。気化した前駆体が用いられてもよい。ガス源132は、バルブ134−1、134−2、・・・、および、134−N(集合的に、バルブ134)ならびにマスフローコントローラ136−1、136−2、・・・、および、136−N(集合的に、マスフローコントローラ136)によってマニホルド140に接続されている。マニホルド140の出力は、処理チャンバ102に供給される。単に例として、マニホルド140の出力は、シャワーヘッド109に供給される。 The gas supply system 130 comprises one or more gas sources 132-1, 132-2, ..., And 132-N (collectively, gas sources 132), where N is from zero. It is a large integer. The gas source supplies one or more precursors and mixtures thereof. The gas source may supply purge gas. Vaporized precursors may be used. The gas sources 132 are valves 134-1, 134-2, ..., And 134-N (collectively, valves 134) and mass flow controllers 136-1, 136-2, ..., And 136-. It is connected to the manifold 140 by N (collectively, mass flow controller 136). The output of the manifold 140 is supplied to the processing chamber 102. By way of example only, the output of the manifold 140 is supplied to the shower head 109.

温度コントローラ142が、加熱プレート112に配置された複数の加熱素子144に電圧入力を供給してよい。例えば、加熱素子144は、マルチゾーン加熱プレートにおけるそれぞれの区画に対応する加熱素子、および/または、マルチゾーン加熱プレートの複数の区画にわたって配置されたマイクロ加熱素子のアレイを含みうるが、これらに限定されない。温度コントローラ142は、複数の加熱素子144を制御して基板支持体106および基板108の温度を制御するために用いられる。本開示の原理に従った基板支持体106は、後に詳述するように、セラミック層111を通して加熱素子144のための電気接続をルーティングする。 The temperature controller 142 may supply voltage inputs to the plurality of heating elements 144 arranged on the heating plate 112. For example, the heating element 144 may include, but is limited to, a heating element corresponding to each compartment in the multi-zone heating plate and / or an array of micro-heating elements arranged across multiple compartments of the multi-zone heating plate. Not done. The temperature controller 142 is used to control the plurality of heating elements 144 to control the temperatures of the substrate support 106 and the substrate 108. The substrate support 106 according to the principles of the present disclosure routes electrical connections for the heating element 144 through the ceramic layer 111, as described in detail later.

温度コントローラ142は、流路116を通る冷却剤の流れを制御するための冷却剤アセンブリ146と連通してよい。例えば、冷却剤アセンブリ146は、冷却剤ポンプおよび冷却剤リザーバを備えてよい。温度コントローラ142は、基板支持体106を冷却するために流路116を通して冷却剤を選択的に流すように、冷却剤アセンブリ146を作動させる。 The temperature controller 142 may communicate with the coolant assembly 146 to control the flow of coolant through the flow path 116. For example, the coolant assembly 146 may include a coolant pump and a coolant reservoir. The temperature controller 142 operates the coolant assembly 146 to selectively flow the coolant through the flow path 116 to cool the substrate support 106.

バルブ150およびポンプ152が、処理チャンバ102から反応物質を排出するために用いられてよい。システムコントローラ160が、基板処理システム100の構成要素を制御するために用いられてよい。ロボット170が、基板支持体106上へ基板を供給すると共に、基板支持体106から基板を除去するために用いられてよい。例えば、ロボット170は、基板支持体106およびロードロック172の間で基板を移送してよい。別個のコントローラとして示しているが、温度コントローラ142は、システムコントローラ160内に実装されてもよい。 A valve 150 and a pump 152 may be used to expel the reactants from the processing chamber 102. The system controller 160 may be used to control the components of the substrate processing system 100. The robot 170 may be used to supply the substrate onto the substrate support 106 and to remove the substrate from the substrate support 106. For example, the robot 170 may transfer the board between the board support 106 and the load lock 172. Although shown as a separate controller, the temperature controller 142 may be implemented within the system controller 160.

ここで、図2Aおよび図2Bを参照すると、一例としてのESC200が示されている。温度コントローラ204が、1または複数の電気接続208を介してESC200と通信する。例えば、電気接続208は、加熱素子212−1、212−2、212−3、および、212−4(集合的に加熱素子212と呼ぶ)を選択的に制御するための接続と、1または複数の区画温度センサ220から温度フィードバックを受けるための接続を含みうるが、これらに限定されない。 Here, with reference to FIGS. 2A and 2B, ESC200 is shown as an example. The temperature controller 204 communicates with the ESC 200 via one or more electrical connections 208. For example, the electrical connection 208 includes one or more connections for selectively controlling the heating elements 212-1, 212-2, 212-3, and 212-4 (collectively referred to as the heating element 212). Can include, but is not limited to, connections for receiving temperature feedback from the compartmentalized temperature sensor 220.

図に示すように、ESC200は、区画224−1、224−2、224−3、および、224−4(集合的に区画224と呼ばれる)を備えたマルチゾーンESCであり、これらの区画は、外側区画、中間外側区画、中間内側区画、および、内側区画と呼ばれてよい。外側区画は、最外区画に対応しうる。4つの同心の区画224が示されているが、実施形態において、ESC200は、1、2、3、または、4を超える区画224を備えてもよい。区画224の形状は様々であってよい。例えば、区画224は、四分円または別の格子状配列として提供されてもよい。区画224の各々は、単に例として、区画温度センサ220のそれぞれの1つおよび加熱素子212のそれぞれの1つを備える。実施形態において、区画224の各々は、2以上の温度センサ220を有してもよい。 As shown in the figure, the ESC 200 is a multi-zone ESC with compartments 224-1, 224-2, 224-3, and 224-4 (collectively referred to as compartments 224), and these compartments are It may be referred to as an outer compartment, an intermediate outer compartment, an intermediate inner compartment, and an inner compartment. The outer compartment may correspond to the outermost compartment. Although four concentric compartments 224 are shown, in embodiments, the ESC 200 may include compartments 224 greater than 1, 2, 3, or 4. The shape of the compartment 224 may vary. For example, compartment 224 may be provided as a quadrant or another grid array. Each of the compartments 224 comprises, by way of example, each one of the compartment temperature sensors 220 and each one of the heating elements 212. In embodiments, each compartment 224 may have two or more temperature sensors 220.

ESC200は、冷却剤流路232を備えたベースプレート228、ベースプレート228上に形成された熱抵抗層236、熱抵抗層236上に形成されたマルチゾーンセラミック加熱プレート240、および、加熱プレート240上に形成された上側セラミック層242を備える。電圧入力が、ベースプレート228およびセラミック層242を通してルーティングされた配線を用いて温度コントローラ204から加熱素子212へ供給される。 The ESC 200 is formed on a base plate 228 provided with a coolant flow path 232, a thermal resistance layer 236 formed on the base plate 228, a multi-zone ceramic heating plate 240 formed on the thermal resistance layer 236, and a heating plate 240. The upper ceramic layer 242 is provided. A voltage input is supplied from the temperature controller 204 to the heating element 212 using wiring routed through the base plate 228 and the ceramic layer 242.

温度コントローラ204は、所望の設定温度に従って、加熱素子212を制御する。例えば、温度コントローラ204は、(例えば、図1に示したようなシステムコントローラ160から)、区画224の内の1または複数のための設定温度を受信してよい。単に例として、温度コントローラ204は、区画224の全部または一部のための同じ設定温度、および/または、区画224の各々のための異なるそれぞれの設定温度を受信してよい。区画224の各々のための設定温度は、異なる処理または各処理の異なる工程で様々であってよい。 The temperature controller 204 controls the heating element 212 according to a desired set temperature. For example, temperature controller 204 may receive set temperatures for one or more of compartments 224 (eg, from system controller 160 as shown in FIG. 1). As merely an example, the temperature controller 204 may receive the same set temperature for all or part of the compartment 224 and / or different respective set temperatures for each of the compartments 224. The set temperature for each of the compartments 224 may vary in different treatments or in different steps of each treatment.

温度コントローラ204は、それぞれの設定温度と、センサ220によって提供された温度フィードバックとに基づいて、区画224の各々のための加熱素子212を制御する。例えば、温度コントローラ204は、センサ220の各々で設定温度を達成するために、加熱素子212の各々に提供される電力(例えば、電流またはデューティサイクル)を個別に調節する。加熱素子212は、図2Bの破線で概略的に表す単一の抵抗コイルまたはその他の構造を備えてよい。したがって、加熱素子212の1つを調節すれば、それぞれの区画224全体の温度に影響し、区画224の内の他の区画にも影響しうる。センサ220は、区画224の各々の局所的な部分のみについての温度フィードバックを提供してよい。単に例として、センサ220は、区画224の平均温度と最も密接な相関を有すると以前に決定された各区画224の部分に配置されてよい。 The temperature controller 204 controls the heating element 212 for each of the compartments 224 based on each set temperature and the temperature feedback provided by the sensor 220. For example, the temperature controller 204 individually adjusts the power (eg, current or duty cycle) provided to each of the heating elements 212 in order to achieve a set temperature on each of the sensors 220. The heating element 212 may comprise a single resistance coil or other structure schematically represented by the dashed line in FIG. 2B. Therefore, adjusting one of the heating elements 212 may affect the temperature of each compartment 224 as a whole and may affect the other compartments within the compartment 224. Sensor 220 may provide temperature feedback for only each local portion of compartment 224. By way of example only, the sensor 220 may be located in a portion of each compartment 224 previously determined to have the closest correlation with the average temperature of the compartment 224.

図に示すように、それぞれのビア246、250、および、254、ならびに、対応する電圧入力が、中間外側区画224−2、中間内側区画224−3、およに、内側区画224−4に提供される。本明細書で用いられているように、「ビア」とは、一般に、ベースプレート228などの構造を貫通する開口部、ポートなどのことであり、「配線」とは、ビア内部の導電材料のことである。ビアは、単に例として、特定の位置に対で示されているが、任意の適切な位置および/または数のビアが実装されてよい。例えば、ビア246、250、および、254は、ベースプレート228を貫通して提供され、配線は、ビア246、250、および、254を通してそれぞれの接続点に至るように提供される。しかしながら、外側区画224−1に対応するビア258が、ビア246、250、および、254よりも離れて配置されてよく、中間外側区画224−2に配置されてよい。換言すると、外側区画224−1の加熱素子のための配線は、外側区画224−1の真下に提供されない。したがって、外側区画224−1の加熱素子に電圧入力を提供するために、さらなる電気接続が必要である。 As shown, the respective vias 246, 250, and 254, as well as the corresponding voltage inputs, are provided in the intermediate outer compartment 224-2, the intermediate inner compartment 224-3, and the inner compartment 224-4. Will be done. As used herein, a "via" is generally an opening, a port, or the like that penetrates a structure such as a base plate 228, and a "wiring" is a conductive material inside the via. Is. Vias are shown in pairs at specific positions, by way of example only, but any suitable position and / or number of vias may be implemented. For example, vias 246, 250, and 254 are provided through the base plate 228, and wiring is provided through vias 246, 250, and 254 to reach their respective connection points. However, the via 258 corresponding to the outer compartment 224-1 may be located further than the vias 246, 250, and 254, and may be located in the intermediate outer compartment 224-2. In other words, the wiring for the heating element in the outer compartment 224-1 is not provided directly below the outer compartment 224-1. Therefore, additional electrical connections are needed to provide a voltage input to the heating elements in the outer compartment 224-1.

図3は、セラミック層408内にルーティングされた(例えば、横方向に横切る)電気接続404を有するESC400を例示する。セラミック層408は、単一の均一層として示されているが、一部の例においては、セラミック層408は、複数の異なる層、複数の層の中の1層などに対応してもよい。ESC400は、単に例として、(例えば、ESC400の半径方向最外区画に対応する)外側区画410−1、中間外側区画410−2、中間内側区画410−3、および、内側区画410−4(集合的に区画410と呼んでもよい)を含む複数の区画を有する。例えば、ベースプレート416内のビア412は、図2Aおよび図2Bで上述したように、ESC400の外側区画410−1の外側(例えば、中間外部区画410−2内)に配置されてよい。電圧入力(例えば、配線)420が、ビア412および加熱層424を通してセラミック層408内へルーティングされる。セラミック層408内で、電気接続404は、セラミック層408を横切って加熱層424内の接続点428に向かってルーティングされる。したがって、ESCの外側区画410−1内の加熱層424への電圧入力は、ベースプレート416およびセラミック層408を通して提供される。一部の例において、電気接続404は、電気トレースに対応する。別の例において、電気接続404は、配線を含む。例えば、電気接続404の配線は、電圧入力420の配線と同じであってもよいし、異なっていてもよい。 FIG. 3 illustrates an ESC 400 having an electrical connection 404 routed (eg, laterally crossing) within the ceramic layer 408. Although the ceramic layer 408 is shown as a single uniform layer, in some examples the ceramic layer 408 may correspond to a plurality of different layers, one layer among the plurality of layers, and the like. The ESC400 simply includes, by way of example, the outer compartment 410-1, the middle outer compartment 410-2, the middle inner compartment 410-3, and the inner compartment 410-4 (corresponding to the radial outermost compartment of the ESC400). It has a plurality of compartments including the compartment 410). For example, the via 412 in the base plate 416 may be located outside the outer compartment 410-1 of the ESC 400 (eg, in the intermediate outer compartment 410-2), as described above in FIGS. 2A and 2B. The voltage input (eg, wiring) 420 is routed into the ceramic layer 408 through the vias 412 and the heating layer 424. Within the ceramic layer 408, the electrical connection 404 is routed across the ceramic layer 408 towards the connection point 428 in the heating layer 424. Therefore, the voltage input to the heating layer 424 in the outer compartment 410-1 of the ESC is provided through the base plate 416 and the ceramic layer 408. In some examples, the electrical connection 404 corresponds to an electrical trace. In another example, the electrical connection 404 includes wiring. For example, the wiring of the electrical connection 404 may be the same as or different from the wiring of the voltage input 420.

セラミック層408内の電気接続404は、導電材料および/または(例えば、加熱層424の加熱素子436に対して)低い電気抵抗を有する寸法を備えてよい。単に例として、電気接続404は、タングステン、銅、マグネシウム、パラジウム、銀、および/または、それらの様々な合金を含んでよいが、これらに限定されない。逆に、加熱素子436は、ニッケル合金、鉄合金、タングステン合金などを含むが、これらに限定されない。加熱層424は、加熱素子436が中に埋め込まれたポリイミド、アクリル、シリコーンなどを含んでよい。 The electrical connection 404 in the ceramic layer 408 may have dimensions with a conductive material and / or low electrical resistance (eg, with respect to the heating element 436 of the heating layer 424). By way of example only, the electrical connection 404 may include, but is not limited to, tungsten, copper, magnesium, palladium, silver, and / or various alloys thereof. On the contrary, the heating element 436 includes, but is not limited to, nickel alloys, iron alloys, tungsten alloys and the like. The heating layer 424 may include polyimide, acrylic, silicone, or the like in which the heating element 436 is embedded.

図に示すように、ビア412が中間外側区画410−2に配置され、電気接続404が中間外側区画410−2からセラミック層408を横切って外側区画410−1へルーティングされているが、別の例において、ビア412が、区画410の任意の1つに配置され、電気接続404が、その他の区画410の任意の1つにルーティングされてもよい。一部の例において、電気接続404は、(例えば、中間内側区画410−3に位置するビアから外側区画410−1まで)区画410の内の複数の区画を横切ってルーティングされる。さらに、図に示すように、電気接続404は、半径方向内側の区画内のビアから半径方向外側の区画までルーティングされているが、別の例において、電気接続404は、半径方向外側の区画内のビアから半径方向内側の区画まで(例えば、外側区画410−1に位置するビアから中間内側区画410−3まで)ルーティングされる。 As shown in the figure, the via 412 is located in the intermediate outer compartment 410-2 and the electrical connection 404 is routed from the intermediate outer compartment 410-2 across the ceramic layer 408 to the outer compartment 410-1 but another. In the example, the via 412 may be located in any one of the compartments 410 and the electrical connection 404 may be routed to any one of the other compartments 410. In some examples, the electrical connection 404 is routed across multiple compartments within compartment 410 (eg, from vias located in intermediate inner compartment 410-3 to outer compartment 410-1). Further, as shown in the figure, the electrical connection 404 is routed from the via in the radial inner compartment to the radial outer compartment, but in another example, the electrical connection 404 is in the radial outer compartment. It is routed from the via to the inner section in the radial direction (for example, from the via located in the outer section 410-1 to the middle inner section 410-3).

ここで、図4Aおよび図4Bを参照すると、本開示の原理に従った第1構成例のESC450が示されている。図4Aは断面図であり、図4Bは平面図である。この例では、電気接続454(例えば、電気接続404に対応する)が、加熱層462上に形成されたセラミック層458を通してルーティングされている。例えば、電気接続454は、ESC450の中間外側区画から外側区画へルーティングされる。電気接続454は、導電材料470(例えば、はんだ、導電性エポキシなど)を用いて、加熱素子466の接続点へ電気的に結合される。 Here, with reference to FIGS. 4A and 4B, the ESC450 of the first configuration example according to the principle of the present disclosure is shown. FIG. 4A is a cross-sectional view, and FIG. 4B is a plan view. In this example, the electrical connection 454 (eg, corresponding to the electrical connection 404) is routed through a ceramic layer 458 formed on the heating layer 462. For example, the electrical connection 454 is routed from the intermediate outer compartment of the ESC 450 to the outer compartment. The electrical connection 454 is electrically coupled to the connection point of the heating element 466 using a conductive material 470 (eg, solder, conductive epoxy, etc.).

ここで、図5Aおよび図5Bを参照すると、本開示の原理に従った第2構成例のESC500が示されている。図5Aは断面図であり、図5Bは平面図である。この例では、電気接続504が、加熱層512上に形成されたセラミック層508を通してルーティングされている。例えば、電気接続504は、ESC500の中間外側区画から外側区画へルーティングされる。電気接続504は、導電材料524(例えば、はんだ、導電性エポキシなど)で充填されたビア520を用いて、加熱素子516の接続点へ電気的に結合される。例えば、ビア520は、電気接続504、セラミック層508、加熱層512、および、加熱素子516の対応する領域を通して形成され、導電材料524で充填される。 Here, with reference to FIGS. 5A and 5B, the ESC500 of the second configuration example according to the principle of the present disclosure is shown. 5A is a cross-sectional view and FIG. 5B is a plan view. In this example, the electrical connection 504 is routed through the ceramic layer 508 formed on the heating layer 512. For example, the electrical connection 504 is routed from the intermediate outer compartment of the ESC 500 to the outer compartment. The electrical connection 504 is electrically coupled to the connection point of the heating element 516 using vias 520 filled with a conductive material 524 (eg, solder, conductive epoxy, etc.). For example, the via 520 is formed through the electrical connection 504, the ceramic layer 508, the heating layer 512, and the corresponding regions of the heating element 516 and is filled with the conductive material 524.

ここで、図6Aおよび図6Bを参照すると、本開示の原理に従った第3構成例のESC600が示されている。図6Aは断面図であり、図6Bは平面図である。この例では、電気接続604が、加熱層612上に形成されたセラミック層608を通してルーティングされている。例えば、電気接続604は、ESC600の中間外側区画から外側区画へルーティングされる。電気接続604は、導電材料624(例えば、はんだ、導電性エポキシなど)で充填されたビア620と、電気接続604および加熱素子616の間に配置されたコンタクトパッド628とを用いて、加熱素子616の接続点へ電気的に結合される。例えば、ビア620は、電気接続604、セラミック層608、加熱層612、加熱素子616、および、コンタクトパッド628の対応する領域を通して形成され、導電材料624で充填される。 Here, with reference to FIGS. 6A and 6B, the ESC600 of the third configuration example according to the principle of the present disclosure is shown. FIG. 6A is a cross-sectional view, and FIG. 6B is a plan view. In this example, the electrical connection 604 is routed through a ceramic layer 608 formed on the heating layer 612. For example, the electrical connection 604 is routed from the intermediate outer compartment of the ESC 600 to the outer compartment. The electrical connection 604 uses a via 620 filled with a conductive material 624 (eg, solder, conductive epoxy, etc.) and a contact pad 628 located between the electrical connection 604 and the heating element 616 to provide a heating element 616. It is electrically coupled to the connection point of. For example, the via 620 is formed through the electrical connection 604, the ceramic layer 608, the heating layer 612, the heating element 616, and the corresponding regions of the contact pad 628 and is filled with the conductive material 624.

図に示すように、導電材料624は、コンタクトパッド628の別個の部分の間(すなわち、電気接続604に結合されたコンタクトパッド628の一部632と、加熱素子616に結合されたコンタクトパッド628の一部636との間)に提供されてよい。コンタクトパッド628の部分632および636は、同じ材料または異なる材料を含んでよい。例えば、部分632は、電気接続604と同じ材料を含んでよく、一方、部分636は、加熱素子616と同じ材料を含む。別の例において、コンタクトパッド628は、電気接続604および加熱素子616の両方にそれらを通して形成されたビア620で結合された単一の構造に対応してもよい。 As shown, the conductive material 624 is between separate portions of the contact pad 628 (ie, a portion 632 of the contact pad 628 coupled to the electrical connection 604 and a contact pad 628 coupled to the heating element 616. May be provided (with some 636). Parts 632 and 636 of the contact pad 628 may contain the same or different materials. For example, the portion 632 may contain the same material as the electrical connection 604, while the portion 636 contains the same material as the heating element 616. In another example, the contact pad 628 may correspond to a single structure coupled with vias 620 formed through both the electrical connection 604 and the heating element 616.

上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。 The above description is merely exemplary and is not intended to limit this disclosure, application, or usage. The broad teachings of the present disclosure can be implemented in various forms. Therefore, although the present disclosure includes specific examples, the true scope of the present disclosure is such that the drawings, the specification, and the following claims reveal other variations. The example is not limited to. It should be understood that one or more steps included in the method may be performed in different order (or at the same time) without altering the principles of the present disclosure. Further, although each of the embodiments is described as having specific features, any one or more of the features described for any of the embodiments of the present disclosure can be incorporated into other embodiments. It can be implemented in any and / or combined with features of any of the other embodiments, even if the combination is not explicitly stated. In other words, the embodiments described above are not mutually exclusive and it is within the scope of the present disclosure to replace one or more embodiments with each other.

要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。 Spatial and functional relationships between elements (eg, between modules, between circuit elements, between semiconductor layers) are "connected", "engaged", "coupled", It is described using various terms such as "adjacent", "close", "above", "above", "below", and "placed". When the relationships between the first and second elements are described in this disclosure, unless explicitly stated to be "direct", the relationships are such that the other intervening elements are the first and second elements. It can be a direct relationship that does not exist between the elements, but it can also be an indirect relationship in which one or more intervening elements exist (spatial or functional) between the first and second elements. It is possible. As used herein, the expression "at least one of A, B, and C" is meant to mean logic (A or B or C) using a non-exclusive OR. It should be interpreted and should not be interpreted to mean "at least one of A, at least one of B, and at least one of C".

いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(基板ペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外への基板移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。 In some embodiments, the controller is part of the system and the system may be part of the above example. Such systems include semiconductor processing equipment such as one or more processing tools, one or more chambers, one or more platforms for processing, and / or specific processing components (such as substrate pedestals, gas flow systems, etc.). Can be equipped. These systems may be integrated with electronic devices for controlling the operation of the system before, during, and after processing the semiconductor substrate. Electronic devices, also referred to as "controllers," can control various components or sub-components of a system. The controller can supply processing gas, temperature setting (eg heating and / or cooling), pressure setting, vacuum setting, power setting, radio frequency (RF) generator setting, RF, depending on the processing requirements and / or system type. This includes matching circuit settings, frequency settings, flow rate settings, fluid supply settings, position and operation settings, and board movement in and out of load locks connected or coupled to tools and other moving tools and / or specific systems. The specification may be programmed to control any of the disclosure processes.

概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体基板に対するまたは半導体基板のための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する。動作パラメータは、いくつかの実施形態において、基板の1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。 In general, a controller receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and so on, with various integrated circuits, logic, memory, and / or , May be defined as an electronic device with software. An integrated circuit executes a chip in the form of a firmware for storing program instructions, a digital signal processor (DSP), a chip defined as an application specific integrated circuit (ASIC), and / or a program instruction (eg, software). It may include one or more microprocessors or microcontrollers. Program instructions are transmitted to the controller in the form of various individual settings (or program files) and are operating parameters to or to the system to perform specific processing on or for the semiconductor substrate. Is defined. The operating parameters are, in some embodiments, one or more processing steps during the processing of one or more layers of the substrate, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and / or dies. May be part of a recipe defined by a processing engineer to achieve.

コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、基板処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。 In some embodiments, the controller is a computer that is integrated with the system, connected to the system, otherwise networked with the system, or combined with the system. It may be part or connected to such a computer. For example, the controller may be in the "cloud" or may be all or part of a fab host computer system that allows remote access to board processing. The computer monitors the current progress of the manufacturing operation by allowing remote access to the system to change the parameters of the current process, set the process according to the current process, or start a new process. You can look up the history of past manufacturing operations, look at trends or performance indicators from multiple manufacturing operations. In some examples, a remote computer (eg, a server) may provide processing recipes to the system over a network (which may include a local network or the Internet). The remote computer may include a user interface that allows input or programming of parameters and / or settings, and the parameters and / or settings are communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps performed during one or more operations. It should be understood that the parameters may be specific to the type of processing performed and the type of tool the controller is configured to interface with or control. Thus, as described above, the controllers may be distributed, such as by including one or more separate controllers that are networked and operate for a common purpose (such as the processing and control described herein). .. An example of a distributed controller for this purpose is one or more remotely deployed (such as at the platform level or as part of a remote computer) that collaborate to control processing in the chamber. One or more integrated circuits on the chamber that communicate with the integrated circuits of.

限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体基板の加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。 Examples of systems include, but are not limited to, plasma etching chambers or modules, vapor deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etching chambers or modules, physical deposition (PVD). Machining of Chambers or Modules, Chemical Deposition (CVD) Chambers or Modules, Atomic Layer Deposition (ALD) Chambers or Modules, Atomic Layer Etching (ALE) Chambers or Modules, Ion Injection Chambers or Modules, Track Chambers or Modules, and Semiconductor Substrates And / or any other semiconductor processing system that may be related to or utilized in manufacturing.

上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこから基板のコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。 As mentioned above, depending on one or more processing steps performed by the tool, the controller may have other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, nearby. Tools, tools located throughout the factory, main computer, another controller, or tools used to transport materials that carry a container of substrates to or from a tool location and / or load port within a semiconductor manufacturing plant. You may communicate with one or more of.

Claims (13)

基板処理システムのための基板支持体であって、
複数の加熱区画と、
ベースプレートと、
前記ベースプレート上に配置された加熱層と、
前記加熱層上に配置されたセラミック層と、
前記複数の加熱区画の内の第1区画内の前記セラミック層内に、前記ベースプレートおよび前記加熱層を通して提供された配線と、
前記第1区画内の前記配線から前記セラミック層を横切って、前記複数の加熱区画の内の第2区画まで、そして、前記第2区画内の前記加熱層の加熱素子までルーティングされた電気接続と
を備える基板支持体。
A substrate support for a substrate processing system
With multiple heating compartments
With the base plate
With the heating layer arranged on the base plate,
The ceramic layer arranged on the heating layer and
With the wiring provided through the base plate and the heating layer in the ceramic layer in the first compartment of the plurality of heating compartments.
With electrical connections routed from the wiring in the first compartment across the ceramic layer to the second compartment in the plurality of heating compartments and to the heating elements of the heating layer in the second compartment. Substrate support.
請求項1に記載の基板支持体であって、前記電気接続は、プリント基板上のパターンによる電気配線に対応する基板支持体。 The substrate support according to claim 1, wherein the electrical connection corresponds to electrical wiring according to a pattern on a printed circuit board. 請求項1に記載の基板支持体であって、前記電気接続は、前記ベースプレートを通して提供された前記配線とは異なる第2配線に対応する基板支持体。 The substrate support according to claim 1, wherein the electrical connection corresponds to a second wiring different from the wiring provided through the base plate. 請求項1に記載の基板支持体であって、前記第2区画は、前記第1区画の半径方向外側に配置される基板支持体。 The substrate support according to claim 1, wherein the second compartment is a substrate support arranged on the outer side in the radial direction of the first compartment. 請求項1に記載の基板支持体であって、さらに、前記第1区画内の前記ベースプレート、前記加熱層、および、前記セラミック層を通して提供されたビアを備え、前記配線は、前記ビアを通してルーティングされる基板支持体。 The substrate support of claim 1, further comprising vias provided through the base plate, the heating layer, and the ceramic layer in the first compartment, the wiring being routed through the vias. Board support. 請求項1に記載の基板支持体であって、前記電気接続は、前記加熱素子よりも低い電気抵抗を有する基板支持体。 The substrate support according to claim 1, wherein the electrical connection has a lower electrical resistance than the heating element. 請求項1に記載の基板支持体であって、前記電気接続は、はんだ接続および導電性エポキシの少なくとも一方を用いて、前記加熱素子の接続点に結合される基板支持体。 The substrate support according to claim 1, wherein the electrical connection is coupled to a connection point of the heating element using at least one of a solder connection and a conductive epoxy. 請求項1に記載の基板支持体であって、さらに、前記第2区画内の前記セラミック層および前記加熱層を通して提供されたビアを備える基板支持体。 The substrate support according to claim 1, further comprising vias provided through the ceramic layer and the heating layer in the second compartment. 請求項8に記載の基板支持体であって、前記ビアは、導電材料で充填されて、前記電気接続を前記加熱素子の接続点に結合する基板支持体。 The substrate support according to claim 8, wherein the via is filled with a conductive material to connect the electrical connection to the connection point of the heating element. 請求項8に記載の基板支持体であって、さらに、前記電気接続と前記加熱素子との間に配置されたコンタクトパッドを備える基板支持体。 The substrate support according to claim 8, further comprising a contact pad arranged between the electrical connection and the heating element. 請求項10に記載の基板支持体であって、前記コンタクトパッドは、前記セラミック層内に配置された第1部分と、前記加熱層内に配置された第2部分とを備える基板支持体。 The substrate support according to claim 10, wherein the contact pad includes a first portion arranged in the ceramic layer and a second portion arranged in the heating layer. 請求項11に記載の基板支持体であって、前記ビアは、導電材料で充填される基板支持体。 The substrate support according to claim 11, wherein the via is a substrate support filled with a conductive material. 請求項12に記載の基板支持体であって、前記導電材料は、前記コンタクトパッドの前記第1部分と前記コンタクトパッドの前記第2部分との間に提供される基板支持体。 The substrate support according to claim 12, wherein the conductive material is provided between the first portion of the contact pad and the second portion of the contact pad.
JP2017093093A 2016-05-10 2017-05-09 Connection between laminated heater and heater voltage input Active JP6907018B2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662334084P 2016-05-10 2016-05-10
US201662334097P 2016-05-10 2016-05-10
US62/334,097 2016-05-10
US62/334,084 2016-05-10
US15/586,203 2017-05-03
US15/586,203 US10667379B2 (en) 2016-05-10 2017-05-03 Connections between laminated heater and heater voltage inputs

Publications (2)

Publication Number Publication Date
JP2017216439A JP2017216439A (en) 2017-12-07
JP6907018B2 true JP6907018B2 (en) 2021-07-21

Family

ID=60338892

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017093093A Active JP6907018B2 (en) 2016-05-10 2017-05-09 Connection between laminated heater and heater voltage input
JP2017093096A Active JP6960763B2 (en) 2016-05-10 2017-05-09 Laminated heaters with different heater wiring materials

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017093096A Active JP6960763B2 (en) 2016-05-10 2017-05-09 Laminated heaters with different heater wiring materials

Country Status (4)

Country Link
JP (2) JP6907018B2 (en)
KR (2) KR102329513B1 (en)
CN (2) CN107426837B (en)
TW (2) TWI744323B (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7017957B2 (en) * 2018-03-14 2022-02-09 日本特殊陶業株式会社 Holding device
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US20200253409A1 (en) * 2019-02-08 2020-08-13 Lexmark International, Inc. Cooking device having a cooking vessel and a ceramic heater
KR102639158B1 (en) * 2019-07-23 2024-02-22 삼성전자주식회사 Wafer processing apparatus, and wafer processing method using the same
TWI710298B (en) * 2019-11-06 2020-11-11 台灣愛司帝科技股份有限公司 Interposer board having heating function and electronic device
CN114423101B (en) * 2020-10-28 2024-01-09 汉达精密电子(昆山)有限公司 Infrared heating device with photomask plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03240961A (en) * 1990-02-19 1991-10-28 Toshiba Corp Substrate heating device
JP3172327B2 (en) 1993-05-12 2001-06-04 アルパイン株式会社 Car navigation system
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
JP3713220B2 (en) * 2001-06-15 2005-11-09 日本特殊陶業株式会社 Ceramic heater
WO2004030411A1 (en) * 2002-09-27 2004-04-08 Sumitomo Electric Industries, Ltd. Wafer holder and semiconductor production system
JP3918806B2 (en) * 2003-11-20 2007-05-23 住友電気工業株式会社 Heater member for placing object to be heated and heat treatment apparatus
KR100782395B1 (en) * 2004-02-23 2007-12-07 쿄세라 코포레이션 Ceramic heater, wafer heating device using it, and method for producing semiconductor substrate
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
DE102006002636B4 (en) * 2006-01-19 2009-10-22 Saint-Gobain Sekurit Deutschland Gmbh & Co. Kg Tan-clear pane with a heatable layer system
KR20080037879A (en) * 2006-10-27 2008-05-02 주식회사 코미코 Heater and method of forming the same
JP5294570B2 (en) * 2007-04-26 2013-09-18 株式会社小松製作所 Stage for substrate temperature controller
JP4353289B2 (en) * 2007-08-20 2009-10-28 セイコーエプソン株式会社 Electronic device and electronic equipment
JP2009087928A (en) * 2007-09-13 2009-04-23 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method therefor
JP5368708B2 (en) * 2008-01-18 2013-12-18 株式会社小松製作所 Stage for substrate temperature controller
JP5163349B2 (en) * 2008-08-01 2013-03-13 住友大阪セメント株式会社 Electrostatic chuck device
KR101120599B1 (en) * 2008-08-20 2012-03-09 주식회사 코미코 Ceramic heater, method for manufacturing the same, and apparatus for depositing a thin film including the same
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5855402B2 (en) * 2010-09-24 2016-02-09 日本碍子株式会社 Susceptor and its manufacturing method
JP3172327U (en) * 2010-10-07 2011-12-15 日本碍子株式会社 Components for semiconductor manufacturing equipment
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) * 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US9196513B2 (en) * 2011-08-30 2015-11-24 Watlow Electric Manufacturing Company System and method for controlling a thermal array
US9012811B2 (en) * 2012-01-13 2015-04-21 Viasystems Technologies Corp. L.L.C. Printed circuit board with embedded heater
JP6049509B2 (en) * 2012-03-28 2016-12-21 日本碍子株式会社 Manufacturing method of ceramic heater, heater electrode and ceramic heater
JP6081292B2 (en) * 2012-10-19 2017-02-15 東京エレクトロン株式会社 Plasma processing equipment
EP2770442A3 (en) * 2013-02-20 2014-09-17 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
KR20180110213A (en) * 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 Locally heated multi-zone substrate support

Also Published As

Publication number Publication date
CN107393847B (en) 2024-02-02
JP2017216440A (en) 2017-12-07
JP6960763B2 (en) 2021-11-05
CN107426837B (en) 2021-09-21
KR102329513B1 (en) 2021-11-23
CN107426837A (en) 2017-12-01
TW201806441A (en) 2018-02-16
TW201802947A (en) 2018-01-16
KR20170126803A (en) 2017-11-20
JP2017216439A (en) 2017-12-07
TWI744323B (en) 2021-11-01
KR102360248B1 (en) 2022-02-07
CN107393847A (en) 2017-11-24
KR20170126802A (en) 2017-11-20

Similar Documents

Publication Publication Date Title
JP6907018B2 (en) Connection between laminated heater and heater voltage input
JP7454600B2 (en) Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
JP7266524B2 (en) Substrate support with improved process uniformity
US10153136B2 (en) Hollow RF feed with coaxial DC power feed
KR20190058679A (en) Pin lifter assembly with small gap
US10667379B2 (en) Connections between laminated heater and heater voltage inputs
JP2022500865A (en) Long-life high-output terminals for substrate supports with embedded heating elements
US10725485B2 (en) System and method for calculating substrate support temperature
US20220238360A1 (en) Rf immune sensor probe for monitoring a temperature of an electrostatic chuck of a substrate processing system
JP7454504B2 (en) Determination and control of substrate temperature during substrate processing
JP2023524651A (en) Floating PCB design for board support assembly
KR102535097B1 (en) Boltless board support assembly
US10764966B2 (en) Laminated heater with different heater trace materials
JP7361709B2 (en) Connector for substrate support with embedded temperature sensor
US20230197420A1 (en) Monobloc pedestal for efficient heat transfer
US20230274954A1 (en) Substrate supports with multilayer structure including coupled heater zones with local thermal control
JP2023550333A (en) Substrate support with uniform temperature across the substrate
KR20230142334A (en) Hybrid liquid/air cooling system for TCP windows

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171006

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200507

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210526

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210601

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210630

R150 Certificate of patent or registration of utility model

Ref document number: 6907018

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150