KR102314170B1 - 듀얼 소스 사이클론 플라스마 반응기를 사용하여 유리 뱃치를 처리하는 방법 및 장치 - Google Patents

듀얼 소스 사이클론 플라스마 반응기를 사용하여 유리 뱃치를 처리하는 방법 및 장치 Download PDF

Info

Publication number
KR102314170B1
KR102314170B1 KR1020167030116A KR20167030116A KR102314170B1 KR 102314170 B1 KR102314170 B1 KR 102314170B1 KR 1020167030116 A KR1020167030116 A KR 1020167030116A KR 20167030116 A KR20167030116 A KR 20167030116A KR 102314170 B1 KR102314170 B1 KR 102314170B1
Authority
KR
South Korea
Prior art keywords
plasma
containment vessel
glass batch
batch material
khz
Prior art date
Application number
KR1020167030116A
Other languages
English (en)
Other versions
KR20160138531A (ko
Inventor
다니엘 로버트 보턴
Original Assignee
코닝 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 코닝 인코포레이티드 filed Critical 코닝 인코포레이티드
Publication of KR20160138531A publication Critical patent/KR20160138531A/ko
Application granted granted Critical
Publication of KR102314170B1 publication Critical patent/KR102314170B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03BMANUFACTURE, SHAPING, OR SUPPLEMENTARY PROCESSES
    • C03B19/00Other methods of shaping glass
    • C03B19/10Forming beads
    • C03B19/109Glass-melting furnaces specially adapted for making beads
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03BMANUFACTURE, SHAPING, OR SUPPLEMENTARY PROCESSES
    • C03B19/00Other methods of shaping glass
    • C03B19/10Forming beads
    • C03B19/1005Forming solid beads
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03BMANUFACTURE, SHAPING, OR SUPPLEMENTARY PROCESSES
    • C03B19/00Other methods of shaping glass
    • C03B19/10Forming beads
    • C03B19/1095Thermal after-treatment of beads, e.g. tempering, crystallisation, annealing
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03BMANUFACTURE, SHAPING, OR SUPPLEMENTARY PROCESSES
    • C03B3/00Charging the melting furnaces
    • C03B3/02Charging the melting furnaces combined with preheating, premelting or pretreating the glass-making ingredients, pellets or cullet
    • C03B3/026Charging the melting furnaces combined with preheating, premelting or pretreating the glass-making ingredients, pellets or cullet by charging the ingredients into a flame, through a burner or equivalent heating means used to heat the melting furnace
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/2406Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/2406Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes
    • H05H1/2443Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes the plasma fluid flowing through a dielectric tube
    • H05H1/2465Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes the plasma fluid flowing through a dielectric tube the plasma being activated by inductive coupling, e.g. using coiled electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/466Radiofrequency discharges using capacitive coupling means, e.g. electrodes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P40/00Technologies relating to the processing of minerals
    • Y02P40/50Glass production, e.g. reusing waste heat during processing or shaping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Thermal Sciences (AREA)
  • Fluid Mechanics (AREA)
  • Plasma Technology (AREA)
  • Glass Compositions (AREA)
  • Glass Melting And Manufacturing (AREA)

Abstract

유리 뱃치 재료를 플라스마 격납 용기 내로 공급하고; 플라스마 가스의 하나 이상의 소스들을 플라스마 격납 용기의 내부 볼륨 내로 안내하되, 플라스마 가스가 플라스마 격납 용기 내에서 사이클론 방식으로 소용돌이 치는 방식으로 안내하며; 그리고 플라스마 격납 용기의 내부 볼륨 내의 플라스마 플륨의 생산을 용이하게 하기 위해 플라스마 가스에 제 1 및 제 2 전자기장들을 적용하는 방법 및 장치가 제공되며, 이때 플라스마 플륨은 일반적인 원통형 구성을 가지며, 그리고 유리 뱃치 재료가 열적 반응하도록 하기 위해 충분한 열 에너지를 가진다.

Description

듀얼 소스 사이클론 플라스마 반응기를 사용하여 유리 뱃치를 처리하는 방법 및 장치{METHODS AND APPARATUS FOR GLASS BATCH PROCESSING USING DUAL SOURCE CYCLONIC PLASMA REACTOR}
본 출원은 35 U.S.C.§ 120 하에, 2014년 3월 31일자로 출원된 미국 출원 제14/230846호의 우선권 주장 출원이고, 상기 미국 출원의 내용은 참조로서 전부다 본원에 병합된다.
본 개시내용은 듀얼 소스, 사이클론, 열 플라스마 반응기를 사용하여 재료를 처리하는 방법 및 장치에 관한 것이다.
유리 기판들은 창들, 고성능 디스플레이 디바이스들, 및 다수의 다른 적용물들을 포함한 다양한 적용물들에서 사용될 수 있다. 유리 기판들에 대한 품질 요건들은 개선된 해상도, 선명도 및 성능에 대한 요구가 증가될 시에 보다 엄중해졌다. 그러나, 유리 품질은 유리 용융물의 형성으로부터 유리 제품의 최종 패키징 까지 다양한 공정 단계들에 의해 부정적인 영향을 받을 수 있다.
감소된 유리 품질을 초래할 수 있는 하나의 공정 단계는 용융 공정이며, 유리 뱃치 재료 (glass batch material)의 컴포넌트들은 용융 장치에서 혼합되고 가열된다. 이러한 공정 동안, 유리 뱃치 재료의 컴포넌트들은 용융 및 반응하고, 반응 가스를 방출하며, 이는 용융된 유리에서 버블들을 만들어 낸다. 추가로, 용융 공정은 서로 다른 화학적 조성물들의 영역들을 가진 비-동질 유리 용융물을 만들어 낼 수 있다. 형성되기 위한 제 1 용융물은 내화성 재료들과 자주 강하게 반응하는데, 이때 상기 내화성 재료들은 장치의 마모 및/또는 유리 용융물에서의 결함들을 과하게 일으킨다. 용융물의 보다 밀집한 부분들은 또한 용융 장치의 바닥에 가라앉을 수 있어서, 나머지 용융물과는 서로 다른 광학 속성들을 가질 수 있고 전체 용융물 내로 다시 완전하게 혼합되기에 어려운 슬러지 층 (sludge layer)을 일으킨다. 그러므로, 슬러지 층은 초드 (chord)로서 기술분야에서 그리고 본원에서 언급되는, 용융물의 비-동질 부분들을 초래한다. 마지막으로, 통상적으로 큰 공정 볼륨들로 인해, 다양한 유리 뱃치 재료들이 완전하게 용융될 수 없을 수 있다. 임의의 비-용융되거나 부분적으로 용융된 재료들은 용융 공정을 통하여 운반되며, 그리고 추후에 유리 제품에서의 결함들이 될 수 있다.
고 품질 광학 유리를 만들어 내는 현재 용융 공정들은 고온을 이용하고, 유리 용융물로부터 버블들을 제거하기 위해 교반된다. 그러나, 상기와 같은 공정들은, 이들이 공정 장비를 위하여 고가의 금속들 및 특별하게 설계된 고온 내화성 재료들을 필요로 하기 때문에 비용이 많이 들어갈 수 있다. 더욱이, 비용이 많이 들어가는 이들 용융 시스템들은, 반응 가스들이 유리 용융물에서 빠져나가는데 이동하는 장거리를 가지고 슬러지 층이 용융기 탱크의 바닥으로부터 탱크 내의 나머지 유리 용융물 내로 혼합되어 고 점성 유체를 통하여 장거리에 걸친 혼합 모션을 필요로 해야 하기 때문에, 긴 공정 시간 및 고 에너지 지출을 필요로 한다.
유리 버블들 및 유리 용융물에서의 비-동질 부분들을 방지하는 대안적인 방법들은 보다 작은 뱃치들에서 용융물을 공정화하는 것을 포함한다. 이러한 방식으로, 가스 버블들은 용융물에서 빠져나가는데 이동하는 단거리를 가지며, 그리고 슬러지 층은 나머지 용융물 내로 보다 손쉽게 통합될 수 있다. 그러나, 많은 소형 스케일 공정들에서 있는 바와 같이, 이들 방법들은 증가된 공정 시간 및 비용 등의 다양한 결점들을 가진다.
이에 따라서, 고 품질 광학 유리를 만들어 내기 위하여 유리 뱃치 재료들의 용융 공정들을 개선시키는 기술들이 기술 분야에 필요한다.
본 개시내용은, 처리될 재료가 일반적인 원통형 구성을 한 플라스마 플륨 (plume) 내로 재료 입자들의 유동으로서 제공되는 대기 열 플라스마에 의해 채료를 처리하는 것 (예를 들어, 유리 뱃치 재료)에 관한 것이다.
플라스마 플륨을 만들어 내기 위하여, 적어도 제 1 및 제 2 소스들의 전자기장들 (electromagnetic fields)이 플라스마 가스로 제공되고, 그 결과 플라스마 플륨은 적어도 9,000 K, 예를 들어, 11,603 K (즉, 1.602 x 10-19J 또는 1 eV)의 전자 온도를 이룬다. 플라스마 가스의 충전된 입자들은 이온화를 만들어 내고 플라스마 가스에서 모멘텀 및 운동 에너지를 이온들 및 전자들에 제공하기 위하여 전자기장들에 의해 가속화된다. 이온들 및 전자들이 재료 (예를 들어, 파우더 형태를 한 유리 뱃치 재료)와 충돌할 시에, 운동 에너지는 재료로 전달된다. 운동 에너지의 전달은 열적 반응이 있는 지점 (예를 들어, 부분적인 용융, 완전한 용융, 및/또는 일부 다른 또는 추가 반응을 일으킴)까지 재료의 내부 온도를 상승시킨다.
상업적인 목적을 위해서, 대기 열 플라스마 공정이 높은 처리량 및 원하는 열적 반응 (들)을 달성하기에 충분한 열 에너지를 나타내는 것이 중요하다.
ICP (유도성 연결 플라스마, inductively coupled plasma) 시스템들은 기판들 상에서 저압 스퍼터링 (sputtering) 및 에칭 시스템들을 위해 사용되어 왔다. 유도성 연결 대기 플라스마 재료 공정 시스템들은, 플라스마를 작은 볼륨의 컬럼 (volumetric column)으로 제한시키는 작은 직경 코일들 또는 마이크로파 도파관들 (통상적으로 약 5 mm 직경)로 일반적으로 구성된다. 그러한 시스템이 상대적으로 높은 파워 RF 소스 (예컨대, 약 400 kW)를 매우 높은 장비 비용으로 사용할지라도, 미립자 재료의 낮은 비율 (예컨대, 시간당 20-40 kg)만 플라스마를 통하여 처리될 수 있다. 유리 뱃치 공정 정황에서, 현실적인 생산 비율은 하루당 적어도 하나의 메트릭 톤 (metric ton)이고, 이는 최대 생산 하루 24 시간으로 종래의 ICP 시스템을 사용하여 거의 충족되지 않을 수 있다. 공정률의 단점들을 해결하기 위하여, 다수의 장비 셋업, 에너지, 및 유지 보수 비용이 필요할 수 있다.
종래의 ICP 시스템이 가진 또 다른 문제점은 허용 가능한 유입 입자 크기들. 통상적으로 약 90 ㎛ 이하의 입자 크기에 대한 제한이다. ICP 플라스마 시스템에서 그러한 작은 입자들의 자유 낙하 특징들은 입자들의 충분한 가열이 약 300 ms 이하의 기간 내에 달성되도록 하는 점이다. 입자들이 크고 용융되기에 충분한 열을 흡수하지 않는 경우, 한번 통과된 처리 입자들은 시스템을 통하여 다시 재순환되어야 하며, 이로 인해 처리량 효율이 더 감소될 수 있다.
입자들이 플라스마 플륨 내에 있는 시점이 체류 시간 (residence time)으로서 산업계에서 알려져 있다. 체류 시간이 길수록, 입자들이 열을 흡수하는 시간도 길어진다. 보다 긴 체류 시간은 보다 큰 입자들이 처리되도록 한다. 최대 1000 ㎛ (또는 그 초과) 입자들이 충분한 체류 시간 (예컨대, 300 ms 보다 긴, 예를 들어 1 초 또는 그 초과) 동안 플라스마 플륨에 잔류할 경우, 단위 시간당 처리된 재료양은 상술된 ICP 시스템에서 이룰 수 있는 것보다 더 클 수 있으며, 그리고 현실적인 생산 요구들을 충족시킬 수 있다.
CCP (용량성 연결 플라스마, capacitively coupled plasma) 시스템들은 고체 기판들이 처리되는 저압 반응 공정, 스퍼터링, PECVD 공정, 박막 PVD 등을 위해 사용되어 왔다. 용량성 연결 플라스마 시스템들은 또한, 작은 컬럼 플라스마 볼륨들 (이 역시 약 5 mm 직경) 및 그 결과로서 낮은 미립자 재료 처리율을 만들어 내는, 별개의 캐패시터 판들의 역할을 할 수 있는 동심 튜브들과 함께 사용되어왔다. 평행한 용량성 판 배치가 대기 플라스마를 만들어 내지만, 그러나 공정 일부로서 어떠한 열도 기본적으로 가지지 않은 대기 일정의 글로 방전 (atmosphere uniform glow discharge) 플라스마 시스템이 사용되어 왔다.
플라스마 플륨에서 처리되는 입자들을 위해 체류 시간을 증가시키는 노고가 기술 분야에서 이루어져 왔다. 예를 들어, 소용돌이치기로 플라스마 가스의 회전은 2 개의 접선 방향으로 그리고 서로 반대의 배향된 DC 중공 (hollow) 캐소드 플라스마 토치들 (torches)을 사용하여 시도되어왔다. 소용돌이치는 것은 제어 가능한 지속 시간동안 플라스마 플륨에 입자들을 유지시키는 경향이 있다. 그러한 시스템에서, 입자들이 플라스마 플륨을 통하여 보다 긴 나선 경로를 횡단할 시에 (직선 자유 낙하 경로와는 대조적으로) 체류 시간은 예측될 수 있다. 중공 캐소드 플라스마 토치들의 사용에 있어 큰 문제점은, 각각의 코일들이 캐소드들 주위에서 둘러싸져야 하며, 그리고 캐소드들이 고온발광에 대해 가열되어야 하며, 이로 인해 전자들의 열 이온 방출이 만들어 질 수 있다는 점이다. 전자들은 애노드를 향해 그리고 캐소드들로부터 멀리 스트림을 만들어 낸다. 플라스마 가스 (예컨대, 아르곤)가 캐소드들을 통해 그리고 애노드를 거쳐 날릴 시에, 아르곤의 이온화 및 플라스마화가 만들어지고, 캐소드들 및 애노드의 분해를 초래하며, 이는 플라스마 플륨을 오염시키고, 결과적으로 용융물을 오염시킨다. 상술된 사이클론 플라스마 시스템에 의한 추가적이 문제점들은 플라스마 온도의 열 제어 및 반응기에 걸친 플라스마 플륨의 열 프로파일의 결여를 포함하고, 이는 고르지 못한 난류 특징을 초래한다. 입자들이 플라스마 플륨에서 모멘텀을 잃어버리기 때문에, 이들은 고온 존들 내외로 손쉽게 회전할 수 있고, 그러므로 고르지 않게 가열된다.
본원에서 개시된 하나 이상의 실시예들은 제어 가능한 플라스마 온도를 플륨 내에서 실질적으로 고르게 제공함으로써, 열적으로 균일하고 저 난류 플라스마를 제공한다. 이로써, 입자들이 자유 낙하 유도성 연결 플라스마 시스템들과 비교하여 보다 긴 체류 시간에 따라 사이클론으로 유출구를 향하여 이동할 시에, 입자들은 반응기를 통하여 균일한 플라스마 밀도를 받는다.
한 예를 들면, 하나 이상의 실시예들은 어떠한 전극들 또는 유도성 컴포넌트들도 플라스마 환경에 배치되지 않아, 그러한 요소들의 분해 및 그에 따른 플라스마 플륨의 오염을 방지하는 플라스마 반응기를 사용할 수 있다. 실시예들은 수직 방향으로 배향된 중심 축과 함께 일반적인 원통형 내부 볼륨을 정의하는 일반적인 원통형 구성의 플라스마 격납 용기를 사용할 수 있다. 무선 주파수 (RF) 용량성 듀얼 링 장치 (capacitive dual ring arrangement)는 플라스마 격납 용기 주위에 배치되고, 이때 제 1 링 전극은 대지 퍼텐셜로 되고, 제 2 링 전극은 RF 퍼텐셜로 된다. RF 소스는 제 1 및 제 2 링 전극들에 상대적으로 높은 주파수 파워, 예를 들면, 적어도 1 MHz, 그리고 바람직하게는 약 1 내지 50 MHz를 제공한다. 2 개의 링 전극들은 플라스마 격납 용기의 중심 축의 방향으로 내부 볼륨을 일반적으로 횡단하는 필드 라인들 (field lines)을 가진 전자기장을 만들어 낸다. 접선 방향의 플라스마 가스 유입구는 플라스마 격납 용기의 상단 말단에 위치되며, 그리고 접선 방향의 플라스마 가스 유출구는 플라스마 격납 용기의 마주하는 하단 말단에 위치된다. 플라스마 가스는, 수직 축 주위를 회전하는, 플라스마 격납 용기의 내부 볼륨에서 가스의 사이클론을 만들어 내기 위해 상대적으로 큰 속도로 유입구를 통하여 도입된다. 플라스마 가스가 회전할 시에, 플라스마 가스는 제 1 및 제 2 링 전극들에 의해 만들어진 전자기장 라인들과 만나고, 이로 인해 이온화 및 플라스마화가 만들어진다.
추가적으로, 플라스마 격납 용기는, 인덕션 코일이 배치된 밀폐 실링된 공간을 정의하는, 중앙으로 배치된 내부 챔버를 포함할 수 있다. 인덕션 코일은 약 10 kHz 내지 약 250 KHz 등의 상대적으로 낮은 주파수 파워 소스를 통해 구동된다. 인덕션 코일은 밀폐 실링된 공간 내에서 그의 위치에 의해 플라스마 환경에 노출되지 않는다. 인덕션 코일은 사이클론 플라스마 가스에서 큰 순환 기류를 유도시킴으로써, 플라스마 가스의 열 에너지를 플라스마 격납 용기 내에서 증가시킨다. 기류는 옴 (저항) 및/또는 줄 발열을 통해 플라스마 가스를 과열시킨다. 플라스마 플륨의 온도는 인덕션 코일을 통해 전류를 조정시킴으로써 제어될 수 있다.
특히, 링 전극들 및 인덕션 코일로부터의 각각의 전자기장들은 함께 사용된다. RF 전자기장로부터의 RF 에너지는 플라스마 플륨을 점화 및 유지시키기 위해 사용되며, 그리고 인덕션 코일로부터의 저주파 에너지는 플라스마 플륨의 온도를 제어가능하게 증가/감소시키기 위해 사용된다. 재료 (예컨대, 유리 뱃치 재료)가 플라스마 격납 용기의 상단 말단 내로 도입될 시에, 재료는 중심 축 주위에서 회전하기 위해 플라스마 가스의 사이클론에 의해 영향을 받는다. 재료는 시간에 따라 모멘텀을 잃어버리고, 플라스마 격납 용기의 하단 말단을 향하여 소용돌이치고, 이때 반응된 재료는 유출구를 통하여 배출된다. 반응된 재료는 냉각 (즉, 담금질) 및 수집될 수 있다.
본원에서 개시된 실시예들은 산업 스케일 적용들을 제공하기 위해 존재하는 시스템들의 저 미립자 재료 처리율을 극복한다. 실시예들은 대기압으로 플라스마의 큰 볼륨을 제공하며, 그리고 재료를 가열시키기 위해 플라스마 플륨 내에 충분한 운동 에너지를 만들어 내고, 용융 및/또는 다른 열 기반 공정들을 포함하여 원하는 반응을 달성한다.
다른 양태들, 특징들 및 이점들은 첨부된 도면들과 함께 본원에서 취해진 설명으로부터 기술 분야의 통상의 기술자에게 명백할 것이다.
예시 목적을 위해, 현재 바람직한 도면들에 도시된 형성물들이 있지만, 그러나 이해되는 바와 같이, 본원에서 개시 및 기술된 실시예들은 도시된 정밀 배치 및 수단에 제한되지 않는다.
도 1은 본 개시내용의 하나 이상의 실시예들에 따른 유리 뱃치 재료를 용융시키기 위한 시스템의 개략적인 예시이고;
도 2는 도 1 및/또는 다른 실시예들의 시스템에서 사용 가능한 플라스마 격납 용기의 하나 이상의 실시예들의 개략적인 측면 예시이고;
도 3은 도 2 및/또는 다른 실시예들의 플라스마 격납 용기의 개략적인 상부 예시이고;
도 4는 도 2 및/또는 다른 실시예들의 플라스마 격납 용기 내에서 만들어진 플라스마 플륨의 개략적인 예시이고;
도 5는 대안적인 실시예의 플라스마 격납 용기의 개략적인 상부 예시이며; 그리고
도 6은 열 공정화를 받는 도 5의 플라스마 격납 용기 내의 수많은 화합물들의 개략적인 예시이다.
동일 번호가 동일 요소들을 나타내는 도면을 참조하여 보면, 도 1에는 플라스마 열 소스를 사용하여 재료를 처리하는 시스템 (100)이 도시되어 있다. 재료는 일반적인 미립자 형태일 수 있으며, 그리고 임의의 적합한 재료, 예를 들어 유리 뱃치 재료일 수 있다.
유리 뱃치 재료 및 그의 변형물 어구는 본원에서 유리 전구체 입자들의 혼합물을 나타내기 위해 사용되고, 이때 상기 유리 전구체 입자들은 용융, 반응 및/또는 다른 액션이 있을 시에 유리 재료를 형성하기 위해 결합된다. 유리 뱃치 재료는 유리 전구체 입자들을 결합시키는, 임의의 공지된 방법에 의해 준비 및/또는 혼합될 수 있다. 예를 들어, 소정의 비-제한적인 실시예들에서, 유리 뱃치 재료는 예컨대, 임의의 솔벤트 또는 액체 없이 유리 전구체 입자들의 건조 또는 실질적인 건조 혼합물을 포함한다. 다른 실시예들에서, 유리 뱃치 재료는 슬러리의 형태로, 예를 들어 액체 또는 솔벤트가 있을 시에 유리 전구체 입자들의 혼합물일 수 있다. 다양한 실시예들에 따라서, 유리 뱃치 재료는 유리 전구체 재료들, 예를 들면 실리카, 알루미나, 및 다양한 추가 산화물들, 예를 들면, 붕소, 마그네슘, 칼슘, 소듐, 스트론튬, 주석 또는 티타늄 산화물들을 포함할 수 있다. 예를 들면, 유리 뱃치 재료는 하나 이상의 추가적인 산화물과의 실리카 및/또는 알루미나의 혼합물일 수 있다. 기술 분야의 통상의 기술자가 인식할 수 있는 바와 같이, 유리 뱃치 재료는 화합물들 및 물질의 광범위한 특정 조합물을 사용할 수 있다.
시스템 (100)의 상세한 논의는 이제 도 1-4를 참조하여 제공될 것이다. 도 2-3은 플라스마 격납 용기 (200)의 하나 이상의 실시예들 각각을 측면 및 상부 개략 예시들이며, 그리고 도 4는 플라스마 격납 용기 (200) 내에서 만들어진 플라스마 플륨의 사시 개략도이다.
도 1을 참조하여 보면, 유리 전구체 입자들은 뱃치 공급기 및/또는 혼합기 (102) 내로 들어가게 되고 (이곳에서 입자들은 혼합됨), 그 다음에 혼합된 입자들은 뱃치 시프터 (sifter) (104) 내로 공급될 수 있다 (이곳에서 임의의 응집물들이 분해됨). 유리 뱃치 재료 (10)는 다음 중 적어도 하나의 평균 입자 크기를 가질 수 있다: (i) 적어도 약 10 ㎛, (ii) 적어도 약 50 ㎛, (iii) 적어도 약 75 ㎛, (iv) 적어도 약 100 ㎛, (v) 적어도 약 150 ㎛, (vi) 적어도 약 200 ㎛, (vii) 적어도 약 500 ㎛, (viii) 적어도 약 750 ㎛, (ix) 적어도 약 1000 ㎛, 및 (x) 약 5 내지 약 1000 ㎛. 유리 뱃치 재료 (10) (화살표로 표시된 10 및 12로 예시된 흐름)는 뱃치 시프터 (104)에서 빠져나와 플라스마 격납 용기 (200)로 들어간다. 유리 뱃치 재료 (10)는 플라스마 격납 용기 (200) 내의 플라스마 플륨 (220)에 노출되고, 이때 플라스마 플륨 (220)은 유리 뱃치 재료 (10)의 적어도 열적 반응으로 하여금 열적 반응된 유리 뱃치 재료 (12)를 만들어 내기에 충분한 열 에너지를 가진다.
본원에서 고려된 열적 반응들의 유형 예시들은 다음 중 적어도 하나를 포함한다: (i) 열적 반응된 유리 뱃치 재료 (12)를 만들어 내기 위해 유리 뱃치 재료 (10)를 적어도 부분적으로 용융시키는 것, (ii) 유리 뱃치 재료 및 하나 이상의 추가 재료들 중 적어도 하나를 적어도 부분적으로 용융시켜 코팅된 유리 뱃치 재료 입자들 (12)을 형성하는 것, 및 (iii) 실질적으로 동질한 회전 타원체 형상 (spheroid-shaped) 유리 개재 (intermediate) 입자들 (12)을 형성하기 위해 유리 뱃치 재료를 적어도 부분적으로 용융시키는 것. 기술 분야의 통상의 기술자가 인식할 수 있는 바와 같이, 플라스마 격납 용기 (200) 내의 열적 반응들 (및/또는 다른 반응들)의 유형들은 기술적 수준으로 보아 명백한 바아 같이 추가적인 반응들을 얼마든지 포함할 수 있다. 한 예를 들면, 유리 뱃치는 코팅된 유리 뱃치 재료 입자들을 형성하기 위해, 각각의 금속 또는 금속 산화물 등을 포함하여, 은, 구리, 주석, 실리콘 또는 또 다른 반도체 재료를 포함한 추가 재료와 함께 적어도 부분적으로 용융될 수 있다. 은 또는 구리와 함께 코팅된 유리 입자들은 예를 들면 항균성 속성들을 가질 수 있으며, 그리고 주석 산화물로 코팅된 유리 입자들은 광활성일 수 있다.
플라스마 격납 용기 (200) 내의 플라스마 플륨 (220)을 용이하게 하기 위하여, 플라스마 가스 (110)의 하나 이상의 소스들이 용기 (200)에 연결된다. 냉각 유체 (120) (예를 들면, 공기, 물 등)의 하나 이상의 소스들은 또한 플라스마 플륨 (220)이 있을 시에, 구조체의 온도를 제어하기 위하여 플라스마 격납 용기 (200)에 연결될 수 있다.
본원에서 보다 상세하게 기술될 바와 같이, 플라스마 가스는 제 1 및 제 2 전자기장들을 받는다. 제 1 전자기장은 제 1 링 전극과 제 2 링 전극 (202, 204) 사이에서 전기장을 확립하기 위해, 상기 제 1 및 제 2 링 전극들을 구동시키는 RF 파워 소스 (130) (임피던스 정합 네트워크를 포함할 수 있음)에 의해 만들어진다. 제 2 전자기장은 인덕션 코일 (206)을 구동시키는 AC 파워 소스 (140)에 의해 만들어진다. 제어 유닛 (150) (예를 들면 소프트웨어 프로그램의 영향하에 동작하는 마이크로프로세서)은 플라스마 플륨 (220)의 소정의 특징 및 플라스마 플륨 (220) 내의 입자 역동성의 소정의 특징들에 영향을 미치기 위해, RF 파워 소스 (130), AC 파워 소스 (140), 및/또는 플라스마 가스 (110)의 하나 이상의 소스들로 시그널링을 제공할 수 있다. 상술된 컴포넌트들의 적당한 셋팅들로, 플라스마 플륨 (220)은 유리 뱃치 재료 (10)에서 열적 반응의 원하는 레벨을 일으키기는 충분한 에너지를 달성할 수 있다.
열적 반응된 유리 뱃치 재료 (12)는 수집 용기 (170)에 축적된다. 수집 이후에, 열적 반응된 유리 뱃치 재료 (12)는 추가 및/또는 옵션의 공정 단계들을 받을 수 있다.
도 2-4를 참조하여 보면, 플라스마 격납 용기 (200)는 중심 축 (212), 유입구 말단 (214), 및 마주하는 유출구 말단 (216)을 가진 내부 볼륨 (218)을 정의한 적어도 하나의 벽 부재 (210)를 포함한다. 바람직한 실시예에서, 적어도 하나의 벽 부재 (210)는 원통형의 형태를 하고 있어, 원통형 형상의 내부 볼륨 (218)을 정의한다. 밀폐 실링된 챔버는 플라스마 격납 용기 (200)의 내부 볼륨 (218) 내에 배치된, 바람직하게는 중앙에 위치된 적어도 하나의 추가 벽 부재 (208)로 형성된다. 본원에서 나중에 보다 상세하게 논의될 바와 같이, 인덕션 코일 (206)은 밀폐 실링된 챔버 내에 배치된다.
벽 부재들 (208, 210)은, 적합한 비-전도성, 비-부식성, 고온 유전체 재료, 예를 들면 고온 세라믹 재료들, 바람직하게는 초저 열 팽창 계수를 갖는 고온 세라믹 재료들, 예컨대, 10x10-7/℃ 미만의 열 팽창 계수, 예를 들어 20℃ 내지 320℃의 범위에 걸친 5.5x10-7/℃의 열 팽창 계수를 가진 용융 석영 (fused quartz)으로 형성될 수 있다. 플라스마 격납 용기 (200)의 하나 이상의 컴포넌트들의 냉각을 허용하기 위하여, 벽 부재들 (208, 210) 중 하나 이상 (및 바람직하게는 둘 다)은 냉각 유체를 운반하도록 동작하는 하나 이상의 내부 채널들을 포함할 수 있다. 이에 대하여, 내부 채널들은 냉각 유체 (120)의 하나 이상의 소스들과 유체 연통하는 각각의 유입구/유출구들 (미도시)을 통해 액세스될 수 있다.
플라스마 격납 용기 (200)는 또한 유입구 말단에 배치된 유입구 구조체 (230)를 포함할 수 있다. 유입구 구조체 (230)의 기본 목적은 공급부로부터 예를 들면 뱃치 시프터 (104)로부터 유리 뱃치 재료 (10)를 받고 플라스마 격납 용기 (200)의 내부 볼륨 (218) 내로 재료 (10)를 안내시키는 것에 있고, 그 결과 이것은 플라스마 플륨 (220)에 의해 둘러싸일 수 있다. 유입구 구조체 (230)는 임의의 적합한 재료, 예를 들면 비-자성 합금, 예를 들어 황동, 구리, 스테인리스 강 등으로 형성될 수 있다.
유입구 구조체 (230)는 플라스마 가스 (110)의 하나 이상의 소스들로부터 플라스마 가스를 받는 적어도 하나의 가스 유입구 (226)를 포함할 수 있다. 이하에서 본원에서 보다 상세하게 논의될 수 있는 바와 같이, 플라스마 가스는 아르곤, 공기, 헬륨, 질소, 산소, 및 그의 혼합물들 중 적어도 하나를 포함할 수 있다. 하나 이상의 실시예들에서, 적어도 하나의 가스 유입구 (226)는 하나 이상의 제 1 소스들 (110-1)로부터 하나 이상의 플라스마 가스 유형들을 받고, 하나 이상의 제 2 소스들 (110-2)로부터 하나 이상의 플라스마 가스 유형들을 받기 위해 사용될 수 있다. 적어도 하나의 가스 유입구 (226)는, 플라스마 가스가 중심 축 (212)에 대해 접선 방향으로 안내되도록, 내부 볼륨 (218)의 유입구 말단 (214) 내로 플라스마 가스를 제공하기 위하여 크기조정, 형상화 및 배향된다. 유입구 구조체 (230)는 또한 플라스마 가스의 배출을 위해 유출구 말단 (216)에 근접하게 배치된 적어도 하나의 가스 유출구 (228)를 포함할 수 있다.
적어도 하나의 가스 유입구 (226) 및 적어도 하나의 가스 유출구 (228)는, 플라스마 가스가 사이클론, 소용돌이치는 방식으로 내부 볼륨 (218)을 통해 소용돌이치도록 크기조정, 형상화, 및 배향된다. 도 2-3에 도시된 바와 같이, 가스 유입구 (226)는 플라스마 격납 용기 (200)의 상단 말단에 위치되고, 그리고 내부 볼륨 (218)의 아치형 단면에 대해 접선 배향으로 위치된다. 유사하게, 유출구 (228)는 플라스마 격납 용기 (200)의 하단 말단에, 또한 내부 볼륨 (218)의 아치형 단면에 대해 접선 배향으로 위치된다. 벽 부재 (210), 및 유입구 (226) 및 유출구 (228)의 구성의 기하학적인 구조로 인해, 플라스마 가스는 실질적인 원형의 사이클론 모션으로 힘을 받고, 그 이후에 유출구 (228)를 통해 배출된다.
유입구 구조체 (230)는 또한 유리 뱃치 재료 (10)를 플라스마 격납 용기 (200) 내로 수용하는 재료 유입구 (232)를 포함할 수 있다. 재료 유입구 (232)는 플라스마 격납 용기 (200)의 유입구 말단 (214)에 근접하여 배치되며, 그리고 내부 볼륨 (218)의 유입구 말단 (214)에 근접하여 유리 뱃치 재료 (10)를 제공하기 위해 크기조정, 형상화, 및 배향된다. 재료 유입구 (232)는 뱃치 시프터 (104) (또는 다른 공급부)로부터의 상호 보완적인 크기 및 형상의 도관 (미도시)과 일치시키기 위해, 플랜지 (flange), 컬러 (collar), 튜브 등의 형태를 할 수 있다. 바람직하게, 재료 유입구 (232)는, 유리 뱃치 재료가 플라스마 격납 용기 (200)의 중심 축 (212)에 대해 일반적으로 평행하여 제공되며, 그리고/또는 중심 축에 대해 접선 방향으로 내부 볼륨 (218)으로 들어가는 플라스마 가스에 대해 횡단하도록 배향된다. 이는 유리 뱃치 재료 (10)가 플라스마 플륨 (220) 주위를 소용돌이치는 것을 확보한다.
유입구 구조체 (230)는 또한 플라스마 플륨 (220)이 있을 시에 유입구 구조체 (230)를 냉각시키기 위해, 유체를 운반하도록 동작하는 하나 이상의 내부 채널들을 포함할 수 있다. 이에 대하여, 내부 채널들은 냉각 유체 (120-1, 120-2) 중 하나 이상의 소스들과 유체 연통하는 각각의 유입구/유출구들 (미도시)을 통해 액세스될 수 있다. 유출구들은 냉각 유체 소스 (들) (120)로의 재순환을 위해 온기의 유체가 배출 또는 처리되도록 한다.
플라스마 격납 용기 (200)는 또한 플라스마 격납 용기 (200)의 적어도 하나의 벽 부재 (210)의 외부 주위에서 환형으로 배치된 제 1 및 제 2 링 전극들 (202, 204)을 포함할 수 있다. 제 1 및 제 2 전극들 (202, 204)은, 또 다른 전도성 재료, 예를 들면 은, 금 등으로 도금될 수 있는 구리 등의 전도성 재료로 형성된다. 제 1 링 전극 (202)은 유입구 말단 (214) 주위에서 환형으로 배치되며, 그리고 제 2 링 전극 (204)은 유출구 말단 (216) 주위에서 환형으로 배치된다. 202, 202-1, 204, 및 204-1로 표시된 요소들에 의해 예시된 바와 같이, 링 전극들의 설치를 위해 이용 가능한 다수의 배향들이 있다. 상술된 바와 같이, 제 1 및 제 2 링 전극들 (202, 204)은 제 1 전자기장을 만들어 내기에 충분한 특징들을 가진 RF 파워를 수신하기 위해 동작 가능하다. 특히, 제 1 전자기장은, 제 1 링 전극과 제 2 링 전극들 (202, 204) 사이에서 이어지고 플라스마 격납 용기 (200)의 중심 축 (212)과 일반적으로 평행한 필드 라인들을 가진다. 적어도 하나의 벽 부재 (210)가 유전체 재료로 형성되고 내부 볼륨 (218)이 대기 조건들 (또한 유전체)에 있을 시에, 조합은 전기 용량의 구조체를 초래한다.
제 1 및 제 2 링 전극들 (202, 204)은 RF 파워 소스 (130) (및 임피던스 정합 네트워크)로부터 RF 파워를 수신하고, 이때 RF 파워는 소정의 주파수 및 파워 특징들을 가진다. 예를 들어, RF 파워는 제 1 전자기장이 다음 중 적어도 하나의 주파수를 나타내도록 하는 주파수에 있을 수 있다: (i) 적어도 1 MHz, (ii) 적어도 3 MHz, (iii) 적어도 4 MHz, (iv) 적어도 5 MHz, (v) 적어도 10 MHz, (vi) 적어도 15 MHz, (vii) 적어도 20 MHz, (viii) 적어도 30 MHz, (ix) 적어도 40 MHz, 및 (x) 약 1 내지 50 MHz. RF 파워는 5 kW 내지 1 MW의 파워 레벨 (또는 다른 적합한 파워 레벨)에 있을 수 있다.
상기에서 간략하게 논의된 바와 같이, 인덕션 코일 (206)은 플라스마 격납 용기의 중심 축 (212)을 따라 배치되며, 그리고 AC 파워 소스 (140)로부터 AC 파워를 수신하기 위해 동작 가능하다. AC 파워의 특징들은 인덕션 코일 (206)의 코어를 통하여, 그리고 플라스마 격납 용기 (200)의 중심 축 (212)에 일반적으로 평행한 내부 볼륨 (218)을 통하여 이어진 필드 라인들을 가진 제 2 전자기장을 만들어 내기에 충분하다. AC 파워는 제 2 전자기장이 다음 중 적어도 하나의 주파수를 나타내도록 하는 특징을 가질 수 있다: (i) 적어도 10 kHz, (ii) 적어도 15 kHz, (iii) 적어도 20 kHz, (iv) 적어도 30 kHz, (v) 적어도 40 kHz, (vi) 적어도 50 kHz, (vii) 적어도 100 kHz, (viii) 적어도 150 kHz, (ix) 적어도 200 kHz, 및 (x) 약 10 내지 250 kHz. AC 파워는 5 kW 내지 1 MW의 파워 레벨 (또는 다른 적합한 파워 레벨)에 있을 수 있다.
도 4에 예시된 바와 같이, 플라스마 플륨 (220)은 실질적인 원통형 형상 (그러나 완전한 원통형은 아님)을 가질 수 있으며, 그리고 유리 뱃치 재료로 하여금 열적 반응하도록 하는 충분한 열 에너지를 가질 수 있다. 예를 들어, 제 1 및 제 2 전자기장들의 특징들이 충분하게 확립될 시에, 플라스마 플륨 (220)은 다음 중 적어도 하나에 걸친, 상대적으로 균일한 온도 범위를 나타낼 수 있다: (i) 약 9,000 K 내지 약 18,000 K; (ii) 약 11,000 K 내지 약 15,000 K; 및 (iii) 적어도 약 11,000 K. 특히, 플라스마 플륨 (220)은 대기 압력에 있는 것이 바람직하고, 이때 플라스마 플륨 (220)은 대기 압력 열 플라스마 제트로서 특징지어질 수 있다.
대기 압력에서 플라스마 가스를 점화시키기 위하여, 적어도 하나의 플라스마 가스 (예컨대, 아르곤)는 내부 볼륨 (218) 내로 대략 10 Torr로 도입될 수 있으며, 그리고 그 후에, RF 파워는 제 1 및 제 2 링 전극들 (202, 204)에 적용될 수 있다. 아르곤은 RF 전자기장 하에서 자가-점화되어야 하며, 그리고 아르곤은 적합한 전자 온도에 플라스마를 취하기 위해 사용될 수 있다.
점화 이후에, AC 파워는 플라스마 가스에 와상 전류를 유도하여 플라스마 플륨 (220)의 에너지 및 온도를 현저하게 증가시키는 인덕션 코일 (206)로 공급된다. 보다 특히, 인덕션 코일 (206)은 플라스마 가스가 소용돌이치는 영역에서 자속이 인덕션 코일 (206) 외부에 집중되도록 위치된다. 점화된 플라스마 가스가 높은 이온화 전도성 가스가 될 시에, 인덕션 코일 (206)로부터의 자속 (magnetic flux)은 점화된 플라스마 가스에 걸쳐 컷팅되고, 단락 전류가 플라스마 가스를 통해 실질적인 원형 경로로 흐르도록 하여, 높게 에너지화된 전자들의 집중된 도넛형 링 (toroidal ring)을 형성한다. 인덕션 코일 (206)로부터 플라스마 플륨 (및 플라스마 전류)에서의 자속은 AC 소스로부터 인덕션 코일 (206)에 흐르는 전류와 정비례한다. 이로써, 플라스마 플륨의 온도는 AC 파워 레벨에 정비례한다.
플라스마 플륨 (220)이 충분히 높은 온도에 도달한 후에, 질소는 도입될 수 있으며, 그리고 플라스마 플륨 (220)은 2 개의 질소 원자들 사이의 분자 결합들을 분리시킨다. 플라스마 가스에 질소 원자들을 추가시키는 목적은 플라스마 가스의 열 전도를 증가시켜, 결과적으로 고속으로 가열되는 보다 많은 처리 입자를 허용하기 위한 것이다. 추가적으로 또는 대안적으로, 과잉 탄소를 태워서 제거할 필요성이 있는 경우, 상대적으로 적은 양의 산소가 도입될 수 있다 (뱃치 재료들에서 폴리머 요소들이 있을 시의 경우일 수 있는 것과 같이). 실시예들에서, N2 (또는 O2) 유동률은 0.5 내지 2 slpm의 범위에 있고, 그 결과 Ar에 대한 N2 (또는 O2)의 비율은 1.25 볼륨% 내지 5 볼륨%이다.
상기에서 언급된 바와 같이, 시스템 (100)은 플라스마 플륨 (220)의 다양한 특징들 및 플라스마를 통한 유리 뱃치 재료 (10)의 이동에 대한 제어를 제공한다. 예를 들어, 제어 유닛 (150)은, 내부 볼륨 (218)을 통한 플라스마 가스의 사이클론 소용돌이치기의 하나 이상의 특징들을 제어하여 플라스마 플륨 (220) 내의 유리 뱃치 재료 (10)의 체류 시간을 제어하기 위하여, 플라스마 가스 (110)의 하나 이상의 소스들의 압력 및/또는 유동률을 조정하도록 동작될 수 있다. 추가적으로 또는 대안적으로, 제어 유닛 (150)은 소스 (140)로부터 AC 파워의 파워 레벨을 제어하여 제 2 전자기장의 세기를 제어하며, 그리고 플라스마 플륨 (220)의 온도를 제어하기 위해 동작될 수 있다. 제어 유닛 (150)은 플라스마 플륨 (220)의 온도에 영향을 미치기 위하여 소스 (130)로부터의 RF 파워의 파워 레벨을 추가적으로 또느 대안적으로 제어할 수 있다.
플라스마 플륨이 온도에 맞춰질 시에, 유리 뱃치 재료 (10)는 소용돌이치는 플라스마 플륨 내로 접선 방향으로 (이 예시에서는 수직 방향으로) 삽입된다. 플라스마 가스의 속도 및 드래그는 유리 뱃치 재료 (10)를 포획하고 그를 원심력으로 회전시킨다. 내부 볼륨 (218) 주위의 유리 뱃치 재료 (10)의 각각의 회전에서, 그 안에서 입자들의 모멘텀은 감소되고, 입자들은 볼륨 (218) 내의 낮은 위치들에서, 그리고 증가된 궤도 경로들에서, 유출구에 도달할 때까지 회전한다. 유리 뱃치 재료 (10)가 플라스마 플륨 내에서 소용돌이칠 시에, 그의 입자들은 충전된 플라스마 입자들 (이온들 및 전자들)에 의해 충격을 받고, 이로 인해 유리 뱃치 재료 (10)의 입자들은 충전된 입자들로부터 높은 운동 에너지를 받는다. 유리 뱃치 재료 (10)는 운동 에너지를 흡수하고 일부 지점에서 열적 반응을 시작한다.
플라스마 플륨에 너무 많은 시간이 주어진 경우 (즉, 너무 많은 운동 에너지를 흡수한 경우), 유리 뱃치 재료는 예를 들면 용융, 기화 및 기본적인 분리에 의해 과잉 반응을 할 수 있다. 상기에서 유의한 바와 같이, 플라스마 가스의 속도는 체류 시간을 변경하여 유리 뱃치 재료 (10) 내로 열 복사의 깊이를 제어하기 위해 조정될 수 있다. 재료 (10)의 표면 변경만 원하는 경우, 감소된 체류 시간은 재료 (10)의 입자들 내로의 열 에너지의 완전한 침투를 허용하지 않기 위하여 요청될 수 있다. 화학적 반응이 필요하거나 입자들의 완전한 용융이 필요한 경우, 보다 긴 체류 시간은 플라스마 가스의 속도를 증가시킴으로써, 그리고/또는 유입구 (226) 노출과 유출구 (228) 노즐 사이의 거리를 증가시킴으로써 달성될 수 있다. 플라스마 격납 용기 (200)의 길이 (즉, 중심 축의 방향으로)는 증가되고 보다 큰 볼륨의 플라스마로 가득차서 체류 시간을 증가시킬 수 있다.
유리 뱃치 재료 (10)가 유출구 (228)에 도달할 시에, 냉각 가스 제트 (미도시)에 의해 급속하게 담금질되고, 그 이후에 수집 디바이스 (170)에 의해 수용될 수 있다.
이제 도 5-6를 참조하여 보면, 이전 것은 대안적인 실시예의 플라스마 격납 용기 (200-1)의 상부 개략도이며, 그리고 후자 것은 열 공정을 받는 플라스마 격납 용기 (200-1) 내의 많은 화합물들의 개략도이다. 많은 방식들로, 도 5의 플라스마 격납 용기 (200-1)는 도 1-4의 플라스마 격납 용기 (200)와 유사한 방식으로 구현될 수 있다. 그러나, 링 전극들 (202, 204)이 사용될 필요가 없다는 점과, 그리고 플라스마 격납 용기 (200-1)가 용기 (200-1)의 내부 볼륨 (218) 내로 도입되는 하나 이상의 마이크로파 신호들 (262, 264)을 만들어 내기 위해 하나 이상의 마이크로파 소스들 (미도시)을 사용하는 것을 포함한, 주목할만한 차이들이 있다. 마이크로파 신호들 (262, 264)의 주파수는 약 2 GHz 내지 약 10 GHz일 수 있으며, 그리고 파워 레벨은 약 2 - 10 kW일 수 있다.
하나 이상의 마이크로파 신호들 (262, 264)은 볼륨 (218) 내에서 플라스마 플륨 (220)을 유지하기 위해 협력된다. 플라스마 가스가 고속으로 (대량 함량으로) 이동되고 있기 때문에, 인덕션 코일 (206)에 의해 만들어진 저주파 전자기장 및 마이크로파 신호들 (262, 264)은 플라스마 가스를 가열하고 플륨 (220)을 생성한다. 그러한 배치에서, 개별적인 화합물들 (272)은 반응 가스를 용융, 응집, 융합 및 증발시키기 위해 플라스마 격납 용기 (200-1) 내에 도입 및 처리될 수 있다. 이러한 공정은 플라스마 격납 용기 (200-1) 내로 도입되기 전에, 개별적인 화합물들 (및/또는 그의 혼합물들)을 분무 건조할 필요성을 줄이거나 제거할 수 있고, 이는 공정의 비용을 현저하게 감소시킬 수 있다. 실제로, 일부 예들에서, 플라스마 반응기 내로 도입되기 전에 화합물들을 예비 공정화하는데 큰 비용이 들어간다. 예를 들어, 밀링 (milling), 솔벤트-기반 슬러리 생성, 응집, 응집물들을 유지시키기 위한 탄소 기반 바인더 첨가와 함께, 그 후의 분무 건조가 있다.
도 6에 예시된 바와 같이, 개별적인 화합물들 (272)이 플라스마 격납 용기 (200-1)의 소용돌이치는 기류 내로 들어갈 시에, 화합물들 (272)은 가열되고, 충돌되고, 응집 (274)되고, 융합 (276)되며, 그리고 반응적으로 용융되기 시작한다. 이러한 공정은 원하는 화합물들 (272) 모두의 표시들 (representations)을 갖는 수많은 액적들 (278)을 만들어 낼 수 있다. 다른 액적들은 원하는 화합물들 (272) 모두의 표시들을 가지는 것이 아니라, 오히려 원하는 화합물들 (272)의 일 부분을 가질 수 있다. 액적들로서, 화합물들 (272), 덩어리들 (274), 및 융합된 화합물들 (276)은 예를 들면 연속적으로 소용돌이치고, 가열되고, 충돌되고, 화합물들 (272)의 원하는 결합의 액적들 (278)은 연속적으로 형성될 것이다. 액적들은 그 후에 본원의 다른 실시예들과 관련하여 논의된 바와 같이 처리 및 후-공정화될 수 있다.
본원의 개시 내용이 특정 실시예들을 참조하여 기술되었지만, 이해되어야 하는 바와 같이, 이들 실시예들은 단지 본원에서 실시예들의 원리들 및 적용들을 예시할 뿐이다. 그러므로 이해되어야 하는 바와 같이, 수많은 변경들이 예시된 실시예들에 대해 이루어질 수 있고, 다른 배치들도 본 출원의 기술 사상 및 권리 범위를 벗어남 없이 고안될 수 있다.

Claims (24)

  1. 중심 축, 유입구 말단, 및 마주하는 유출구 말단을 가진 내부 볼륨을 정의하는 적어도 하나의 벽 부재를 가진 플라스마 격납 용기;
    상기 플라스마 격납 용기의 유입구 말단에 배치된 유입구 구조체 - 상기 유입구 구조체는:
    (i) 유리 뱃치 재료 (glass batch material)를 수용하고, 상기 플라스마 격납 용기의 내부 볼륨의 유입구 말단에 근접하여 상기 유리 뱃치 재료를 제공하기 위한 재료 유입구,
    (ii) 플라스마 가스의 하나 이상의 소스들을 수용하고, 상기 플라스마 가스가 상기 중심 축에 대해 접선 방향으로 안내되도록 상기 내부 볼륨의 유입구 말단 내로 상기 플라스마 가스를 제공하기 위한 적어도 하나의 가스 유입구, 및 상기 내부 볼륨의 유출구 말단에서 플라스마 가스를 배출하기 위한 적어도 하나의 가스 유출구;를 포함하고,
    상기 적어도 하나의 가스 유입구 및 적어도 하나의 가스 유출구는, 상기 플라스마 가스가 사이클론 방식 (cyclonic fashion)으로 상기 내부 볼륨을 통하여 소용돌이치도록 배치됨 -;
    상기 플라스마 격납 용기의 적어도 하나의 벽 부재의 외부 주위에 환형으로 (annularly) 배치된 제 1 및 제 2 링 전극들 - 여기서: (i) 상기 제 1 링 전극은 상기 유입구 말단 주위에 환형으로 배치되며, 그리고 상기 제 2 링 전극은 상기 유출구 말단 주위에 환형으로 배치되며, 그리고 (ii) 상기 제 1 및 제 2 링 전극들은, 상기 제 1 링 전극과 상기 제 2 링 전극 사이에서 이어지고 상기 플라스마 격납 용기의 중심 축과 평행한 필드 라인들 (field lines)을 가진 제 1 전자기장을 만들어 내기에 충분한 특징들을 가진 RF 파워의 소스를 수신하기 위해 동작 가능함 -; 및
    상기 플라스마 격납 용기의 중심 축을 따라 배치되는 인덕션 코일 - 상기 인덕션 코일은, 상기 인덕션 코일의 코어를 통해 이어지고 상기 플라스마 격납 용기의 중심 축과 평행하는 내부 볼륨을 통한 필드 라인들을 가진 제 2 전자기장을 만들어 내기에 충분한 특징들을 가진 AC 파워의 소스를 수신하기 위해 동작 가능함 -;을 포함하며,
    상기 제 1 전자기장 및 상기 제 2 전자기장은 상기 플라스마 격납 용기 내에서 플라스마 플륨 (plasma plume)을 형성하기 위해 협력하는, 장치.
  2. 청구항 1에 있어서,
    상기 플라스마 플륨은 원통형 형상을 가지며, 그리고 상기 유리 뱃치 재료가 열적 반응하도록 하기에 충분한 열 에너지를 가진, 장치.
  3. 청구항 2에 있어서,
    상기 열적 반응이 상기 유리 뱃치 재료를 적어도 부분적으로 용융시킴을 포함하는 것,
    상기 열적 반응이 상기 유리 뱃치 재료 및 하나 이상의 추가 재료들 중 적어도 하나를 적어도 부분적으로 용융시켜, 코팅된 유리 뱃치 재료 입자들을 형성함을 포함하는 것, 및
    상기 열적 반응이 동질한 회전 타원체-형상의 (spheroid-shaped) 유리 개재 (intermediate) 입자들을 형성하기 위해 상기 유리 뱃치 재료를 적어도 부분적으로 용융시킴을 포함하는 것; 중 적어도 하나인 것인, 장치.
  4. 청구항 1에 있어서,
    상기 RF 파워는, 상기 제 1 전자기장이: (i) 적어도 1 MHz, (ii) 적어도 3 MHz, (iii) 적어도 4 MHz, (iv) 적어도 5 MHz, (v) 적어도 10 MHz, (vi) 적어도 15 MHz, (vii) 적어도 20 MHz, (viii) 적어도 30 MHz, (ix) 적어도 40 MHz, 및 (x) 1 내지 50 MHz; 중 적어도 하나의 주파수를 나타내는 특징을 가진, 장치.
  5. 청구항 1에 있어서,
    상기 AC 파워는, 상기 제 2 전자기장이: (i) 적어도 10 kHz, (ii) 적어도 15 kHz, (iii) 적어도 20 kHz, (iv) 적어도 30 kHz, (v) 적어도 40 kHz, (vi) 적어도 50 kHz, (vii) 적어도 100 kHz, (viii) 적어도 150 kHz, (ix) 적어도 200 kHz, 및 (x) 10 내지 250 kHz; 중 적어도 하나의 주파수를 나타내는 특징을 가진, 장치.
  6. 청구항 1에 있어서,
    상기 인덕션 코일은 상기 플라스마 격납 용기의 내부 볼륨 내에 위치된 밀폐 실링된 챔버 (hermetically sealed chamber) 내에 배치되는, 장치.
  7. 청구항 1에 있어서,
    상기 AC 파워의 파워 레벨을 제어하여, 상기 제 2 전자기장의 세기를 제어하며, 상기 플라스마 플륨의 온도를 제어하기 위해 동작하는 제어기를 더 포함하는, 장치.
  8. 청구항 1에 있어서,
    상기 플라스마 플륨은 (i) 9,000 K 내지 18,000 K; (ii) 11,000 K 내지 15,000 K; 및 (iii) 적어도 11,000 K 중 하나의 온도 범위를 가지는, 장치.
  9. 청구항 1에 있어서,
    상기 내부 볼륨을 통해 상기 플라스마 가스의 사이클론 소용돌이치기의 하나 이상의 특징들을 제어하여, 상기 플라스마 플륨 내에 상기 유리 뱃치 재료의 체류 시간을 제어하기 위하여, 상기 플라스마 가스의 하나 이상의 소스들의 입력 및 유동률을 조정하도록 동작되는 제어기를 더 포함하는, 장치.
  10. 청구항 1에 있어서,
    상기 재료 유입구는, 상기 유리 뱃치 재료가 상기 플라스마 격납 용기의 중심 축과 평행하여 제공되고 상기 중심 축에 대해 접선 방향으로 상기 내부 볼륨에 들어가는 플라스마 가스에 대해 횡단하도록 배향되는, 장치.
  11. 청구항 1에 있어서,
    상기 유리 뱃치 재료의 평균 입자 크기는: (i) 적어도 10 ㎛, (ii) 적어도 50 ㎛, (iii) 적어도 75 ㎛, (iv) 적어도 100 ㎛, (v) 적어도 150 ㎛, (vi) 적어도 200 ㎛, (vii) 적어도 500 ㎛, (viii) 적어도 750 ㎛, (ix) 적어도 1000 ㎛, 및 (x) 5 내지 1000 ㎛; 중 적어도 하나인, 장치.
  12. 청구항 1에 있어서,
    상기 유입구 구조체는 상기 플라스마 플륨이 있을 시에 상기 유입구 구조체를 냉각시키기 위하여, 유체를 운반하도록 동작하는 하나 이상의 내부 채널들을 포함하고;
    상기 적어도 하나의 벽 부재는 상기 플라스마 플륨이 있을 시에 상기 플라스마 격납 용기를 냉각시키기 위하여, 유체를 운반하도록 동작하는 각각의 내부 채널들을 포함하며; 그리고
    상기 인덕션 코일은 적어도 하나의 내부 벽 부재에 의해 형성된 밀폐 실링된 챔버 내에 배치되고, 상기 내부 벽 부재는 상기 플라스마 플륨이 있을 시에 상기 밀폐 실링된 챔버를 냉각시키기 위하여, 유체를 운반하도록 동작하는 각각의 내부 채널들을 포함하는, 장치.
  13. 청구항 1에 있어서,
    상기 플라스마 가스는 아르곤, 공기, 헬륨, 질소, 산소, 및 그의 혼합물들 중 적어도 하나를 포함하는, 장치.
  14. 청구항 2에 있어서,
    상기 열적 반응된 유리 뱃치 재료는 상기 유출구 말단을 통하여 상기 플라스마 격납 용기에서 빠져나가는, 장치.
  15. 중심 축, 유입구 말단, 및 마주하는 유출구 말단을 가진 내부 볼륨을 정의하는 적어도 하나의 벽 부재를 가진 플라스마 격납 용기를 제공하는 단계;
    상기 플라스마 격납 용기의 유입구 말단에 배치된 유입구 구조체를 제공하는 단계 - 상기 유입구 구조체는:
    (i) 유리 뱃치 재료를 수용하고, 상기 플라스마 격납 용기의 내부 볼륨의 유입구 말단에 근접하여 상기 유리 뱃치 재료를 제공하기 위한 재료 유입구,
    (ii) 플라스마 가스의 하나 이상의 소스들을 수용하고, 상기 플라스마 가스가 상기 중심 축에 대해 접선 방향으로 안내되도록 상기 내부 볼륨의 유입구 말단 내로 상기 플라스마 가스를 제공하기 위한 적어도 하나의 가스 유입구, 및 상기 내부 볼륨의 유출구 말단에서 플라스마 가스를 배출하기 위한 적어도 하나의 가스 유출구;를 포함하고,
    상기 적어도 하나의 가스 유입구 및 적어도 하나의 가스 유출구는, 상기 플라스마 가스가 사이클론 방식으로 상기 내부 볼륨을 통하여 소용돌이치도록 배치됨 -;
    상기 플라스마 격납 용기의 적어도 하나의 벽 부재의 외부 주위에 환형으로 배치된 제 1 및 제 2 링 전극들을 제공하는 단계 - 여기서: (i) 상기 제 1 링 전극은 상기 유입구 말단 주위에 환형으로 배치되며, 그리고 상기 제 2 링 전극은 상기 유출구 말단 주위에 환형으로 배치되며, 그리고 (ii) 상기 제 1 및 제 2 링 전극들은, 상기 제 1 링 전극과 상기 제 2 링 전극 사이에서 이어지고 상기 플라스마 격납 용기의 중심 축과 평행한 필드 라인들을 가진 제 1 전자기장을 만들어 내기에 충분한 특징들을 가진 RF 파워의 소스를 수신하기 위해 동작 가능함 -; 및
    상기 플라스마 격납 용기의 중심 축을 따라 배치되는 인덕션 코일을 제공하는 단계 - 상기 인덕션 코일은, 상기 인덕션 코일의 코어를 통해 이어지고 상기 플라스마 격납 용기의 중심 축과 평행하는 내부 볼륨을 통한 필드 라인들을 가진 제 2 전자기장을 만들어 내기에 충분한 특징들을 가진 AC 파워의 소스를 수신하기 위해 동작 가능함 -;를 포함하는, 방법.
  16. 청구항 15에 있어서,
    원통형 형상을 가지며, 그리고 상기 유리 뱃치 재료가 열적 반응하도록 하기에 충분한 열 에너지를 가진 플라스마 플륨을 만들어 내는 단계를 더 포함하는, 방법.
  17. 청구항 16에 있어서,
    상기 열적 반응이 상기 유리 뱃치 재료를 적어도 부분적으로 용융시킴을 포함하는 것,
    상기 열적 반응이 상기 유리 뱃치 재료 및 하나 이상의 추가 재료들 중 적어도 하나를 적어도 부분적으로 용융시켜, 코팅된 유리 뱃치 재료 입자들을 형성함을 포함하는 것, 및
    상기 열적 반응이 동질한 회전 타원체-형상의 유리 개재 입자들을 형성하기 위해 상기 유리 뱃치 재료를 적어도 부분적으로 용융시킴을 포함하는 것; 중 적어도 하나인 것인, 방법.
  18. 청구항 15에 있어서,
    상기 RF 파워는, 상기 제 1 전자기장이: (i) 적어도 1 MHz, (ii) 적어도 3 MHz, (iii) 적어도 4 MHz, (iv) 적어도 5 MHz, (v) 적어도 10 MHz, (vi) 적어도 15 MHz, (vii) 적어도 20 MHz, (viii) 적어도 30 MHz, (ix) 적어도 40 MHz, 및 (x) 1 내지 50 MHz; 중 적어도 하나의 주파수를 나타내는 특징을 가진, 방법.
  19. 청구항 15에 있어서,
    상기 AC 파워는, 상기 제 2 전자기장이: (i) 적어도 10 kHz, (ii) 적어도 15 kHz, (iii) 적어도 20 kHz, (iv) 적어도 30 kHz, (v) 적어도 40 kHz, (vi) 적어도 50 kHz, (vii) 적어도 100 kHz, (viii) 적어도 150 kHz, (ix) 적어도 200 kHz, 및 (x) 10 내지 250 kHz; 중 적어도 하나의 주파수를 나타내는 특징을 가진, 방법.
  20. 청구항 16에 있어서,
    상기 AC 파워의 파워 레벨을 제어하여, 상기 제 2 전자기장의 세기를 제어하며, 상기 플라스마 플륨의 온도를 제어하는 단계를 더 포함하며,
    상기 플라스마 플륨은 (i) 9,000 K 내지 18,000 K; (ii) 11,000 K 내지 15,000 K; 및 (iii) 적어도 11,000 K 중 하나의 온도 범위를 가지는, 방법.
  21. 청구항 16에 있어서,
    상기 내부 볼륨을 통해 상기 플라스마 가스의 사이클론 소용돌이치기의 하나 이상의 특징들을 제어하여, 상기 플라스마 플륨 내에 상기 유리 뱃치 재료의 체류 시간을 제어하기 위하여, 상기 플라스마 가스의 하나 이상의 소스들의 입력 및 유동률을 조정하는 단계를 더 포함하는, 방법.
  22. 청구항 15에 있어서,
    상기 유리 뱃치 재료를, 상기 플라스마 격납 용기의 중심 축과 평행하게 제공하고, 상기 중심 축에 대해 접선 방향으로 상기 내부 볼륨에 들어가는 플라스마 가스에 대해 횡단하는 단계를 더 포함하는, 방법.
  23. 청구항 15에 있어서,
    상기 유리 뱃치 재료의 평균 입자 크기는: (i) 적어도 10 ㎛, (ii) 적어도 50 ㎛, (iii) 적어도 75 ㎛, (iv) 적어도 100 ㎛, (v) 적어도 150 ㎛, (vi) 적어도 200 ㎛, (vii) 적어도 500 ㎛, (viii) 적어도 750 ㎛, (ix) 적어도 1000 ㎛, 및 (x) 5 내지 1000 ㎛; 중 적어도 하나인, 방법.
  24. 청구항 15에 있어서,
    상기 플라스마 가스는 아르곤, 공기, 헬륨, 질소, 산소, 및 그의 혼합물들 중 적어도 하나를 포함하는, 방법.
KR1020167030116A 2014-03-31 2015-03-27 듀얼 소스 사이클론 플라스마 반응기를 사용하여 유리 뱃치를 처리하는 방법 및 장치 KR102314170B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/230,846 2014-03-31
US14/230,846 US9284210B2 (en) 2014-03-31 2014-03-31 Methods and apparatus for material processing using dual source cyclonic plasma reactor
PCT/US2015/022982 WO2015153338A1 (en) 2014-03-31 2015-03-27 Methods and apparatus for glass batch processing using dual source cyclonic plasma reactor

Publications (2)

Publication Number Publication Date
KR20160138531A KR20160138531A (ko) 2016-12-05
KR102314170B1 true KR102314170B1 (ko) 2021-10-19

Family

ID=53005645

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167030116A KR102314170B1 (ko) 2014-03-31 2015-03-27 듀얼 소스 사이클론 플라스마 반응기를 사용하여 유리 뱃치를 처리하는 방법 및 장치

Country Status (5)

Country Link
US (1) US9284210B2 (ko)
JP (1) JP6495323B2 (ko)
KR (1) KR102314170B1 (ko)
TW (1) TWI640483B (ko)
WO (1) WO2015153338A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9550694B2 (en) 2014-03-31 2017-01-24 Corning Incorporated Methods and apparatus for material processing using plasma thermal source
US9533909B2 (en) 2014-03-31 2017-01-03 Corning Incorporated Methods and apparatus for material processing using atmospheric thermal plasma reactor
US20160200618A1 (en) 2015-01-08 2016-07-14 Corning Incorporated Method and apparatus for adding thermal energy to a glass melt
JP6618772B2 (ja) * 2015-11-06 2019-12-11 エア・ウォーター株式会社 大気圧プラズマ処理装置
RU2664287C2 (ru) * 2016-09-14 2018-08-16 Федеральное государственное бюджетное образовательное учреждение высшего образования "Томский государственный архитектурно-строительный университет" (ТГАСУ) Устройство для получения микросфер и микрошариков из оксидных материалов
EP3771297A4 (en) * 2018-03-20 2021-03-31 FUJI Corporation PLASMA DEVICE, PLASMA GENERATION METHOD
KR102183006B1 (ko) * 2019-02-13 2020-11-25 경북대학교 산학협력단 상압 플라즈마 장치
WO2020263555A1 (en) * 2019-06-24 2020-12-30 Corning Incorporated Rf plasma optical fiber annealing apparatuses, systems, and methods of using the same
US20210288300A1 (en) * 2020-03-13 2021-09-16 Intecells, Inc. Method of making particles containing metal and active battery material for electrode fabrication
US11690162B2 (en) * 2020-04-13 2023-06-27 Kla Corporation Laser-sustained plasma light source with gas vortex flow
KR102381323B1 (ko) * 2020-05-15 2022-03-31 (주)엘오티씨이에스 유도결합 플라즈마 반응기 및 유도결합 플라즈마 반응기의 안테나 코일용 와이어 구조물
KR102450109B1 (ko) 2022-04-12 2022-10-04 충남대학교산학협력단 역 볼텍스 파인더를 갖는 단방향 사이클론
DE102022211216A1 (de) * 2022-10-21 2024-05-02 Technische Universität Bergakademie Freiberg, Körperschaft des öffentlichen Rechts Verfahren zum Schmelzen und Warmbehandeln von Feststoffen

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013082591A (ja) 2011-10-12 2013-05-09 Tokyo Institute Of Technology ガラス製造装置及びガラス製造方法

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5081397A (en) 1989-07-11 1992-01-14 University Of British Columbia Atmospheric pressure capacitively coupled plasma atomizer for atomic absorption and source for atomic emission spectroscopy
JPH0364182A (ja) 1989-07-31 1991-03-19 Sharp Corp 擬似ノンインタレース受像機
US5403453A (en) 1993-05-28 1995-04-04 The University Of Tennessee Research Corporation Method and apparatus for glow discharge plasma treatment of polymer materials at atmospheric pressure
US5456972A (en) 1993-05-28 1995-10-10 The University Of Tennessee Research Corporation Method and apparatus for glow discharge plasma treatment of polymer materials at atmospheric pressure
US5669583A (en) 1994-06-06 1997-09-23 University Of Tennessee Research Corporation Method and apparatus for covering bodies with a uniform glow discharge plasma and applications thereof
US5414324A (en) 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
US5938854A (en) 1993-05-28 1999-08-17 The University Of Tennessee Research Corporation Method and apparatus for cleaning surfaces with a glow discharge plasma at one atmosphere of pressure
FR2737554B1 (fr) 1995-08-03 1997-08-29 Commissariat Energie Atomique Four de fusion tournant
US5750822A (en) * 1995-11-13 1998-05-12 Institute Of Chemical Technology (Plastech) Processing of solid mixed waste containing radioactive and hazardous materials
US5743961A (en) * 1996-05-09 1998-04-28 United Technologies Corporation Thermal spray coating apparatus
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042370A (en) 1999-08-20 2000-03-28 Haper International Corp. Graphite rotary tube furnace
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US20070042580A1 (en) 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6494986B1 (en) 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6453842B1 (en) 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US6468388B1 (en) 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US7430984B2 (en) 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US6551446B1 (en) 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6410449B1 (en) 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6348126B1 (en) 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US8734643B2 (en) 2001-07-16 2014-05-27 Foret Plasma Labs, Llc Apparatus for treating a substance with wave energy from an electrical arc and a second source
US7422695B2 (en) 2003-09-05 2008-09-09 Foret Plasma Labs, Llc Treatment of fluids with wave energy from a carbon arc
US7622693B2 (en) 2001-07-16 2009-11-24 Foret Plasma Labs, Llc Plasma whirl reactor apparatus and methods of use
US7857972B2 (en) 2003-09-05 2010-12-28 Foret Plasma Labs, Llc Apparatus for treating liquids with wave energy from an electrical arc
US6693253B2 (en) 2001-10-05 2004-02-17 Universite De Sherbrooke Multi-coil induction plasma torch for solid state power supply
US7867457B2 (en) * 2003-06-20 2011-01-11 Drexel University Plasma reactor for the production of hydrogen-rich gas
US8361404B2 (en) 2003-06-20 2013-01-29 Drexel University Cyclonic reactor with non-equilibrium gliding discharge and plasma process for reforming of solid hydrocarbons
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
KR100582753B1 (ko) 2004-04-29 2006-05-23 주식회사 애드플라텍 선회식 플라즈마 열분해/용융로
EP1831425B1 (en) 2004-11-08 2011-07-13 MKS Instruments, Inc. Method of disposing metal bearing gases
US7743730B2 (en) 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
JP4699235B2 (ja) * 2006-02-20 2011-06-08 株式会社サイアン プラズマ発生装置およびそれを用いるワーク処理装置
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
WO2007129509A1 (ja) * 2006-05-01 2007-11-15 Asahi Glass Company, Limited ガラス製造方法
US8748785B2 (en) 2007-01-18 2014-06-10 Amastan Llc Microwave plasma apparatus and method for materials processing
US9287096B2 (en) 2007-09-27 2016-03-15 Lam Research Corporation Methods and apparatus for a hybrid capacitively-coupled and an inductively-coupled plasma processing system
EA030379B1 (ru) * 2008-08-04 2018-07-31 Эй-Джи-Си Флет Гласс Норт Эмерике, Инк. Способ нанесения тонкопленочных покрытий с использованием плазменно-химического осаждения из газовой фазы (варианты)
JP2011157260A (ja) * 2010-01-07 2011-08-18 Mitsubishi Materials Corp 合成非晶質シリカ粉末及びその製造方法
CN101767202A (zh) 2010-01-15 2010-07-07 张建利 采用等离子辅助旋转电极制备高温合金gh4648金属小球的方法
EP2424336A1 (en) 2010-08-26 2012-02-29 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Plasma powder processing apparatus and method
KR101223237B1 (ko) 2010-11-22 2013-01-17 한국에너지기술연구원 플라즈마/가스 연소 융합을 이용한 저탄소형 기중 용해로, 이를 이용한 용융방법 및 이를 이용한 소재 제조방법
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
WO2012103967A1 (en) * 2011-02-01 2012-08-09 Asml Netherlands B.V. Substrate table, lithographic apparatus and device manufacturing method
US9704609B2 (en) * 2011-02-15 2017-07-11 Fuji Electric Co., Ltd. Apparatus for reducing volume of resin containing radioactive material, and method for operating the apparatus
US20130118589A1 (en) 2011-11-15 2013-05-16 Mks Instruments, Inc. Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel
JP2013193908A (ja) * 2012-03-19 2013-09-30 Asahi Glass Co Ltd ガラス溶融炉、溶融ガラスの製造方法、ガラス製品の製造装置、およびガラス製品の製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013082591A (ja) 2011-10-12 2013-05-09 Tokyo Institute Of Technology ガラス製造装置及びガラス製造方法

Also Published As

Publication number Publication date
KR20160138531A (ko) 2016-12-05
TWI640483B (zh) 2018-11-11
WO2015153338A1 (en) 2015-10-08
JP2017513195A (ja) 2017-05-25
TW201600471A (zh) 2016-01-01
JP6495323B2 (ja) 2019-04-03
US9284210B2 (en) 2016-03-15
US20150274569A1 (en) 2015-10-01

Similar Documents

Publication Publication Date Title
KR102314170B1 (ko) 듀얼 소스 사이클론 플라스마 반응기를 사용하여 유리 뱃치를 처리하는 방법 및 장치
US9550694B2 (en) Methods and apparatus for material processing using plasma thermal source
CN107207307B (zh) 用于将热能添加到玻璃熔体的方法和设备
US9908804B2 (en) Methods and apparatus for material processing using atmospheric thermal plasma reactor
KR101785440B1 (ko) 나노분말의 합성 및 재료 가공용 플라즈마 반응기
JP2001504753A (ja) 超微粉のマイクロ波プラズマ化学合成
US10059614B2 (en) Melting glass materials using RF plasma
JP2023515176A (ja) 金属を溶融する機器
US3541625A (en) Induction plasma torch
RU2414993C2 (ru) Способ получения нанопорошка с использованием индукционного разряда трансформаторного типа низкого давления и установка для его осуществления
RU2743474C2 (ru) Способ плазменного производства порошков неорганических материалов и устройство для его осуществления
CN114727464B (zh) 一种延长等离子球化射频炬使用寿命的方法及射频炬
JP2002356337A (ja) プラズマ溶融によるアルミニウム及び/又はイットリウム含有複合石英ガラスの製造方法及びその用途
CN117620188A (zh) 钨粉制备装置及其工作方法
JPS63113227A (ja) 火炎励起方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant