KR102234260B1 - Selective deposition of metallic films - Google Patents

Selective deposition of metallic films Download PDF

Info

Publication number
KR102234260B1
KR102234260B1 KR1020180052721A KR20180052721A KR102234260B1 KR 102234260 B1 KR102234260 B1 KR 102234260B1 KR 1020180052721 A KR1020180052721 A KR 1020180052721A KR 20180052721 A KR20180052721 A KR 20180052721A KR 102234260 B1 KR102234260 B1 KR 102234260B1
Authority
KR
South Korea
Prior art keywords
substrate
layer
metal
silicon
deposition
Prior art date
Application number
KR1020180052721A
Other languages
Korean (ko)
Other versions
KR20180136370A (en
Inventor
샹 천
도시하루 와타라이
다카히로 오누마
다이 이시가와
구니토시 남바
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/622,510 external-priority patent/US10014212B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20180136370A publication Critical patent/KR20180136370A/en
Application granted granted Critical
Publication of KR102234260B1 publication Critical patent/KR102234260B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals

Abstract

기판의 제2 표면에 상대적인 기판의 일 표면 상에 금속계 층들이 선택적으로 증착될 수 있다. 일부 구현예들에서, 상기 금속계 층들은 실리콘을 포함하는 제2 표면에 상대적인 제1 금속계 표면 상에 선택적으로 증착된다. 일부 구현예들에서, 상기 선택적 증착 공정이 이루어지는 반응 챔버는 선택적 증착 공정을 수행하기 전에 선택적으로 패시베이션될 수 있다. 일부 구현예들에서, 약 50% 또는 심지어약 90%를 초과하는 선택도가 달성된다.Metal-based layers may be selectively deposited on one surface of the substrate relative to the second surface of the substrate. In some embodiments, the metallic layers are selectively deposited on a first metallic surface relative to a second surface comprising silicon. In some embodiments, the reaction chamber in which the selective deposition process is performed may be selectively passivated before performing the selective deposition process. In some embodiments, a selectivity of greater than about 50% or even about 90% is achieved.

Description

금속계 막의 선택적 증착{SELECTIVE DEPOSITION OF METALLIC FILMS}Selective deposition of metal-based films{SELECTIVE DEPOSITION OF METALLIC FILMS}

관련 출원의 상호 참조Cross-reference of related applications

본 출원은 "금속계 막의 선택적 증착"이라는 제목으로 2016년 6월 8일에 출원된 미국 출원 제15/177,198호, 및 "반응 챔버 보호 및 금속계 막의 선택적 증착"이라는 제목으로 2016년 6월 8일에 출원된 미국 출원 제15/177195호의 일부계속출원이며, 2011년 12월 9일에 출원된 미국 가출원 제61/569,142호에 대한 우선권을 주장하는, "금속계 표면 상에서 금속계 막의 선택적 증착"이라는 제목으로 2012년 12월 7일에 출원된 미국 출원 제13/708,863호에 관련되고, 그 개시 내용 전체를 이에 의해 본원에 참조로 통합한다.This application was filed on June 8, 2016 under the title of "Selective Deposition of Metallic Films", filed on June 8, 2016 under the title of US Application No. 15/177,198, and "Reaction Chamber Protection and Selective Deposition of Metallic Films." 2012 under the title "Selective Deposition of Metallic Films on Metallic Surfaces", which is a continuation in part of filed US Application No. 15/177195 and claims priority to US Provisional Application No. 61/569,142 filed December 9, 2011. No. 13/708,863, filed December 7, 2014, the entire disclosure of which is hereby incorporated herein by reference.

본 출원은 일반적으로 반도체 제조 분야에 관한 것이다.This application relates generally to the field of semiconductor manufacturing.

현재, 집적 회로는 다양한 재료층이 기판 상에 소정의 배열로 순차적으로 구성되는 정교한 공정에 의해 제조된다. Currently, integrated circuits are manufactured by sophisticated processes in which various layers of material are sequentially constructed in a predetermined arrangement on a substrate.

무어의 법칙이 진보하여 소자들이 더욱 더 작아짐에 따라, 구리 배선에서 계속해서 증가하는 전계이동(EM) 요구를 충족시키는 것은 더욱 어려워지고 있다. 배선 치수가 축소됨에 따라서, EM 불이행에 대한 공동(void)의 임계 크기도 감소되어, 불이행에 이르는 평균 시간이 급격하게 감소하게 된다. EM 저항의 유의미한 개선은 스케일링(scaling)을 게속할 수 있게 하기 위해 필요하다. As Moore's Law advances and devices become smaller and smaller, it becomes more difficult to meet the ever-increasing field-movement (EM) demands in copper wiring. As the wiring dimensions are reduced, the critical size of the void for EM failure is also reduced, so that the average time to failure is drastically reduced. Significant improvement in EM resistance is needed in order to be able to continue scaling.

유전체 확산 배리어와 금속계 재료 사이의 인터페이스는 금속 재료 확산을 위한 주된 경로이자 EM 불이행을 막는 데 있어서 가장 약한 링크인 것으로 나타났다. 선택적 금속 캡의 실시는, 금속계 표면 대 유전체 표면에 대한 양호한 선택성을 달성하기가 어렵기 때문에 도전할만한 과제였다. 전계이동을 감소시키기 위한 이러한 상황에서 사용될 수 있는 금속계 막의 선택적 증착을 위한 방법들이 본원에 개시되어 있다. The interface between the dielectric diffusion barrier and the metallic material has been shown to be the main path for metallic material diffusion and the weakest link in preventing EM failure. Implementation of a selective metal cap has been a challenging task as it is difficult to achieve good selectivity for a metal-based surface to a dielectric surface. Methods for selective deposition of metal-based films that can be used in this situation to reduce field transfer are disclosed herein.

텅스텐의 선택적 증착은 유리하게는 반도체 소자를 제조하는 동안 복잡한 패터닝 단계들에 대한 필요성을 감소시킨다. 그러나, 미묘한 표면 처리, 예컨대 열적 또는 라디칼 처리는 선택적 증착을 위한 요망하는 표면 말단을 제공하기 위해 일반적으로 선호된다. 이러한 표면 처리는 선택적 증착을 위해 필요한 표면을 적절하게 준비하지 못하여 선택도의 손실로 연결될 수 있다.The selective deposition of tungsten advantageously reduces the need for complex patterning steps during semiconductor device fabrication. However, subtle surface treatments, such as thermal or radical treatments, are generally preferred to provide the desired surface end for selective deposition. Such surface treatment may lead to loss of selectivity due to inadequate preparation of the surface required for selective deposition.

일부 양태에서, 기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 금속계 표면 상에 막을 선택적으로 증착하기 위한 방법들을 기술한다. 일부 구현예들에서, 상기 방법은, 제1 금속계 표면 처리 공정을 수행하는 단계를 포함하고, 상기 제1 금속계 표면 처리 공정은 유의미한 양의 새로운 표면 기들 또는 리간드들이 상기 제1 금속계 표면 처리 공정에 의해 상기 제2 유전체 표면 상에 제공되지 않도록 상기 기판의 상기 제1 금속계 표면으로부터 표면층을 제거하는 단계; 및 상기 기판의 상기 제2 유전체 표면에 상대적인 상기 기판의 상기 제1 금속계 표면 상에 약 50%를 초과하는 선택도로 막을 선택적으로 증착하는 단계를 포함할 수 있다. In some aspects, methods are described for selectively depositing a film on a first metallic surface of the same substrate relative to a second dielectric surface of the substrate. In some embodiments, the method includes performing a first metal-based surface treatment process, and the first metal-based surface treatment process includes a significant amount of new surface groups or ligands being added by the first metal-based surface treatment process. Removing a surface layer from the first metallic surface of the substrate such that it is not provided on the second dielectric surface; And selectively depositing a film with a selectivity greater than about 50% on the first metallic surface of the substrate relative to the second dielectric surface of the substrate.

일부 구현예들에서, 제1 금속계 표면 처리 공정은 상기 기판의 적어도 상기 제1 금속계 표면을 가스로부터 발생된 플라즈마에 노출시키는 단계를 포함한다. 일부 구현예들에서, 제1 금속계 표면 처리 공정은 상기 기판의 상기 제1 금속계 표면 및 상기 기판의 제2 유전체 표면을 상기 가스로부터 발생된 플라즈마에 노출시키는 단계를 포함한다. 일부 구현예들에서, 상기 제1 금속계 표면 처리 공정은 상기 기판의 상기 제1 금속계 표면 상에 존재하는 금속 산화물층을 환원시키고/환원시키거나 제거하는 단계를 더 포함한다. 일부 구현예들에서, 상기 제거된 표면층은 유기 재료를 포함한다. 일부 구현예들에서, 상기 제거된 표면층은 보호층을 포함한다. 일부 구현예들에서, 상기 제거된 표면층은 벤조트리아졸(BTA)을 포함한다. 일부 구현예들에서, 상기 가스는 석탄산을 포함한다. 일부 구현예들에서, 상기 가스는 포름산(HCOOH) 및 H2를 포함한다. 일부 구현예들에서, 상기 가스는 HCOOH, NH3, 및 H2를 포함한다. 일부 구현예들에서, 상기 가스는 희가스를 포함하는 캐리어 가스에 의해 제공된다. 일부 구현예들에서, 상기 제1 금속계 표면 처리 공정 동안 상기 기판의 온도는 약 300℃이다. 일부 구현예들에서, 상기 제1 금속계 표면 처리 공정은 상기 기판의 적어도 상기 제1 금속계 표면을 상기 플라즈마에 약 1초 내지 약 10분 동안 노출시키는 단계를 포함한다. 일부 구현예들에서, 상기 플라즈마는 약 10W 내지 약 3000W의 RF 전력을 상기 가스에 공급함으로써 발생된다. 일부 구현예들에서, 상기 RF 전력의 주파수는 약 1 MHz 내지 약 10 GHz이다. 일부 구현예들에서, 상기 플라즈마가 발생되는 상기 가스의 압력은 약 1 Pa 내지 약 5000 Pa이다. 일부 구현예들에서, 상기 선택적으로 증착된 막은 텅스텐을 포함한다. 일부 구현예들에서, 상기 제1 금속계 표면은 구리 또는 코발트를 포함한다. 일부 구현예들에서, 상기 제2 유전체 표면은 실리콘을 포함한다. In some embodiments, the first metallic surface treatment process includes exposing at least the first metallic surface of the substrate to a plasma generated from a gas. In some embodiments, the first metallic surface treatment process includes exposing the first metallic surface of the substrate and the second dielectric surface of the substrate to a plasma generated from the gas. In some embodiments, the first metal-based surface treatment process further includes reducing and/reducing or removing a metal oxide layer present on the first metal-based surface of the substrate. In some embodiments, the removed surface layer comprises an organic material. In some embodiments, the removed surface layer comprises a protective layer. In some embodiments, the removed surface layer comprises benzotriazole (BTA). In some embodiments, the gas comprises phenolic acid. In some embodiments, the gas comprises formic acid (HCOOH) and H 2 . In some embodiments, the gas comprises HCOOH, NH 3 , and H 2 . In some embodiments, the gas is provided by a carrier gas comprising a noble gas. In some embodiments, the temperature of the substrate is about 300° C. during the first metal-based surface treatment process. In some embodiments, the first metallic surface treatment process includes exposing at least the first metallic surface of the substrate to the plasma for about 1 second to about 10 minutes. In some implementations, the plasma is generated by supplying RF power of about 10W to about 3000W to the gas. In some implementations, the frequency of the RF power is between about 1 MHz and about 10 GHz. In some embodiments, the pressure of the gas at which the plasma is generated is about 1 Pa to about 5000 Pa. In some embodiments, the selectively deposited film comprises tungsten. In some embodiments, the first metallic surface comprises copper or cobalt. In some embodiments, the second dielectric surface comprises silicon.

일부 양태에서, 기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 금속계 표면 상에 막을 선택적으로 증착하기 위한 방법들을 기술한다. 일부 구현예들에서, 상기 방법은, 제1 금속계 표면 처리 공정을 수행하는 단계를 포함하고, 상기 제1 금속계 표면 처리 공정은 HCOOH를 포함하는 가스로부터 발생된 플라즈마에 상기 기판의 적어도 상기 제1 금속계 표면을 노출함으로써 상기 기판의 상기 제1 금속계 표면으로부터 표면층을 제거하는 단계; 및 상기 기판의 상기 제2 유전체 표면에 상대적인 상기 기판의 상기 제1 금속계 표면 상에 약 50%를 초과하는 선택도로 막을 선택적으로 증착하는 단계를 포함할 수 있다. In some aspects, methods are described for selectively depositing a film on a first metallic surface of the same substrate relative to a second dielectric surface of the substrate. In some embodiments, the method includes performing a first metal-based surface treatment process, and the first metal-based surface treatment process comprises at least the first metal-based of the substrate in plasma generated from a gas containing HCOOH. Removing a surface layer from the first metallic surface of the substrate by exposing the surface; And selectively depositing a film with a selectivity greater than about 50% on the first metallic surface of the substrate relative to the second dielectric surface of the substrate.

일부 양태에서, 제1 금속계 표면과 실리콘 함유 제2 표면을 포함하는 기판 상에 막을 선택적으로 증착하기 위한 방법들이 본원에 제시된다. 일부 구현예들에서, 상기 방법들은 선택적 증착 공정이 수행될 반응 챔버를 패시베이션하는 단계, 상기 기판을 플라즈마에 노출시키는 것을 포함하는, 상기 기판에 대한 제1 표면 처리 공정을 거치는 단계, 상기 제1 표면 처리 공정 후, 상기 반응 챔버 내에서 1회 이상의 선택적 증착 사이클을 수행하는 단계를 포함하고, 각 사이클이 상기 기판을 실리콘 또는 붕소를 포함하는 제1 전구체와 접촉시켜 실리콘을 포함하는 제2 표면에 상대적인 제1 금속계 표면 상에 Si 또는 B를 포함하는 제1 재료층을 선택적으로 형성하고 상기 제1 재료를 금속을 포함하는 제2 전구체에 노출시켜 상기 제1 금속계 표면 위의 상기 제1 재료를 제2 금속 재료로 변환하는 것을 포함한다. 일부 구현예에서, 상기 제2 금속 재료는 실리콘을 포함하는 상기 제2 표면에 상대적인 상기 기판의 제1 금속계 표면 상에 약 50%를 초과하는 선택도로 증착된다. In some aspects, methods are provided herein for selectively depositing a film on a substrate comprising a first metal-based surface and a silicon-containing second surface. In some embodiments, the methods include passivating a reaction chamber in which a selective deposition process is to be performed, exposing the substrate to plasma, undergoing a first surface treatment process on the substrate, the first surface After the treatment process, the step of performing one or more selective deposition cycles in the reaction chamber, each cycle contacting the substrate with a first precursor containing silicon or boron to be relative to a second surface containing silicon. Selectively forming a layer of a first material comprising Si or B on a first metallic surface and exposing the first material to a second precursor comprising a metal, thereby exposing the first material on the first metallic surface to a second Including conversion to metallic materials. In some embodiments, the second metallic material is deposited with a selectivity greater than about 50% on the first metallic surface of the substrate relative to the second surface comprising silicon.

일부 구현예들에서, 상기 제1 금속계 표면은 구리를 포함한다. 일부 구현예들에서, 상기 제1 금속계 표면은 코발트를 포함한다. 일부 구현예들에서, 상기 실리콘을 포함하는 제2 표면은 SiO2를 포함한다. 일부 구현예들에서, 상기 제2 금속 재료는 텅스텐을 포함한다. 일부 구현예들에서, 상기 반응 챔버를 패시베이션하는 단계는 상기 하나 이상의 선택적 증착 사이클 동안 상기 제1 또는 제2 전구체에 노출될 수 있는 상기 반응 챔버 내의 표면들 상에 패시베이션층을 증착하는 단계를 포함한다. 일부 구현예들에서, 상기 패시베이션층은 기상 증착 공정에 의해 형성된다. 일부 구현예들에서, 상기 패시베이션층은 플라즈마 강화 화학 기상 증착(PECVD) 공정에 의해 형성된다. 일부 구현예들에서, 상기 패시베이션층은 플라즈마 강화 원자층 증착(PEALD) 공정에 의해 형성된다. 일부 구현예들에서, 상기 패시베이션층은 플라즈마가 존재하는 반응 챔버로 제1 기상 실리콘 전구체와 제2 기상 질소 전구체를 안내함으로써 형성된다. 일부 구현예들에서, 상기 패시베이션층은 상기 반응 챔버를 디실란을 포함하는 제1 전구체 및 질소 원자, 질소 라디칼, 또는 질소 플라즈마 및 수소 원자, 수소 라디칼 또는 수소 플라즈마를 포함하는 제2 전구체에 교번적, 순차적으로 노출시킴으로써 형성된다. In some embodiments, the first metallic surface comprises copper. In some embodiments, the first metallic surface comprises cobalt. In some embodiments, the second surface comprising silicon comprises SiO 2 . In some embodiments, the second metallic material comprises tungsten. In some embodiments, passivating the reaction chamber comprises depositing a passivation layer on surfaces in the reaction chamber that may be exposed to the first or second precursor during the one or more selective deposition cycles. . In some embodiments, the passivation layer is formed by a vapor deposition process. In some embodiments, the passivation layer is formed by a plasma enhanced chemical vapor deposition (PECVD) process. In some embodiments, the passivation layer is formed by a plasma enhanced atomic layer deposition (PEALD) process. In some embodiments, the passivation layer is formed by guiding the first vapor phase silicon precursor and the second vapor phase nitrogen precursor to a reaction chamber in which plasma is present. In some embodiments, the passivation layer alternates the reaction chamber with a first precursor containing disilane and a second precursor containing a nitrogen atom, a nitrogen radical, or a nitrogen plasma and a hydrogen atom, a hydrogen radical, or a hydrogen plasma. , Is formed by sequentially exposing.

일부 구현예들에서, 상기 패시베이션층은 SiN을 포함한다. 일부 구현예들에서, 상기 플라즈마는 에탄올로부터 발생된다. 일부 구현예들에서, 상기 플라즈마는 NH3과 H2로부터 발생된다. 일부 구현예들에서, 상기 제1 전구체는 실란을 포함한다. 일부 구현예들에서, 상기 제1 전구체는 디실란을 포함한다. 일부 구현예들에서, 상기 제2 전구체는 금속 할로겐화물을 포함한다. 일부 구현예들에서, 상기 제2 전구체는 WF6를 포함한다. 일부 구현예들에서, 상기 방법은 상기 기판이 제1 표면 처리 공정을 거치기 전에, 상기 기판이 제2 표면 처리 공정을 거치는 단계를 더 포함한다. 일부 구현예들에서, 상기 제2 표면 처리 공정은 상기 기판을 처리 반응물에 노출시키는 단계를 포함하고, 상기 처리 반응물은 상기 제2 표면을 패시베이션한다. 일부 구현예들에서, 상기 제2 금속 재료는 실리콘을 포함하는 상기 제2 표면에 상대적인 상기 기판의 제1 금속계 표면 상에 약 90%를 초과하는 선택도로 증착된다.In some embodiments, the passivation layer comprises SiN. In some embodiments, the plasma is generated from ethanol. In some embodiments, the plasma is generated from NH 3 and H 2. In some embodiments, the first precursor comprises silane. In some embodiments, the first precursor comprises disilane. In some embodiments, the second precursor comprises a metal halide. In some embodiments, the second precursor comprises WF6. In some embodiments, the method further includes subjecting the substrate to a second surface treatment process before the substrate undergoes a first surface treatment process. In some embodiments, the second surface treatment process includes exposing the substrate to a treatment reactant, and the treatment reactant passivates the second surface. In some embodiments, the second metallic material is deposited with a selectivity greater than about 90% on the first metallic surface of the substrate relative to the second surface comprising silicon.

도 1은 실리콘 함유 제2 표면에 상대적인 제1 금속계 표면 상에 금속막을 선택적으로 증착하기 위한 방법을 개괄적으로 도시한 흐름도이다.
도 2a는 금속 산화물층 및 그 위에 배치된 패시베이션층을 갖는 제1 금속계 표면, 및 제2 유전체 표면을 포함하는 예시적 기판의 모식도이다.
도 2b는 일부 구현예들에 따라 본원에 기술된 바와 같이 표면 처리 공정 및 제1 금속계 표면 상에 금속막을 선택적으로 증착하기 위한 방법을 거친 후 도 5a의 예시적 기판의 모식도이다.
도 3은 특정 구현예들에 따라 실리콘 함유 제2 표면에 상대적인 제1 금속계 표면 상에 금속막을 선택적으로 증착하기 위한 방법을 도시한 흐름도이다.
도 4는 특정한 다른 구현예들에 따라 실리콘 함유 제2 표면에 상대적인 제1 금속계 표면 상에 금속막을 선택적으로 증착하기 위한 방법을 도시한 흐름도이다.
도 5는 반응 챔버 내에서 선택적인 증착 공정을 수행하기 전에 반응 챔버를 패시베이션 하기 위한 방법을 개괄적으로 도시한 흐름도이다.
도 6a는 제1 Cu 표면과 제2 낮은 유전상수(k) 표면을 포함하며 H2로부터 발생된 플라즈마에 기판을 노출시키는 단계를 포함하는 표면 처리 공정을 거친 기판 상에 W의 블랭킷 증착을 보여주는 주사 전자 현미경 사진이다.
도 6b는 제1 Cu 표면과 제2 낮은 유전상수(k) 표면을 포함하며 H2 및 N2로부터 발생된 플라즈마에 기판을 노출시키는 단계를 포함하는 표면 처리 공정을 거친 기판 상에 W의 블랭킷 증착을 보여주는 주사 전자 현미경 사진이다.
도 6c는 제1 Cu 표면과 제2 낮은 유전상수(k) 표면을 포함하며 NH3로부터 발생된 플라즈마에 기판을 노출시키는 단계를 포함하는 표면 처리 공정을 거친 기판 상에 W의 블랭킷 증착을 보여주는 주사 전자 현미경 사진이다.
도 6d는 제1 Cu 표면과 제2 낮은 유전상수(k) 표면을 포함하며 NH3 및 H2로부터 발생된 플라즈마에 기판을 노출시키는 단계를 포함하는 표면 처리 공정을 거친 기판 상에 W의 블랭킷 증착을 보여주는 주사 전자 현미경 사진이다.
도 7은 HCOOH, NH3, 및 H2로부터 발생된 플라즈마에 기판을 노출시키는 단계를 포함하는 표면 처리 공정을 거친 기판의 제2 낮은 유전상수(k) 표면에 상대적인 상기 기판의 제1 Cu 표면상에 W의 선택적 증착을 보여주는 주사 전자 현미경 사진이다.
1 is a flow chart schematically illustrating a method for selectively depositing a metal film on a first metal-based surface relative to a second silicon-containing surface.
2A is a schematic diagram of an exemplary substrate including a first metal-based surface having a metal oxide layer and a passivation layer disposed thereon, and a second dielectric surface.
FIG. 2B is a schematic diagram of the exemplary substrate of FIG. 5A after undergoing a surface treatment process and a method for selectively depositing a metal film on a first metal-based surface as described herein in accordance with some embodiments.
3 is a flow diagram illustrating a method for selectively depositing a metal film on a first metal-based surface relative to a second silicon-containing surface according to certain embodiments.
4 is a flow diagram illustrating a method for selectively depositing a metal film on a first metal-based surface relative to a second silicon-containing surface according to certain other embodiments.
5 is a flow chart schematically illustrating a method for passivating a reaction chamber prior to performing an optional deposition process in the reaction chamber.
6A is a scan showing the deposition of a blanket of W on a substrate including a first Cu surface and a second low dielectric constant (k) surface and subjected to a surface treatment process including exposing the substrate to plasma generated from H 2 This is an electron micrograph.
Figure 6b is a blanket deposition of W on a substrate that includes a first Cu surface and a second low dielectric constant (k) surface and has undergone a surface treatment process including exposing the substrate to plasma generated from H 2 and N 2 It is a scanning electron micrograph showing.
6C is a scan showing the deposition of a blanket of W on a substrate including a first Cu surface and a second low dielectric constant (k) surface and subjected to a surface treatment process including exposing the substrate to plasma generated from NH 3 This is an electron micrograph.
6D is a blanket deposition of W on a substrate including a first Cu surface and a second low dielectric constant (k) surface and subjected to a surface treatment process including exposing the substrate to plasma generated from NH 3 and H 2 It is a scanning electron micrograph showing.
7 is a first Cu surface of the substrate relative to the second low dielectric constant (k) surface of the substrate subjected to a surface treatment process including exposing the substrate to plasma generated from HCOOH, NH 3 , and H 2 Is a scanning electron micrograph showing the selective deposition of W in.

일부 구현예들에서, 실리콘 함유 재료, 예컨대 이산화 실리콘 상에서의 증착을 피하면서 금속 또는 금속 재료들 상에 금속막을 선택적으로 증착하기 위한 방법들이 개시된다. 예를 들어, 금속막은 배선 기판 처리의 마지막을 위해 구리 위에 증착될 수 있다. 일부 구현예들에서, 금속막들은 구리 배선을 포함하는 집적회로 가공물 상에 실리콘 함유 재료로 증착된다. In some implementations, methods are disclosed for selectively depositing a metal film on a metal or metal materials while avoiding deposition on a silicon containing material, such as silicon dioxide. For example, a metal film may be deposited over copper for the end of the wiring board treatment. In some implementations, metal films are deposited with a silicon-containing material on an integrated circuit workpiece including copper interconnects.

일부 이러한 응용들에서, 본원에 개시된 선택적 증착 방법은 구리 위로 재료를 증착하기 위해 사용됨으로써 구리의 전계이동을 감소시킬 수 있다. 일부 구현예들에서, 선택적 증착은 구리 금속층에 대한 것이고 기판 상의 실리콘 함유 재료에 대한 것은 아니다. 이러한 응용들에서, 실리콘 함유 재료 위에서의 증착은 실효 유전율 값을 감소시킬 수 있기 때문에 바람직하지 않다. In some such applications, the selective deposition method disclosed herein can be used to deposit material over copper, thereby reducing the field migration of copper. In some implementations, the selective deposition is for the copper metal layer and not the silicon containing material on the substrate. In these applications, deposition on a silicon containing material is undesirable because it can reduce the effective dielectric constant value.

일부 구현예들에서, 본원에 기술된 방법 흐름은 집적회로의 제조 동안 마이크로미터 스케일의(또는 이보다 작은) 특징부 상에 금속을 선택적으로 증착하기 위해 사용된다. 일부 구현예들에서, 특징부 크기는 100 마이크로미터 미만, 1 마이크로미터 미만 또는 200 nm 미만일 수 있다. 배선에서의 응용을 위해 Cu 위에 W을 선택적으로 증착하는 경우에, 일부 구현예들에서, 특징부 크기/라인 폭은 1 마이크로미터 미만, 200 nm 미만, 100 nm 미만, 심지어 50 nm일 수 있다. 물론, 당업자는 개시된 방법들을 사용하여 더 큰 특징부들 및 다른 상황에서의 선택적 증착이 가능함을 인식할 것이다. In some implementations, the method flow described herein is used to selectively deposit metal on micron scale (or smaller) features during fabrication of an integrated circuit. In some implementations, the feature size can be less than 100 microns, less than 1 micron, or less than 200 nm. In the case of selectively depositing W over Cu for applications in wiring, in some implementations, the feature size/line width can be less than 1 micrometer, less than 200 nm, less than 100 nm, and even 50 nm. Of course, one of ordinary skill in the art will recognize that larger features and selective deposition in other situations are possible using the disclosed methods.

일부 구현예들에서, 선택적 증착은 추가적인 처리 단계들을 피함으로써 시간을 절감하고 기판 처리와 관련된 비용을 줄일 수 있다. 예를 들어, 앞으로는 작은 치수들을 위한 리소그래피가 매우 비쌀 것이다. 칩에서 8개 이상의 층의 Cu 금속화를 하는 경우, 기판 처리 동안 구리 금속화의 각 영역에 대하여 시간이 절감되기 때문에 선택적 증착을 이용하여 이룰 수 있는 시간 및 비용 절감이 확대된다. 또한, 본원에 개시된 방법은 확산 배리어 및 다른 처리 단계들에 대한 필요성을 제거할 수 있다. In some implementations, selective deposition can save time and reduce the cost associated with processing the substrate by avoiding additional processing steps. For example, lithography for small dimensions will be very expensive in the future. When 8 or more layers of Cu metallization are performed on a chip, time is saved for each region of copper metallization during substrate processing, and thus time and cost savings that can be achieved using selective deposition are expanded. In addition, the method disclosed herein can eliminate the need for a diffusion barrier and other processing steps.

일부 구현예들에서, 기판의 제1 금속계 표면으로부터 표면층 또는 층들을 제거하기 위한 방법들이 개시된다. 일부 구현예들에서, 상기 표면층 제거 방법은 상기 기판의 제1 금속계 표면 상에 존재하는 표면층을 제거할 수 있다. 예를 들어, 상기 표면층 제거 방법은 상기 기판의 제1 표면 상에서 선택적 증착을 가능하게 하거나 향상시키기 위해 상기 제1 표면 상에 존재하는 표면층을 제거할 수 있다. 일부 구현예들에서, 상기 제거된 표면층은 유기 재료로 이루어진 층을 포함할 수 있다. 즉, 일부 구현예들에서, 표면층 제거 방법은 상기 제1 금속계 표면 상에 존재하는 임의의 유기 재료를 제거할 수 있다. 예를 들어, 표면층 제거 방법은 상기 제1 금속계 표면 상에 존재하는 유기 패시베이션층을 제거할 수 있다. 예를 들어, 상기 표면층 제거 방법은 집적회로 가공물의 구리 표면으로부터 벤조트리아졸(BTA)을 제거할 수 있다. 일부 구현예들에서, 상기 표면층 제거 방법은 상기 제1 금속계 표면 상에 존재할 수 있는 임의의 유기 및/또는 탄화수소층을 제거할 수 있다. In some implementations, methods are disclosed for removing a surface layer or layers from a first metallic surface of a substrate. In some embodiments, the method of removing the surface layer may remove the surface layer present on the first metallic surface of the substrate. For example, the method of removing the surface layer may remove the surface layer present on the first surface to enable or improve selective deposition on the first surface of the substrate. In some embodiments, the removed surface layer may include a layer made of an organic material. That is, in some embodiments, the method of removing the surface layer may remove any organic material present on the first metallic surface. For example, the method of removing the surface layer may remove the organic passivation layer present on the first metal-based surface. For example, the surface layer removal method may remove benzotriazole (BTA) from a copper surface of an integrated circuit workpiece. In some embodiments, the method of removing the surface layer may remove any organic and/or hydrocarbon layer that may exist on the first metal-based surface.

일부 구현예들에서, 상기 표면층 제거 방법은 상기 기판의 제1 금속계 표면 상에 존재하는 표면층 또는 표면층의 일부를 제거할 수 있다. 일부 구현예들에서, 상기 표면층 제거 방법은 상기 제1 금속계 표면 상에 존재하는 임의의 산화물 표면층을 환원시키고/환원시키거나 제거할 수 있다. 일부 구현예들에서, 표면층 제거 방법은 상기 제1 금속계 표면 상에 존재할 수 있는 임의의 자연산화물층을 환원시키고/환원시키거나 제거할 수 있다. 일부 구현예들에서, 상기 표면층 제거 방법은, 예컨대 상기 제1 금속계 표면 상에 존재하는 표면층 또는 표면층 일부를 환원시키고/환원시키거나 제거함으로써 상기 제1 금속계 표면 상에 활성 사이트들을 제공할 수 있다. 일부 구현예들에서, 산화물층은 부분적으로 제거될 수 있고, 상기 산화물층을 포함하는 남아 있는 재료는 상기 표면층 제거 방법에 의해 환원될 수 있다. 즉, 일부 구현예들에서, 상기 산화층의 일부는 상기 표면층 제거 방법에 의해 제거될 수 있고, 그에 반하여 임의의 나머지 산화물층은 상기 표면층 제거 방법에 의해 환원될 수 있다. 일부 구현예들에서, 산화물 표면층 전부는 상기 표면층 제거 방법에 의해 실질적으로 제거될 수 있다. 일부 구현예들에서, 산화물 표면층 전부는 상기 표면층 제거 방법에 의해 실질적으로 환원될 수 있다. In some embodiments, the method of removing the surface layer may remove the surface layer or a part of the surface layer existing on the first metallic surface of the substrate. In some embodiments, the method of removing the surface layer may reduce/reduce or remove any oxide surface layer present on the first metal-based surface. In some embodiments, the method of removing the surface layer may reduce/reduce or remove any natural oxide layer that may exist on the first metal-based surface. In some embodiments, the method of removing the surface layer may provide active sites on the first metallic surface, for example by reducing and/reducing or removing a surface layer or a portion of the surface layer present on the first metallic surface. In some embodiments, the oxide layer may be partially removed, and the remaining material including the oxide layer may be reduced by the surface layer removal method. That is, in some embodiments, a part of the oxide layer may be removed by the surface layer removal method, whereas any remaining oxide layer may be reduced by the surface layer removal method. In some embodiments, all of the oxide surface layer may be substantially removed by the surface layer removal method. In some embodiments, all of the oxide surface layer may be substantially reduced by the method of removing the surface layer.

본원에서 사용된 바와 같이, "환원된다"라는 용어는 산화물 재료가 그의 비산화물 형태로 화학적으로 변환된다는 것을 지칭할 수 있다. 즉, 금속 산화물 재료가 환원될 때, 이 금속 산화물 재료는 금속 산화물의 금속으로 화학적으로 변환된다. 예를 들어, 구리 산화물층은 구리를 포함하는 제1 금속계 표면 상에 존재할 수 있고 표면층 제거는 구리 산화물이 금속 구리로 변환되도록 구리 산화물층을 환원시킬 수 있다. 일부 구현예들에서, 상기 표면층은 유기 표면층과 상기 유기 표면층 아래에 놓인 산화물층 모두를 포함할 수 있다. 제1 금속계 표면이 유기 표면층과 상기 유기 표면층 아래에 놓인 산화물층을 포함하는 표면층을 포함할 수 있는 일부 구현예들에서, 상기 표면층 제거 방법은 상기 유기 표면층을 제거할 수 있고 또한 상기 산화물층을 환원시키고/환원시키거나 제거함으로써, 매끈한 제1 금속계 표면을 제공할 수 있다. As used herein, the term “reduced” may refer to that the oxide material is chemically converted to its non-oxide form. That is, when the metal oxide material is reduced, the metal oxide material is chemically converted to the metal of the metal oxide. For example, a copper oxide layer may be present on a first metal-based surface comprising copper and removal of the surface layer may reduce the copper oxide layer such that the copper oxide is converted to metallic copper. In some embodiments, the surface layer may include both an organic surface layer and an oxide layer underlying the organic surface layer. In some embodiments, in which the first metal-based surface may include an organic surface layer and a surface layer including an oxide layer disposed under the organic surface layer, the method of removing the surface layer may remove the organic surface layer and further reduce the oxide layer. By reducing and/or removing, a smooth first metallic surface can be provided.

일부 구현예들에서, 상기 기판의 제1 금속계 표면은 상기 기판을 가스로부터 발생된 플라즈마에 노출시키는 단계를 포함하는 표면층 제거 방법을 거친다. 일부 구현예들에서, 상기 표면층 제거 방법은 적어도 상기 제1 표면을 상기 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 구현예들에서, 상기 표면층 제거 방법은 상기 기판의 제1 표면과 제2 표면을 상기 플라즈마에 노출시키는 단계를 포함할 수 있다. 예를 들어, 이러한 표면층 제거 방법은 제1 금속계 표면, 예컨대 Cu 표면 상에 존재하는 패시베이션층을 제거할 수 있다. 예를 들어, 이러한 표면층 제거 방법은 제1 금속계 표면으로부터 산화물층을 환원시키고/환원시키거나 제거, 예컨대 Cu 표면으로부터 구리 산화물층을 환원시키고/환원시키거나 제거할 수 있다. In some embodiments, the first metallic surface of the substrate is subjected to a surface layer removal method including exposing the substrate to plasma generated from gas. In some embodiments, the method of removing the surface layer may include exposing at least the first surface to the plasma. In some embodiments, the method of removing the surface layer may include exposing the first surface and the second surface of the substrate to the plasma. For example, this method of removing the surface layer may remove the passivation layer present on the first metal-based surface, for example, the Cu surface. For example, such a surface layer removal method can reduce and/or remove the oxide layer from the first metal-based surface, such as reducing/reducing or removing the copper oxide layer from the Cu surface.

일부 구현예들에서, 하나 이상의 유기 화합물을 포함하는 가스로부터 발생된 플라즈마가 상기 표면층 제거 방법에서 사용될 수 있다. 일부 구현예들에서, 본원에서 기술된 화합물을 포함하는 가스로부터 발생된 플라즈마가 상기 표면층 제거 방법에서 사용될 수 있다. 일부 구현예들에서, 포름산(HCOOH)을 포함하는 가스로부터 발생된 플라즈마가 상기 표면층 제거 방법에서 사용될 수 있다. 일부 구현예들에서, 석탄산(carbolic acid)을 포함하는 가스로부터 발생된 플라즈마가 상기 표면층 제거 방법에서 사용될 수 있다. 일부 구현예들에서, HCOOH 및 NH3을 포함하는 가스로부터 발생된 플라즈마가 상기 표면층 제거 방법에서 사용될 수 있다. 일부 구현예들에서, HCOOH 및 H2을 포함하는 가스로부터 발생된 플라즈마가 상기 표면층 제거 방법에서 사용될 수 있다. In some embodiments, plasma generated from a gas containing one or more organic compounds may be used in the surface layer removal method. In some embodiments, plasma generated from a gas comprising a compound described herein may be used in the surface layer removal method. In some embodiments, plasma generated from a gas containing formic acid (HCOOH) may be used in the method of removing the surface layer. In some embodiments, plasma generated from a gas containing carbolic acid may be used in the method of removing the surface layer. In some embodiments, plasma generated from a gas including HCOOH and NH 3 may be used in the method of removing the surface layer. In some embodiments, plasma generated from a gas including HCOOH and H 2 may be used in the method of removing the surface layer.

일부 구현예들에서, HCOOH, NH3, 및 H2을 포함하는 가스로부터 발생된 플라즈마가 상기 표면층 제거 방법에서 사용될 수 있다. 일부 구현예들에서, 플라즈마는 HCOOH, NH3 및 H2를 포함하는 가스로부터 발생될 수 있고, 여기서 HCOOH 대 NH3 대 H2의 비는 약 1:1:5 내지 약 1:1:20, 또는 약 1:1:9 내지 약 1:1:19이다. 일부 구현예들에서, HCOOH 대 NH3 대 H2의 비는 약 1:1:19이다. 유리하게는, 기판의 제1 금속계 표면을 HCOOH, NH3, 및 H2를 포함하는 가스로부터 발생된 플라즈마에 노출시키는 단계는 상기 제1 금속계 표면 상에 존재하는 임의의 패시베이션 재료를 제거할 수 있고, 또한 상기 제1 금속계 표면 상에 존재하는 임의의 자연산화물 재료를 환원시키고/환원시키거나 제거할 수 있다. 또한, HCOOH, NH3, 및 H2를 포함하는 가스로부터 발생된 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 표면층 제거 방법은 임의의 추가적이거나 새로운 표면 기들 또는 리간드들을 제2 표면, 예컨대 기판의 제2 유전체 표면에게 제공하지 않을 수 있다. 이러한 방식으로, 예를 들어 표면층 제거 방법은 기판, 예컨대 집적회로 가공물의 제1 금속계 표면 상에서의 증착을 위해, 상기 기판의 다른 표면들 상에 마스킹이나 보호층을 제공할 필요 없이, 혹은 상기 기판의 제2 표면의 추가적 처리, 예컨대 박막 증착에 대항하여 상기 제2 표면을 패시베이션할 필요 없이, 상기 기판의 제1 금속계 표면을 준비하기 위해 사용될 수 있다. 따라서, 이러한 표면층 제거 방법은 선택적 증착 및/또는 집적회로 제조 방법에서 필요한 처리 단계들의 수를 단순화시키고/단순화시키거나 감소시킬 수 있다. In some embodiments, plasma generated from a gas including HCOOH, NH 3 , and H 2 may be used in the surface layer removal method. In some embodiments, the plasma can be generated from a gas comprising HCOOH, NH 3 and H 2 , wherein the ratio of HCOOH to NH 3 to H 2 is from about 1:1:5 to about 1:1:20, Or from about 1:1:9 to about 1:1:19. In some embodiments, the ratio of HCOOH to NH 3 to H 2 is about 1:1:19. Advantageously, exposing the first metallic surface of the substrate to a plasma generated from a gas comprising HCOOH, NH 3 , and H 2 can remove any passivation material present on the first metallic surface and In addition, it is possible to reduce/reduce or remove any natural oxide material present on the first metal-based surface. In addition, a method of removing a surface layer comprising exposing the substrate to a plasma generated from a gas comprising HCOOH, NH 3 , and H 2 may include any additional or new surface groups or ligands on a second surface, such as a second surface of the substrate. 2 May not be provided on the dielectric surface. In this way, for example, a method of removing a surface layer can be used for deposition on a first metallic surface of a substrate, such as an integrated circuit workpiece, without the need to provide a masking or protective layer on the other surfaces of the substrate, or It can be used to prepare the first metallic surface of the substrate without the need for further treatment of the second surface, such as passivating the second surface against thin film deposition. Accordingly, such a surface layer removal method can simplify and/or reduce the number of processing steps required in a selective deposition and/or integrated circuit manufacturing method.

도 1은 실리콘 함유 제2 표면에 상대적인 제1 금속계 표면 상에 금속막을 선택적으로 증착하기 위한 방법(10)을 개괄적으로 도시한 흐름도이다. 일부 구현예들에서, 상기 방법은, 선택적 증착 방법 동안 선택성이 사라지기 전에 선택적 증착을 가능하게 하고, 선택도를 개선하고, 그리고/또는 연속적 사이클들의 수를 감소시키기 위해 선택적 증착 단계(14) 전에 선택적 반응 챔버 패시베이션 단계(11)를 포함할 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 단계(11)는 원하는 선택도 레벨이 이루어지는 연속적 사이클들의 수를 증가시킬 수 있다. 선택적 반응 챔버 패시베이션 단계(11)는 패시베이션 재료 또는 패시베이션 층을 선택적 증착 단계(14) 동안 전구체 또는 반응물에 노출될 수 있는 챔버 표면들과 다른 위치들 상에 제공하는 단계를 포함할 수 있다. 반응 챔버 패시베이션 단계(11)는 후속의 선택적 증착 단계(14) 동안 챔버 표면 상에서 금속 재료의 증착을 제한하거나 방지함으로써, 선택적 증착 단계(14)에 의해 발생된 반응성 부산물들의 양을 감소시키거나 제거할 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 단계(11)는 선택적 증착 단계(14) 동안 기판의 오염을 감소시킬 수 있고, 이는 결국 선택적 증착을 가능하게 하거나 선택도를 증가시킬 수 있다. 1 is a flow diagram schematically illustrating a method 10 for selectively depositing a metal film on a first metal-based surface relative to a second silicon-containing surface. In some embodiments, the method prior to the selective deposition step 14 to enable selective deposition before the selectivity disappears during the selective deposition method, improve selectivity, and/or reduce the number of successive cycles. An optional reaction chamber passivation step 11 may be included. In some implementations, the reaction chamber passivation step 11 can increase the number of successive cycles at which the desired level of selectivity is achieved. The optional reaction chamber passivation step 11 may include providing a passivation material or passivation layer on locations other than the chamber surfaces that may be exposed to a precursor or reactant during the selective deposition step 14. The reaction chamber passivation step 11 will reduce or eliminate the amount of reactive by-products generated by the selective deposition step 14 by limiting or preventing the deposition of metallic material on the chamber surface during the subsequent selective deposition step 14. I can. In some implementations, the reaction chamber passivation step 11 may reduce contamination of the substrate during the selective deposition step 14, which in turn may enable selective deposition or increase selectivity.

일부 구현예들에서, 상기 패시베이션층은, 예를 들어 SiN을 포함할 수 있다. 일부 구현예들에서, 상기 패시베이션층은 금속 산화물을 포함할 수 있고, 예를 들어 챔버 표면들 상에 존재하는 금속 재료를 산화시킴으로써 형성될 수 있다. 일부 구현예들에서, 상기 패시베이션층은 순수한 금속 또는 순수한 실리콘이 아닐 수 있다. In some embodiments, the passivation layer may include SiN, for example. In some embodiments, the passivation layer may include a metal oxide, and may be formed, for example, by oxidizing a metal material present on the chamber surfaces. In some embodiments, the passivation layer may not be pure metal or pure silicon.

본원에 기술된 방법들을 사용하는 선택적 증착은 그 위에서의 증착을 차단하는 실리콘 함유 재료의 처리를 요구하지 않는다. 그 결과, 일부 구현예들에서, 실리콘을 포함하는 제2 표면은, 제2 유전체 표면의 실제 최상부 표면이 본원에 기술된 증착 방법들의 화학물들에 노출되는 것을 방지하게 될 자기조직화 단분자막(self-assembled monolayer, SAM)과 같은 패시베이션층 또는 블로킹층을 포함하지 않는다. 따라서, 일부 구현예들에서, 제2 실리콘 함유 표면 상에서 막의 증착을 방지하도록 설계된 처리, 예컨대 블로킹 처리 또는 패시베이션 처리를 받지 않은 기판 상의 상기 제1 금속 표면 위에 상기 막이 선택적으로 증착된다. 즉, 일부 구현예들에서, 실리콘을 포함하는 제2 표면 상에서 블로킹층 또는 패시베이션층에 의해 증착이 비록 차단되더라도, 선택적 증착은 이루어질 수 있다. 대신, 증착 전에 실리콘을 포함하는 제2 표면을 전처리할 필요 없이 선택적 증착 방법이 이루어지도록 증착 조건들이 선택된다. Selective deposition using the methods described herein does not require treatment of a silicon containing material that blocks deposition thereon. As a result, in some embodiments, the second surface comprising silicon is a self-assembled monolayer that will prevent the actual top surface of the second dielectric surface from being exposed to the chemicals of the deposition methods described herein. It does not contain a passivation layer or blocking layer such as monolayer, SAM). Thus, in some embodiments, the film is selectively deposited over the first metal surface on a substrate that has not been subjected to a treatment designed to prevent deposition of a film on the second silicon containing surface, such as a blocking treatment or a passivation treatment. That is, in some embodiments, selective deposition may be made even if deposition is blocked by a blocking layer or a passivation layer on the second surface comprising silicon. Instead, the deposition conditions are selected so that the selective deposition method is achieved without the need to pretreat the second surface comprising silicon prior to deposition.

일부 구현예들에서, 제2 실리콘 함유층은 제1 표면을 처리하도록 설계된 처리에 노출될 수 있다. 예를 들어, 일부 구현예들에서, 제1 금속 표면을 패시베이션하는 것이 바람직하고, 실리콘 함유 제2 표면은 제1 금속 표면과 동일한 패시베이션 처리에 노출될 수 있다. 예를 들어, Cu의 경우, 제1 Cu 표면과 실리콘 함유 제2 표면 모두 벤조트리아졸(BTA) 또는 다른 패시베이션화 화학물질에 노출될 수 있다. 그러나, 상기 금속 표면으로부터 상기 패시베이션층을 제거하기 위한 제1 표면 처리에 앞서, 실리콘 함유 제2 표면에 대한 특정한 추가적인 처리 또는 노출(시료를 이송하는 동안 받을 수 있는 것 노출은 제외)은 전혀 이루어지지 않는다. 특히, 실리콘 함유 제2 표면 상에서의 막의 증착을 차단하도록 설계된 어떠한 처리도 수행될 필요가 없다. In some implementations, the second silicon-containing layer can be exposed to a treatment designed to treat the first surface. For example, in some implementations, it is desirable to passivate the first metal surface, and the silicon-containing second surface may be exposed to the same passivation treatment as the first metal surface. For example, in the case of Cu, both the first Cu surface and the silicon-containing second surface may be exposed to benzotriazole (BTA) or other passivating chemicals. However, prior to the first surface treatment to remove the passivation layer from the metal surface, no specific additional treatment or exposure to the silicon-containing second surface (except for exposure that may be received during sample transfer) is made at all. Does not. In particular, it is not necessary to perform any treatment designed to block deposition of the film on the silicon-containing second surface.

일부 구현예들에서, 막의 선택적 증착 시에, 제2 유전체 표면은 낮은 유전상수(k) 재료에서 자연적으로 생기고 있는 표면 기들만을 포함하고, 낮은 유전상수 재료 자체에 자연적으로 존재하지 않는 상당한 양의 관능기 또는 리간드를 포함하지 않는다. 일부 구현예들에서, 제2 유전체 표면에 표면 기들을 부가하게 될 제1 표면 처리 후 제2 유전체 표면의 어떠한 활성 처리도 수행되지 않는다. 일부 구현예들에서, 제2 유전체 표면은, 예를 들어 공기 중에서 기판의 이송 동안 형성되었을 수 있는 기들을 비롯하여, 낮은 유전상수 재료들에서 자연적으로 생기는 표면 기들만을 포함한다. In some embodiments, upon selective deposition of the film, the second dielectric surface contains only surface groups naturally occurring in the low dielectric constant (k) material, and has a significant amount not naturally present in the low dielectric constant material itself. It does not contain functional groups or ligands. In some implementations, no active treatment of the second dielectric surface is performed after the first surface treatment that will add surface groups to the second dielectric surface. In some implementations, the second dielectric surface includes only surface groups naturally occurring in low dielectric constant materials, including groups that may have formed during transport of the substrate in air, for example.

그러나, 일부 구현예들에서, 제2 실리콘 함유 표면은 단계 12에서 선택적으로 처리될 수 있다. 일부 구현예들에서, 실리콘 함유 표면은, 실리콘 함유 표면 상에 증착된 재료의 양을 감소, 예컨대, 실리콘 함유 표면을 패시베이션함으로써 단계 12에서 처리되어 증착 공정의 선택도를 향상시킬 수 있다. 일부 구현예들에서, 처리 단계(12)는 실리콘 함유층을 복원하고 실리콘 함유층 위에서 증착을 차단하지 않도록 의도된다. 일부 구현예들에서, 단계 12에서의 제2 실리콘 함유 표면 처리는 제2 표면을 처리 화학물질과 접촉시키는 단계를 포함할 수 있는 데, 예를 들어, 실리콘 함유 제2 표면은 트리메일(디메틸아미노)실란을 포함하는 처리 화학물질과 접촉될 수 있다. 일부 구현예들에서, 예를 들어 기판 표면으로부터 또는 실리콘 함유 재료 내부에 있는 임의의 수분을 제거하기 위해, 단계 12의 시작 시 또는 전에 기판은 탈가스화될 수 있다. However, in some implementations, the second silicon-containing surface can be selectively treated in step 12. In some implementations, the silicon-containing surface can be treated in step 12 by reducing the amount of material deposited on the silicon-containing surface, such as passivating the silicon-containing surface, to improve the selectivity of the deposition process. In some implementations, processing step 12 is intended to restore the silicon-containing layer and not block deposition over the silicon-containing layer. In some embodiments, treating the second silicone-containing surface in step 12 may include contacting the second surface with a treatment chemical, e.g., the silicone-containing second surface is trimale(dimethylamino ) May come into contact with treatment chemicals including silanes. In some implementations, the substrate may be degassed at or before the beginning of step 12, for example to remove any moisture from the substrate surface or within the silicon containing material.

일부 구현예들에서, 기판 표면은 선택적 증착 단계(14)를 시작하기 전에 단계 13에서 세정되거나 처리된다. 일부 구현예들에서, 제1 표면 처리 단계(13)는 기판을 플라즈마, 예컨대 HCOOH, NH3, 및 H2를 포함하는 가스로부터 발생된 플라즈마에 기판을 노출시키는 단계를 포함할 수 있다. 일부 구현예들에서, 제1 표면 처리 단계(13)는 본원에서 기술된 제1 금속계 표면으로부터 표면층을 제거하기 위한 공정을 포함할 수 있다. 일부 구현예들에서, 제1 표면 처리 단계(13)는 기판을 기상 처리 화학물질, 예컨대 포름산에 노출시키는 단계를 포함할 수 있다. 일부 구현예들에서, 제1 표면 처리 단계(13)는 제1 금속계 표면으로부터 표면층을 환원시키고/환원시키거나 제거할 수 있다. 일부 구현예들에서, 제1 표면 처리 단계(13)는 상기 제1 금속계 표면 상에 존재할 수 있는 임의의 자연산화물을 환원시키고/환원시키거나 제거할 수 있다. 일부 구현예들에서, 자연산화물은 제1 표면 처리 단계(13) 후에 제1 표면 위에 여전히 존재할 수 있다. 일부 구현예들에서, 제1 표면 처리 단계(13)는 임의의 표면층, 예컨대 상기 제1 금속계 표면 상에 존재할 수 있는 유기 및/또는 탄화수소 표면층을 제거할 수 있다. 일부 구현예들에서, 제1 표면 처리 단계(13)는 유기 또는 탄화수소 표면층을 제거할 수 있고 제1 금속계 표면으로부터 산화물층을 환원시키고/환원시키거나 제거할 수 있다. 일부 구현예들에서, 제1 표면 처리 단계(13)는 제1 금속계 표면 상에 활성 사이트를 제공할 수 있다. 일부 구현예들에서, 예를 들어 기판 표면으로부터 또는 실리콘 함유 재료 내부에 있는 임의의 수분을 제거하기 위해, 단계 13의 시작 시 또는 전에 기판은 탈가스화될 수 있다. 일부 구현예들에서, 제1 표면 처리 단계(13)는 제2 표면을 실질적으로 손상시키지 않거나 품질 저하시키지 않을 수 있는 데, 예를 들어 제1 표면 처리 단계(13)는 제2 표면 상에 상당한 양의 새로운 표면 기들 또는 리간드들을 제공하지 않거나 형성하지 않을 수 있다. In some implementations, the substrate surface is cleaned or treated in step 13 prior to starting the optional deposition step 14. In some implementations, the first surface treatment step 13 may include exposing the substrate to a plasma, such as a plasma generated from a gas comprising HCOOH, NH 3 , and H 2. In some embodiments, the first surface treatment step 13 may include a process for removing a surface layer from the first metallic surface described herein. In some implementations, the first surface treatment step 13 may include exposing the substrate to a vapor treatment chemical, such as formic acid. In some embodiments, the first surface treatment step 13 may reduce and/or remove the surface layer from the first metallic surface. In some embodiments, the first surface treatment step 13 may reduce and/reduce or remove any natural oxides that may exist on the first metallic surface. In some embodiments, the natural oxide may still be present on the first surface after the first surface treatment step 13. In some embodiments, the first surface treatment step 13 may remove any surface layer, such as an organic and/or hydrocarbon surface layer that may be present on the first metallic surface. In some embodiments, the first surface treatment step 13 may remove the organic or hydrocarbon surface layer and reduce/reduce or remove the oxide layer from the first metal-based surface. In some implementations, the first surface treatment step 13 can provide an active site on the first metallic-based surface. In some implementations, the substrate may be degassed at or before the beginning of step 13, for example to remove any moisture from the substrate surface or within the silicon containing material. In some embodiments, the first surface treatment step 13 may not substantially damage or degrade the second surface, e.g., the first surface treatment step 13 may have a significant effect on the second surface. It may not provide or form positive new surface groups or ligands.

일부 구현예들에서, 선택적 증착 방법의 단계 14는 제1 금속 표면과 실리콘 함유 제2 표면을 포함하는 기판 상에 복수의 증착 사이클을 사용하여 막을 선택적으로 증착하는 단계를 포함한다. 상기 사이클은 기판을 실리콘 또는 붕소를 포함하는 제1 전구체와 접촉시켜 실리콘을 포함하는 제2 표면에 상대적인 제1 금속 표면 상에 Si 또는 B를 포함하는 제1 재료층을 선택적으로 형성하는 단계; 및 상기 기판을 금속을 포함하는 제2 전구체에 노출시켜서 상기 제1 금속을 제2 금속 물질로 전환하는 단계를 포함한다. 선택적 증착 단계(14)는 실리콘을 포함하는 제2 표면에 상대적인 제1 금속계 표면 상에 더 많은 양의 재료를 형성하는 단계를 포함한다. 선택도는 제1 표면 상에 형성된 재료의 양 대 결합된 제1 및 제2 표면 상에 형성된 재료의 양의 비로 표현될 수 있다. 예를 들어, 어떤 공정이 제1 구리 표면 상에 10 nm의 W를 증착하고 제2 실리콘 산화물 표면 상에 1 nm를 증착하면, 이 공정은 90% 선택도를 가지는 것으로 간주될 것이다. 바람직하게는, 본원에 개시된 방법들의 선택도는 약 80% 초과, 더 바람직하게는 90% 초과, 더욱더 바람직하게는 95% 초과, 그리고 가장 바람직하게는 약 100%이다. 일부 경우에서, 선택도는 적어도 약 80%인 데, 이는 일부 특별한 응용들에 대하여 충분히 선택적일 수 있다. 일부 경우에서, 선택도는 적어도 약 50%인 데, 이는 일부 특별한 응용들에 대하여 충분히 선택적일 수 있다. 일부 구현예들에서, 다수의 증착 사이클이 단계 14에서 재료를 증착하기 위해 사용된다. 일부 구현예들에서, 선택적으로 증착된 막은 금속층이다. 상기 금속층은 원소 금속일 수 있다. 일부 구현예들에서, 상기 금속층은 추가 원소들, 예컨대, Si, B, N 및/또는 도펀트를 포함할 수 있다. 따라서, 일부 구현예들에서, 상기 금속층은 금속 질화물이거나 금속 실리사이드이다. 본원에서 사용된 바와 같이, "금속계(metallic)"는 막, 반응물 또는 다른 재료가 하나 이상의 금속을 포함한다는 것을 나타낸다. In some implementations, step 14 of the selective deposition method includes selectively depositing a film using a plurality of deposition cycles on a substrate comprising a first metal surface and a second silicon containing surface. The cycle comprises: selectively forming a first material layer comprising Si or B on a first metal surface relative to a second surface comprising silicon by contacting the substrate with a first precursor comprising silicon or boron; And converting the first metal into a second metal material by exposing the substrate to a second precursor including a metal. Optional deposition step 14 includes forming a greater amount of material on the first metallic surface relative to the second surface comprising silicon. Selectivity can be expressed as a ratio of an amount of material formed on the first surface to the amount of material formed on the bonded first and second surfaces. For example, if a process deposits 10 nm of W on the first copper surface and 1 nm on the second silicon oxide surface, the process will be considered to have 90% selectivity. Preferably, the selectivity of the methods disclosed herein is greater than about 80%, more preferably greater than 90%, even more preferably greater than 95%, and most preferably greater than about 100%. In some cases, the selectivity is at least about 80%, which may be sufficiently selective for some special applications. In some cases, the selectivity is at least about 50%, which may be sufficiently selective for some special applications. In some implementations, multiple deposition cycles are used to deposit the material in step 14. In some implementations, the selectively deposited film is a metal layer. The metal layer may be an elemental metal. In some embodiments, the metal layer may include additional elements such as Si, B, N and/or dopants. Thus, in some embodiments, the metal layer is a metal nitride or a metal silicide. As used herein, "metallic" indicates that the film, reactant, or other material comprises one or more metals.

기판은 다양한 유형의 재료들을 포함할 수 있다. 집적 회로를 제조할 때, 일반적으로 기판은 변하는 화학적 및 물리적 특성을 가진 많은 박막을 포함한다. 예를 들어 그리고 제한 없이, 기판은 실리콘 함유층 및 금속층을 포함할 수 있다. 일부 구현예에서, 기판은 금속 탄화물을 포함할 수 있다. 일부 구현예에서, 기판은 전도성 산화물을 포함할 수 있다. The substrate can include various types of materials. When manufacturing integrated circuits, substrates generally contain many thin films with varying chemical and physical properties. For example and without limitation, the substrate may include a silicon containing layer and a metal layer. In some implementations, the substrate can include metal carbide. In some embodiments, the substrate can include a conductive oxide.

바람직하게는, 기판은 본원에서 제1 금속 표면 또는 제1 금속계 표면으로서 지칭되는 금속을 포함하는 제1 표면을 가진다. 일부 구현예들에서, 제1 표면은 본질적으로 원소 금속, 예컨대 Cu 또는 Co이다. 일부 구현예들에서, 제1 표면은 금속 질화물을 포함한다. 일부 구현예들에서, 제1 표면은 전이금속을 포함한다. 전이금속은 하기의 군으로부터 선택될 수 있다: Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Au, Hf, Ta, W, Re, Os, Ir 및 Pt. 일부 구현예들에서, 제1 표면은 바람직하게는 구리를 포함한다. 일부 구현예들에서, 상기 제1 표면은 코발트를 포함한다. 일부 구현예들에서, 제1 표면은 텅스텐을 포함한다. 일부 구현예들에서, 제1 표면은 금속의 자연산화물을 포함할 수 있는 데, 예를 들어 제1 표면은 텅스텐 산화물을 포함할 수 있다. 일부 구현예들에서, 제1 표면은 심(seam), 갭, 또는 스페이스를 포함할 수 있고, 선택적 증착 공정은 제1 표면의 심, 갭 또는 스페이스를 닫거나 실질적으로 메운다. 일부 구현예에서, 제1 표면은 귀금속을 포함한다. 귀금속은 하기의 군으로부터 선택될 수 있다: Au, Pt, Ir, Pd, Os, Ag, Re, Rh, 및 Ru. Preferably, the substrate has a first surface comprising a metal, referred to herein as a first metal surface or a first metal-based surface. In some embodiments, the first surface is essentially an elemental metal, such as Cu or Co. In some embodiments, the first surface comprises a metal nitride. In some embodiments, the first surface comprises a transition metal. The transition metal may be selected from the following group: Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Au, Hf, Ta, W, Re, Os, Ir and Pt. In some embodiments, the first surface preferably comprises copper. In some embodiments, the first surface comprises cobalt. In some implementations, the first surface comprises tungsten. In some implementations, the first surface may include a natural oxide of a metal, for example, the first surface may include tungsten oxide. In some implementations, the first surface can include a seam, gap, or space, and the selective deposition process closes or substantially fills the seam, gap, or space of the first surface. In some embodiments, the first surface comprises a noble metal. The noble metal can be selected from the group of: Au, Pt, Ir, Pd, Os, Ag, Re, Rh, and Ru.

일부 구현예들에서, 제2 표면은 유전체 표면이다. 일부 구현예들에서, 제2 표면은 본원에서 제2 실리콘 함유 표면 또는 실리콘을 포함하는 제2 표면으로 지칭되는 실리콘 함유 표면이다. 일부 구현예들에서, 상기 실리콘 함유 표면은, 예컨대 SiO2를 포함한다. 일부 구현예들에서, 상기 제2 표면은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물, 실리콘 이산화물, 또는 이들의 혼합물들을 포함할 수 있다. 일부 구현예들에서, 상기 제2 표면을 포함하는 재료는 다공성 재료이다. 일부 구현예에서, 다공성 재료는 서로 연결되어 있는 기공들을 포함하고, 반면에 다른 구현예에서, 기공들은 서로 연결되지 않는다. 일부 구현예에서, 제2 표면은 약 4.0 미만의 유전율 값을 갖는 절연체로서 정의되는 낮은 유전상수(k) 재료를 포함한다. 일부 구현예에서, 낮은 k 재료의 유전율 값은 약 3.5 미만, 약 3.0 미만, 약 2.5 미만 그리고 약 2.3 미만이다. 일부 구현예들에서, 상기 제2 표면은 유기실리케이트 표면, 예컨대 -CHx 표면 기들과 같은 유기 표면 기들을 갖는 실리콘 함유 표면을 포함할 수 있다. 일부 구현예에서, 제2 표면은 SiOCH를 포함할 수 있다. In some implementations, the second surface is a dielectric surface. In some embodiments, the second surface is a silicon-containing surface referred to herein as a second silicon-containing surface or a second surface comprising silicon. In some embodiments, the silicon-containing surface comprises, for example, SiO 2 . In some embodiments, the second surface may include silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, silicon dioxide, or mixtures thereof. In some embodiments, the material comprising the second surface is a porous material. In some embodiments, the porous material includes pores that are connected to each other, while in other embodiments, the pores are not connected to each other. In some embodiments, the second surface comprises a low dielectric constant (k) material defined as an insulator having a dielectric constant value of less than about 4.0. In some embodiments, the dielectric constant values of low k materials are less than about 3.5, less than about 3.0, less than about 2.5 and less than about 2.3. In some embodiments, the second surface may comprise an organosilicate surface, such as a silicon containing surface having organic surface groups such as -CH x surface groups. In some embodiments, the second surface can include SiOCH.

본원에서 사용된 방법에 사용되는 전구체들이 반응 챔버로 전달되어 기판 표면과 접촉하기 전 기상으로 존재하면, 이들 전구체는 표준 조건(상온 및 대기압) 하에서 고체, 액체 또는 기체 재료일 수 있다. 플라즈마 조건들도 사용될 수 있다. 따라서, 일부 구현예들에서 플라즈마는 기상 반응물들 또는 전구체들로부터 형성될 수 있다. 기화된 전구체를 기판 상으로 "펄스화(pulsing)"는 전구체 증기가 제한된 시간 동안 챔버 내로 전달됨을 의미한다. 전형적으로, 펄스화 시간은 약 0.05 내지 10초이다. 그러나, 기판 유형 및 이의 표면적에 따라, 펄스화 시간은 10초보다 훨씬 더 길 수 있다. 펄스화 시간은 경우에 따라 분 단위일 수 있다. 반응들의 완전 포화를 보장하는 일부 경우들에서, 전구체는 하나의 긴 펄스로 공급되기보다는 다수의 짧은 펄스들로 공급되었을 수 있다. If the precursors used in the methods used herein are present in the gas phase prior to delivery to the reaction chamber and contacting the substrate surface, these precursors may be solid, liquid or gaseous materials under standard conditions (room temperature and atmospheric pressure). Plasma conditions can also be used. Thus, in some implementations the plasma may be formed from vapor phase reactants or precursors. “Pulsing” the vaporized precursor onto the substrate means that the precursor vapor is delivered into the chamber for a limited time. Typically, the pulsing time is about 0.05 to 10 seconds. However, depending on the substrate type and its surface area, the pulsing time can be much longer than 10 seconds. The pulsed time may be in minutes depending on the case. In some cases ensuring complete saturation of the reactions, the precursor may have been supplied in multiple short pulses rather than in one long pulse.

전구체의 질량 유량이 또한 당업자에 의해 결정될 수 있다. 일 구현예에서, 300 mm 웨이퍼 상에서의 증착을 위해, 전구체들의 유량은 바람직하게는 제한 업이 약 1 내지 2000 sccm이다. 일부 구현예들에서, 유량은 약 50 sccm 내지 약 1500 sccm, 약 100 sccm 내지 약 1000 sccm, 또는 약 200 sccm 내지 약 500 sccm일 수 있다. The mass flow rate of the precursor can also be determined by a person skilled in the art. In one embodiment, for deposition on a 300 mm wafer, the flow rate of the precursors is preferably limited up to about 1 to 2000 sccm. In some embodiments, the flow rate may be from about 50 sccm to about 1500 sccm, from about 100 sccm to about 1000 sccm, or from about 200 sccm to about 500 sccm.

반응 챔버 내 압력은 일반적으로 약 0.01 내지 약 50 mbar이다. 일부 구현예들에서, 압력은 약 0.1 mbar 내지 약 20 mbar 또는 약 1 mbar 내지 약 10 mbar이다. 그러나, 당업자에 의해 쉽게 결정될 수 있는 바와 같이, 일부의 경우 압력은 이러한 범위보다 높거나 낮을 것이다. The pressure in the reaction chamber is generally about 0.01 to about 50 mbar. In some embodiments, the pressure is from about 0.1 mbar to about 20 mbar or from about 1 mbar to about 10 mbar. However, as can be readily determined by a person skilled in the art, in some cases the pressure will be above or below this range.

챔버chamber 패시베이션passivation

다시 도 1을 참조하면, 일부 구현예들에서, 선택적 증착 방법이 수행될 반응 챔버 또는 챔버들은 단계 14에서 금속계 막을 선택적으로 증착하기 전에 단계 11에서 패시베이션되는 것이 바람직할 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 단계(11)는, 선택적 증착 방법, 예컨대 본원에 기술된 바와 같은 금속계 막 선택적 증착 방법 동안 선택성이 사라지기 전에 선택적 증착을 가능하게 하고, 선택도를 개선하고, 그리고/또는 연속적 사이클들의 수를 증가시킬 수 있다. Referring back to FIG. 1, in some implementations, it may be desirable that the reaction chamber or chambers in which the selective deposition method is to be performed are passivated in step 11 before selectively depositing the metal-based film in step 14. In some implementations, the reaction chamber passivation step 11 enables selective deposition before the selectivity disappears during a selective deposition method, such as a metal-based film selective deposition method as described herein, improves selectivity, And/or it is possible to increase the number of consecutive cycles.

일부 구현예들에서, 제2 표면, 예컨대 실리콘 함유 표면에 상대적인 기판의 제1 표면, 예컨대 금속계 표면 상에 막을 선택적으로 증착하기 위한 선택적 증착 방법은 제2 표면을 빠르게 손상시킬 수 있는 반응성 부산물들을 발생시킬 수 있다. 반응성 부산물들은 제2 표면 상에 활성 사이트들을 제공할 수 있는 데, 이는 결국 선택도를 잃게 한다. 일부 구현예들에서, 반응 챔버 표면에서 원치 않는 증착이 일어나서 선택적 증착 공정에 걸쳐서 반응성 부산물들들의 양을 증가시킬 수 있는 데, 이 때 증착은 주로 기판 상에서 이루어진다. 챔버 표면, 예를 들어 반응 챔버의 내부 표면 상에서 원치 않는 증착의 양을 감소시키기 위해, 그리고 결과적으로 선택적 증착 공정에 의해 발생되는 반응성 부산물들의 양을 감소시키기 위해, 증착에 대항하여 이들 챔버 표면들을 패시베이션하는 것이 바람직하다. In some embodiments, a selective deposition method for selectively depositing a film on a first surface, such as a metal-based surface of a substrate relative to a second surface, such as a silicon-containing surface, generates reactive by-products that can quickly damage the second surface. I can make it. Reactive by-products can provide active sites on the second surface, which in turn loses selectivity. In some implementations, undesired deposition can occur on the reaction chamber surface to increase the amount of reactive by-products throughout the selective deposition process, where the deposition occurs primarily on the substrate. Passivating these chamber surfaces against deposition to reduce the amount of unwanted deposition on the chamber surface, e.g. the inner surface of the reaction chamber, and consequently to reduce the amount of reactive by-products generated by the selective deposition process. It is desirable to do it.

예를 들어, 일부 구현예들에서, W의 선택적 증착 공정은 식 SiFx(x는 1~4)을 갖는 반응성 부산물을 생성할 수 있다. 반응성 챔버가 패시베이션되지 않은 일부 구현예들에서, 원치 않는 W 증착이 챔버 표면에서 이루어져서 바람직하지 않은 양의 SiFx 부산물을 생성할 수 있다. 반응성 챔버가 패시베이션된 일부 구현예들에서, W 증착은 기판의 제1 표면 상에서 주로 이루어질 수 있고 원치 않는 챔버 표면 상에서 이루어지지 않거나 더 적은 정도로 이루어짐으로써, 반응 챔버가 패시베이션되지 않았던 W 증착 공정에 상대적인 선택적 증착 공정 동안 발생된 SiFx 부산물 양의 감소로 이어질 수 있다. For example, in some embodiments, the selective deposition process of W can produce a reactive by-product having the formula SiF x (x is 1-4). In some embodiments where the reactive chamber is not passivated, unwanted W deposition can occur at the chamber surface, producing an undesirable amount of SiF x by-product. In some embodiments in which the reactive chamber is passivated, the W deposition may be predominantly on the first surface of the substrate and not or to a lesser extent on the undesired chamber surface, so that the reaction chamber is relatively selective to the non-passivated W deposition process. This can lead to a reduction in the amount of SiF x by- products generated during the deposition process.

일부 구현예들에서, 반응 챔버 패시베이션 단계(11)는 반응 챔버 내에 웨이퍼 또는 기판이 없을 때 수행된다. 그러므로, 일부 구현예들에서, 기판, 예컨대 제1 금속계 표면과 제2 실리콘 함유 표면을 포함하는 기판은 반응 챔버 패시베이션 단계(11)를 거치지 않는다. 일부 구현예들에서, 기판은 반응 챔버 패시베이션 단계(11) 전, 동안, 또는 후에 다른 처리를 거칠 수 있다. In some implementations, the reaction chamber passivation step 11 is performed when there is no wafer or substrate in the reaction chamber. Therefore, in some implementations, a substrate, such as a substrate comprising a first metal-based surface and a second silicon-containing surface, is not subjected to the reaction chamber passivation step 11. In some implementations, the substrate may be subjected to other treatment before, during, or after the reaction chamber passivation step 11.

일부 구현예들에서, 반응 챔버 패시베이션 단계(11)는 단계 14에서 선택적 증착 공정이 수행된 후 반복될 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 단계(11)는 1회, 2회, 3회, 또는 이보다 많은 선택적 증착 단계(14)가 수행되었을 때마다 반복될 수 있다. 예를 들어, 일부 구현예들에서, 반응 챔버 패시베이션 단계(11)는 1, 5, 10, 20, 50, 또는 이보다 많은 기판, 예컨대 웨이퍼가 선택적 증착 단계(14)를 거쳤을 때마다 반복될 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 단계(11)는 특정 사이클 수의 선택적 증착 단계(14)가 수행된 후 반복될 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 단계(11)는 50회, 100회, 150회, 또는 이보다 많은 증착 사이클 완료시마다 반복될 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 단계(11) 동안 기판 또는 기판들은 반응 챔버 내에 남아 있을 수 있고, 혹은 반응 챔버 내에 존재하지 않을 수 있다. In some implementations, the reaction chamber passivation step 11 may be repeated after the selective deposition process is performed in step 14. In some implementations, the reaction chamber passivation step 11 may be repeated whenever one, two, three, or more optional deposition steps 14 have been performed. For example, in some implementations, the reaction chamber passivation step 11 may be repeated each time 1, 5, 10, 20, 50, or more substrates, such as a wafer, have undergone the optional deposition step 14. have. In some implementations, the reaction chamber passivation step 11 may be repeated after a certain number of cycles of selective deposition step 14 has been performed. In some implementations, the reaction chamber passivation step 11 may be repeated upon completion of 50, 100, 150, or more deposition cycles. In some implementations, the substrate or substrates may remain within the reaction chamber during the reaction chamber passivation step 11 or may not be present within the reaction chamber.

일부 구현예들에서, 반응 챔버 패시베이션 단계(11)는 선택적 증착 단계(14) 동안 전구체 또는 반응물에 노출될 수 있는 챔버 표면들 및 다른 표면들 상에 패시베이션층 또는 패시베이션 재료를 제공하는 단계를 포함할 수 있다. 일부 구현예들에서, 상기 패시베이션 재료는 선택적 증착 단계(14) 동안 전구체 또는 반응물에 노출될 수 있는 반응 챔버의 내표면, 챔버 샤워헤드, 및/또는 임의의 다른 부분들 상에 증착되거나 형성된다. 일부 구현예들에서, 상기 패시베이션 재료는, 선택적 증착이 일어나기를 원하는 기판이 아닌 반응 챔버 내 임의 표면 상에 증착될 수 있다. 일부 구현예들에서, 상기 패시베이션 재료는 단계 14에서 선택적으로 증착되는 재료와 상이한 재료이다. 일부 구현예들에서, 패시베이션층을 증착하기 위해 사용되는 증착 방법은 선택적 증착 방법이 아닐 수 있다. In some implementations, the reaction chamber passivation step 11 includes providing a passivation layer or passivation material on chamber surfaces and other surfaces that may be exposed to a precursor or reactant during the optional deposition step 14. I can. In some embodiments, the passivation material is deposited or formed on the inner surface of the reaction chamber, the chamber showerhead, and/or any other portions that may be exposed to a precursor or reactant during the optional deposition step 14. In some implementations, the passivation material may be deposited on any surface in the reaction chamber other than the substrate for which selective deposition is desired to occur. In some implementations, the passivation material is a different material than the material selectively deposited in step 14. In some implementations, the deposition method used to deposit the passivation layer may not be a selective deposition method.

일부 구현예들에서, 반응 챔버 패시베이션(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 유지되는 연속 사이클의 수를 증가시킬 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 임의의 반응 챔버 패시베이션 공정(11)을 받지 않았던 반응 챔버에 비하여 약 50%를 초과하여 유지되는 연속 사이클의 수를 증가시킬 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 임의의 반응 챔버 패시베이션 공정(11)을 받지 않았던 반응 챔버에 비하여 약 75%를 초과, 약 100%를 초과, 약 200%를 초과, 약 400%를 초과, 또는 약 900%를 초과하여 유지되는 연속 사이클의 수를 증가시킬 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 임의의 반응 챔버 패시베이션 공정(11)을 받지 않았던 반응 챔버에 비하여 약 20회를 초과하여 유지되는 연속 사이클의 수를 증가시킬 수 있다. In some implementations, the reaction chamber passivation 11 can increase the number of consecutive cycles in which the desired level of selectivity of the selective deposition process 14 is maintained. In some embodiments, the reaction chamber passivation process 11 maintains a desired level of selectivity of the selective deposition process 14 greater than about 50% compared to a reaction chamber that has not been subjected to any reaction chamber passivation process 11. It is possible to increase the number of consecutive cycles. In some embodiments, the reaction chamber passivation process 11 has a desired level of selectivity of the selective deposition process 14 greater than about 75% compared to a reaction chamber that has not been subjected to any reaction chamber passivation process 11. The number of consecutive cycles maintained by more than 100%, more than about 200%, more than about 400%, or more than about 900% can be increased. In some embodiments, the reaction chamber passivation process 11 maintains a desired level of selectivity of the selective deposition process 14 more than about 20 times as compared to a reaction chamber that has not undergone any reaction chamber passivation process 11. It is possible to increase the number of consecutive cycles.

일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 유지되는 연속 사이클의 수를 증가시킬 수 있고, 반응 챔버 패시베이션 공정(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 유지되는 추가적인 연속 사이클을 허용하도록 원하는 수의 사이클 후에 반복될 수 있다. 즉, 반응 챔버 패시베이션 공정(11)은, 선택적 증착 공정의 원하는 레벨의 선택도가 유지되는 추가적인 연속 사이클을 허용하도록 원하는 수의 연속 사이클 후 그리고 선택적 증착 공정의 선택도가 원하는 레벨 미만까지 감소되기 전에 수행될 수 있다. 반응 챔버 패시베이션(11)은, 선택적 증착 공정(14)의 원하는 레벨의 선택도를 유지하도록 선택적 증착 공정의 원하는 수의 연속 사이클 후 임의 횟수로 반복될 수 있다. In some embodiments, the reaction chamber passivation process 11 may increase the number of consecutive cycles in which the desired level of selectivity of the selective deposition process 14 is maintained, and the reaction chamber passivation process 11 is a selective deposition process. The desired level of selectivity of (14) can be repeated after a desired number of cycles to allow for additional consecutive cycles to be maintained. That is, the reaction chamber passivation process 11, after a desired number of consecutive cycles, and before the selectivity of the selective deposition process is reduced to less than the desired level to allow additional continuous cycles in which the desired level of selectivity of the selective deposition process is maintained. Can be done. The reaction chamber passivation 11 may be repeated any number of times after a desired number of consecutive cycles of the selective deposition process to maintain the desired level of selectivity of the selective deposition process 14.

일부 구현예들에서, 앞서 증착된 패시베이션층 또는 층들은, 반응 챔버 패시베이션 공정(11)을 통한 후속 패시베이션층의 증착 전에, 반응 챔버의 내부 표면들로부터 식각되거나 적어도 부분적으로 제거될 수 있다. 일부 구현예들에서, 앞서 증착된 패시베이션층 또는 층들은, 2회 이상, 5회 이상, 또는 10회 이상의 반응 챔버 패시베이션 공정(11)을 거친 후, 반응 챔버의 내부 표면들로부터 식각되거나 적어도 부분적으로 제거될 수 있다. 일부 구현예들에서, 2회 이상, 5회 이상, 또는 10회 이상의 반응 챔버 패시베이션 공정들 사이에서 어떠한 식각 또는 층 제거가 수행되지 않는다. 일부 구현예들에서, 이후 반응 챔버는, 앞서 증착된 패시베이션층 또는 층들이 반응 챔버의 내부 표면들로부터 식각되었거나 적어도 부분적으로 제거된 후에 반응 챔버 패시베이션 공정(11)을 거칠 수 있다. In some implementations, the previously deposited passivation layer or layers may be etched or at least partially removed from the inner surfaces of the reaction chamber prior to deposition of a subsequent passivation layer through the reaction chamber passivation process 11. In some embodiments, the previously deposited passivation layer or layers are etched or at least partially etched from the inner surfaces of the reaction chamber after going through the reaction chamber passivation process 11 at least two times, five times or more, or ten or more times. Can be removed. In some embodiments, no etching or layer removal is performed between two or more, five or more, or ten or more reaction chamber passivation processes. In some implementations, the reaction chamber may then undergo a reaction chamber passivation process 11 after the previously deposited passivation layer or layers have been etched or at least partially removed from the inner surfaces of the reaction chamber.

일부 구현예들에서, 반응 챔버 패시베이션(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 유지되는 지속시간을 증가시킬 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 임의의 반응 챔버 패시베이션 공정(11)을 받지 않았던 반응 챔버에 비하여 약 50%를 초과, 약 75%를 초과, 약 100%를 초과, 약 200%를 초과, 약 400%를 초과, 또는 약 900%를 초과하여 유지되는 지속시간을 증가시킬 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 임의의 반응 챔버 패시베이션 공정(11)을 받지 않았던 반응 챔버에 비하여 약 20회를 초과하여 유지되는 지속시간을 증가시킬 수 있다. In some implementations, the reaction chamber passivation 11 can increase the duration during which the desired level of selectivity of the selective deposition process 14 is maintained. In some embodiments, the reaction chamber passivation process 11 has a desired level of selectivity of the selective deposition process 14 greater than or equal to about 50% compared to a reaction chamber that has not been subjected to any reaction chamber passivation process 11. The duration maintained by more than 75%, more than about 100%, more than about 200%, more than about 400%, or more than about 900% can be increased. In some embodiments, the reaction chamber passivation process 11 maintains a desired level of selectivity of the selective deposition process 14 more than about 20 times as compared to a reaction chamber that has not undergone any reaction chamber passivation process 11. You can increase the duration of time.

일부 구현예들에서, 반응 챔버 패시베이션(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 유지되는 기판들, 예컨대 웨이퍼들의 수를 증가시킬 수 있다. 즉, 반응 챔버 패시베이션(11)은 원하는 레벨의 선택도를 유지하면서 선택적 증착 공정이 동시에 수행될 수 있는 웨이퍼들의 수를 증가시킬 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은 선택적 증착 공정(14)의 원하는 레벨의 선택도가 임의의 반응 챔버 패시베이션 공정(11)을 받지 않았던 반응 챔버에 비하여 약 2회 초과, 약 5회 초과, 약 10회 초과, 약 20회 초과, 또는 약 50회 초과하여 유지되는 기판들의 수를 증가시킬 수 있다. In some implementations, the reaction chamber passivation 11 can increase the number of substrates, such as wafers, for which a desired level of selectivity of the selective deposition process 14 is maintained. That is, the reaction chamber passivation 11 can increase the number of wafers on which the selective deposition process can be simultaneously performed while maintaining a desired level of selectivity. In some implementations, the reaction chamber passivation process 11 has a desired level of selectivity of the selective deposition process 14 greater than about 2 times, about 5 times compared to a reaction chamber that has not been subjected to any reaction chamber passivation process 11. The number of substrates held more than times, more than about 10 times, more than about 20 times, or more than about 50 times may be increased.

일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은 유지가 요구되기 전에 반응 챔버 내에서 수행될 수 있는 증착 사이클의 수를 늘일 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은 임의의 반응 챔버 패시베이션 공정(11)을 받지 않았던 반응 챔버에 비하여 약 50% 초과, 약 75% 초과, 약 100% 초과, 약 200% 초과, 약 400% 초과, 약 900% 초과, 또는 약 20회 초과하여 유지가 요구되기 전에 반응 챔버 내에서 수행될 수 있는 증착 사이클의 수를 늘일 수 있다. In some implementations, the reaction chamber passivation process 11 can increase the number of deposition cycles that can be performed within the reaction chamber before maintenance is required. In some embodiments, the reaction chamber passivation process 11 is greater than about 50%, greater than about 75%, greater than about 100%, greater than about 200%, compared to a reaction chamber that has not undergone any reaction chamber passivation process 11. More than about 400%, more than about 900%, or more than about 20 times can increase the number of deposition cycles that can be performed within the reaction chamber before maintenance is required.

일부 구현예들에서, 선택적 증착 공정 동안, 재료는 반응 챔버의 내부 표면들 상에 증착될 수 있다. 이러한 증착 재료는 벗겨지고 선택적 증착에 지장을 줄 수 있고, 혹은 원치 않게 많은 양의 원치 않는 반응 부산물들이 선택적 증착 공정 동안 발생될 수 있도록 반응성 사이트들을 제공할 수 있다. 따라서, 반응 챔버의 내부 표면들로부터 증착된 재료를 주기적으로 제거하는 것이 필요할 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은, 원하는 레벨의 선택도를 얻거나 유지하기 위해 식각, 예컨대 인-시튜 식각이 수행되어야만 하기 전에 반응 챔버 내에서 수행될 수 있는 증착 사이클의 수를 늘일 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정(11)은 임의의 반응 챔버 패시베이션 공정(11)을 받지 않았던 반응 챔버에 비하여 약 50% 초과, 약 75% 초과, 약 100% 초과, 약 200% 초과, 약 400% 초과, 약 900% 초과, 또는 약 20회 초과하여 원하는 레벨의 선택도를 얻거나 유지하기 위해 식각, 예컨대 인-시튜 식각이 수행되어야만 하기 전에 반응 챔버 내에서 수행될 수 있는 증착 사이클의 수를 늘일 수 있다. In some implementations, during the selective deposition process, material may be deposited on the inner surfaces of the reaction chamber. Such deposition material may peel off and impede selective deposition, or may provide reactive sites such that undesirably large amounts of unwanted reaction by-products may be generated during the selective deposition process. Thus, it may be necessary to periodically remove the deposited material from the inner surfaces of the reaction chamber. In some embodiments, the reaction chamber passivation process 11 includes the number of deposition cycles that can be performed within the reaction chamber before an etching, such as an in-situ etching, has to be performed to obtain or maintain a desired level of selectivity. Can be extended. In some embodiments, the reaction chamber passivation process 11 is greater than about 50%, greater than about 75%, greater than about 100%, greater than about 200%, compared to a reaction chamber that has not undergone any reaction chamber passivation process 11. More than about 400%, more than about 900%, or more than about 20 times to achieve or maintain the desired level of selectivity, the number of deposition cycles that can be performed in the reaction chamber before an etching, such as an in-situ etching, has to be performed. You can increase the number.

일부 구현예들에서, 반응 챔버 패시베이션 단계(11) 동안 증착되거나 형성된 패시베이션층은 SiN을 포함할 수 있다. 일부 구현예들에서, 상기 패시베이션층은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물, 또는 이들의 혼합물들을 포함할 수 있다. 일부 구현예들에서, 상기 패시베이션층은 금속 산화물을 포함할 수 있다. 일부 구현예들에서, 상기 패시베이션층은 순수한 금속 또는 순수한 실리콘 이외의 임의 재료를 포함할 수 있다. 일부 구현예들에서, 상기 패시베이션층은 자기조직화 단분자막(SAM) 또는 SAM을 형성하기 위해 사용된 것과 유사한 분자들을 이용한 유사층이 아니다. In some implementations, the passivation layer deposited or formed during the reaction chamber passivation step 11 may comprise SiN. In some embodiments, the passivation layer may include silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, or mixtures thereof. In some embodiments, the passivation layer may include a metal oxide. In some embodiments, the passivation layer may comprise pure metal or any material other than pure silicon. In some embodiments, the passivation layer is not a self-organizing monolayer (SAM) or a similar layer using molecules similar to those used to form the SAM.

일부 구현예들에서, 상기 패시베이션층은 단계 11에서 기상 증착 공정에 의해 형성되거나 증착될 수 있다. 일부 구현예들에서, 상기 패시베이션층을 형성하기 위한 증착 공정은 화학적으로 구동되는 기상 증착 공정을 포함할 수 있다. 즉, 상기 패시베이션층을 형성하기 위한 증착 공정은 전구체들의 하나 이상의 화학 반응에 따라 달라지는 기상 증착 공정이며, 물리적 기상 증착 공정은 아니다. 예를 들어, 상기 패시베이션층을 형성하거나 증착하기 위한 증착 공정은 화학 기상 증착(CVD) 공정이거나 원자층 증착(ALD) 공정일 수 있다. 일부 구현예들에서, 상기 패시베이션층은 플라즈마 강화 ALD(PEALD) 공정이거나 플라즈마 강화 CVD(PECVD) 공정에 의해 형성될 수 있다. In some embodiments, the passivation layer may be formed or deposited by a vapor deposition process in step 11. In some embodiments, the deposition process for forming the passivation layer may include a chemically driven vapor deposition process. That is, the deposition process for forming the passivation layer is a vapor deposition process that varies according to one or more chemical reactions of the precursors, and is not a physical vapor deposition process. For example, the deposition process for forming or depositing the passivation layer may be a chemical vapor deposition (CVD) process or an atomic layer deposition (ALD) process. In some embodiments, the passivation layer may be formed by a plasma enhanced ALD (PEALD) process or a plasma enhanced CVD (PECVD) process.

일부 구현예들에서, 상기 패시베이션층을 형성하기 위한 증착 공정은 1 내지 10,000회의 증착 사이클, 5 내지 5,000회의 증착 사이클, 10 내지 2,500회의 증착 사이클, 또는 10 내지 50회의 증착 사이클을 포함할 수 있다. 일부 구현예들에서, 상기 패시베이션층은 약 1 nm 내지 약 1000 nm, 약 5 nm 내지 약 500 nm, 약 10 nm 내지 약 250 nm, 또는 약 40 nm 내지 약 150 nm의 두께를 가질 수 있다. 그러나 일부 구현예들에서, 상기 패시베이션층은 1 nm 미만의 두께를 가지는 것이 유용할 수 있다. 일부 구현예들에서, 상기 패시베이션층은 약 200 nm 미만, 약 100 nm 미만, 약 50 nm 미만, 약 25 nm 미만의 두께를 가질 수 있다. In some embodiments, the deposition process for forming the passivation layer may include 1 to 10,000 deposition cycles, 5 to 5,000 deposition cycles, 10 to 2,500 deposition cycles, or 10 to 50 deposition cycles. In some embodiments, the passivation layer may have a thickness of about 1 nm to about 1000 nm, about 5 nm to about 500 nm, about 10 nm to about 250 nm, or about 40 nm to about 150 nm. However, in some embodiments, it may be useful for the passivation layer to have a thickness of less than 1 nm. In some embodiments, the passivation layer may have a thickness of less than about 200 nm, less than about 100 nm, less than about 50 nm, less than about 25 nm.

일부 구현예들에서, 상기 패시베이션층을 형성하기 위한 증착 공정은 1회 이상의 증착 사이클을 포함하는 ALD형 공정을 포함할 수 있고, 증착 사이클은 반응 챔버 표면들을 제1 기상 전구체 및 제2 기상 전구체에 교번적, 순차적으로 노출시키는 단계를 포함한다. 일부 구현예들에서, 상기 제1 기상 전구체 및 반응 부산물이 있으면, 이들 전구체 및 반응 부산물은, 반응 챔버 표면들을 제2 기상 전구체에 노출하거나 접촉시키기 전에 반응 챔버로부터 제거될 수 있다. 일부 구현예들에서, 상기 제2 기상 전구체 및 임의의 반응 부산물은, 반응 챔버 표면들을 그 후에 제1 기상 전구체에 노출하거나 접촉시키기 전에 반응 챔버로부터 제거될 수 있다. In some embodiments, the deposition process for forming the passivation layer may include an ALD-type process including one or more deposition cycles, and the deposition cycle includes the reaction chamber surfaces in the first vapor phase precursor and the second vapor phase precursor. It includes the step of alternately, sequentially exposing. In some embodiments, if the first vapor phase precursor and reaction by-product are present, these precursors and reaction by-products may be removed from the reaction chamber prior to exposing or contacting the reaction chamber surfaces to the second vapor phase precursor. In some embodiments, the second vapor phase precursor and any reaction by-products may be removed from the reaction chamber prior to exposing or contacting the reaction chamber surfaces thereafter to the first vapor phase precursor.

일부 구현예들에서, 상기 패시베이션층을 형성하기 위한 증착 공정은 CVD 공정을 포함할 수 있는 데, 여기서 제1 기상 전구체 및 제2 기상 전구체는 동시 또는 중첩 펄스로 반응 챔버 내로 전달되고, 상기 전구체들은 챔버 표면에서 반응하고/반응하거나 분해되어 패시베이션층을 형성한다. In some embodiments, the deposition process for forming the passivation layer may include a CVD process, wherein the first vapor phase precursor and the second vapor phase precursor are delivered into the reaction chamber in simultaneous or overlapping pulses, and the precursors are Reacts and/or decomposes on the chamber surface to form a passivation layer.

일부 구현예들에서, 상기 패시베이션층을 형성하기 위한 증착 공정은 PECVD 공정을 포함할 수 있는 데, 여기서 제1 기상 전구체 및 제2 기상 전구체는 동시 또는 중첩 펄스로 반응 챔버 내로 전달되고, v플라즈마가 상기 반응 챔버 내에 발생된다. 상기 전구체들은 플라즈마 내에서 그리고/또는 상기 챔버 표면 상에서 반응하고/반응하거나 분해되어 패시베이션층을 형성한다. 일부 구현예들에서, 플라즈마는 원격으로 발생되어 반응 챔버 내로 유입될 수 있다. In some embodiments, the deposition process for forming the passivation layer may include a PECVD process, wherein the first vapor phase precursor and the second vapor phase precursor are delivered into the reaction chamber in simultaneous or overlapping pulses, and vplasma is It is generated in the reaction chamber. The precursors react and/or decompose within the plasma and/or on the chamber surface to form a passivation layer. In some implementations, the plasma can be generated remotely and introduced into the reaction chamber.

일부 구현예들에서, SiN을 포함하는 패시베이션층을 형성하기 위한 증착 공정은 PECVD 공정일 수 있다. 일부 구현예들에서, PECVD 증착 공정은 기상의 실리콘 전구체 및 기상의 질소 전구체를 이용할 수 있다. 일부 구현예들에서, 상기 실리콘 전구체 및 상기 질소 전구체는 함께 또는 중첩 펄스로 반응 챔버 내로 제공될 수 있다. 일부 구현예들에서, 플라즈마가 반응 챔버 내에 발생되고, 실리콘 전구체 및 질소 전구체는 반응하고/반응하거나 분해되어 챔버 표면 상에 SiN 패시베이션층을 형성한다. 일부 구현예들에서, 플라즈마는 원격으로 발생되어 반응 챔버 내로 유입될 수 있다. In some implementations, the deposition process to form the passivation layer comprising SiN may be a PECVD process. In some implementations, the PECVD deposition process can use a vapor phase silicon precursor and a vapor phase nitrogen precursor. In some embodiments, the silicon precursor and the nitrogen precursor may be provided together or in an overlapping pulse into the reaction chamber. In some implementations, a plasma is generated within the reaction chamber and the silicon precursor and nitrogen precursor react and/or decompose to form a SiN passivation layer on the chamber surface. In some implementations, the plasma can be generated remotely and introduced into the reaction chamber.

일부 구현예들에서, 실리콘, 예컨대 SiN을 포함하는 패시베이션층을 형성하기 위한 증착 공정은 실리콘 전구체 및 하나 이상의 추가적인 전구체, 예컨대 질소 전구체를 이용할 수 있다. 일부 구현예들에서, 패시베이션층을 형성하기 위한 증착 공정은 질소 전구체를 이용할 수 있다. 일부 구현예들에서, 상기 패시베이션층 증착 공정에 사용되는 실리콘 전구체는 실란, 예컨대 실란, 디실란, 또는 트리실란을 포함할 수 있다. 일부 구현예에서, 질소 전구체는 원자 질소, 질소 라디칼, 질소 플라즈마 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 질소 전구체는 원자 수소, 수소 라디칼, 수소 플라즈마 또는 이들의 조합을 더 포함할 수 있다. 일부 구현예들에서, 질소 전구체는 N2로부터 발생된 플라즈마를 포함할 수 있다. 일부 구현예들에서, 질소 전구체는 N2 및 H2로부터 발생된 플라즈마를 포함할 수 있다. 일부 구현예들에서, 질소 전구체는 N2 및 희가스, 예컨대 아르곤으로부터 발생된 플라즈마를 포함할 수 있다. 일부 구현예들에서, 질소 전구체는 N2, H2 및 희가스, 예컨대 아르곤으로부터 발생된 플라즈마를 포함할 수 있다. 일부 구현예들에서, ALD형 반응에서 실리콘 전구체와 질소 전구체는 분리되어 반응 챔버로 제공될 수 있고, 혹은 CVD 반응에서 함께 또는 중첩 펄스로 반응 챔버로 제공될 수 있다. In some implementations, the deposition process to form a passivation layer comprising silicon, such as SiN, may utilize a silicon precursor and one or more additional precursors, such as a nitrogen precursor. In some implementations, the deposition process to form the passivation layer may use a nitrogen precursor. In some embodiments, the silicon precursor used in the passivation layer deposition process may include silane, such as silane, disilane, or trisilane. In some embodiments, the nitrogen precursor may comprise atomic nitrogen, nitrogen radicals, nitrogen plasma, or combinations thereof. In some embodiments, the nitrogen precursor may further comprise atomic hydrogen, hydrogen radicals, hydrogen plasma, or combinations thereof. In some implementations, the nitrogen precursor may comprise a plasma generated from N 2. In some implementations, the nitrogen precursor can include plasma generated from N 2 and H 2. In some implementations, the nitrogen precursor may include a plasma generated from N 2 and a noble gas such as argon. In some implementations, the nitrogen precursor may include a plasma generated from N 2 , H 2 and a noble gas such as argon. In some embodiments, the silicon precursor and the nitrogen precursor may be separated and provided to the reaction chamber in the ALD type reaction, or may be provided to the reaction chamber together or in overlapping pulses in the CVD reaction.

일부 구현예들에서, 패시베이션층, 예컨대 SiN과 같이 실리콘 및 질소를 포함하는 패시베이션층을 형성하기 위한 증착 공정은 1회 이상의 증착 사이클을 포함할 수 있고, 증착 사이클은 반응 챔버 표면들을 제1 기상 전구체, 제2 기상 전구체, 및 제3 기상 전구체에 교번적, 순차적으로 노출시키는 단계를 포함한다. 일부 구현예들에서, 제1 기상 전구체는 실란을 포함할 수 있고; 제2 기상 전구체는 금속 할로겐화물을 포함할 수 있고; 제3 기상 전구체는 아미노실란을 포함할 수 있다. 일부 구현예들에서, 제1 기상 전구체는 디실란을 포함할 수 있고; 제2 기상 전구체는 WF6를 포함할 수 있고; 제3 기상 전구체는 트리메틸(디메틸아미노)실란을 포함할 수 있다. In some implementations, the deposition process to form a passivation layer, such as a passivation layer comprising silicon and nitrogen, such as SiN, may include one or more deposition cycles, wherein the deposition cycle causes the reaction chamber surfaces to become a first vapor phase precursor. , Alternately and sequentially exposing the second vapor phase precursor, and the third vapor phase precursor. In some embodiments, the first vapor phase precursor can comprise silane; The second vapor phase precursor may comprise a metal halide; The third gaseous precursor may include an aminosilane. In some embodiments, the first gaseous precursor may comprise a disilane; The second vapor phase precursor may comprise WF 6 ; The third gaseous precursor may include trimethyl(dimethylamino)silane.

용어 제1, 제2, 및 제3 전구체는 본원에서 단지 참고로 사용되고, 당업자는 증착 사이클이 제1, 제2, 또는 제3 기상 전구체 중 어느 하나에 반응 챔버 표면을 노출시키는 것으로 시작할 수 있음을 이해할 것이다. 일부 구현예들에서, 상기 제1 기상 전구체는 제2 또는 제3 기상 전구체에 앞서 기판과 접촉할 수 있다. 일부 구현예들에서, 상기 제2 기상 전구체는 제1 기상 전구체 뒤에 그리고 제3 기상 전구체에 앞서 기판과 접촉할 수 있다. 일부 구현예들에서, 상기 제3 기상 전구체는 제1 및 제2 기상 전구체 모두의 뒤에서 기판과 접촉할 수 있다. 일부 구현예들에서, 상기 제1, 제2, 및 제3 기상 전구체가 기판과 접촉하는 순서는 상이할 수 있다. 일부 구현예들에서, 둘 이상의 전구체들이 제1, 제2, 제3 등의 전구체로서 지칭되는 지에 상관없이 이들은 함께 또는 부분적으로 중첩 펄스로 제공될 수 있다. 또한, 반응 챔버 표면들은 당업자가 판단하는 임의의 순서로 기상 전구체들과 교번적, 순차적으로 접촉될 수 있다. 예를 들어, 챔버 표면은, 표면이 주어진 증착 사이클에서 제2 기상 전구체와 접촉하기 전에 제3 기상 전구체와 접촉될 수 있다. The terms first, second, and third precursor are used herein by reference only, and those skilled in the art will appreciate that the deposition cycle can begin with exposing the reaction chamber surface to either the first, second, or third vapor phase precursor. I will understand. In some embodiments, the first vapor phase precursor may contact the substrate prior to the second or third vapor phase precursor. In some embodiments, the second vapor phase precursor may contact the substrate after the first vapor phase precursor and before the third vapor phase precursor. In some embodiments, the third vapor phase precursor may contact the substrate behind both the first and second vapor phase precursors. In some embodiments, the order in which the first, second, and third vapor phase precursors contact the substrate may be different. In some implementations, regardless of whether two or more precursors are referred to as a first, second, third, etc. precursor, they may be provided together or partially in overlapping pulses. In addition, the reaction chamber surfaces may alternately and sequentially contact the vapor phase precursors in any order determined by a person skilled in the art. For example, the chamber surface may be contacted with a third vapor phase precursor before the surface contacts the second vapor phase precursor in a given deposition cycle.

일부 구현예들에서, 제1, 제2, 및 제3 기상 전구체를 이용하는 패시베이션층 증착 공정은 1회 이상의 증착 사이클, 3회 이상의 증착 사이클, 5회 이상의 증착 사이클 또는 10회 이상의 증착 사이클, 또는 25회 이상의 증착 사이클 및 일부 예에서 50회 이하의 증착 사이클을 포함할 수 있다. In some embodiments, the passivation layer deposition process using the first, second, and third vapor phase precursors may include at least one deposition cycle, at least 3 deposition cycles, at least 5 deposition cycles, or at least 10 deposition cycles, or 25 It may include more than one deposition cycle and, in some instances, no more than 50 deposition cycles.

일부 구현예들에서, 제1, 제2, 및 제3 기상 전구체를 이용하는 패시베이션층 증착 공정에 의해 증착된 패시베이션층은 선택적 증착 공정(14) 마다, 또는 선택적 증착 공정(14)을 거친 기판, 예컨대 웨이퍼 마다 증착된다. 즉, 선택적 증착 공정 후, 기판은 반응 챔버로부터 제거될 수 있고, 패시베이션층 증착 공정에 의해 추가적인 패시베이션층이 증착될 수 있다. 일부 구현예들에서, 추가적인 패시베이션층은 선택적 증착 공정을 거친 기판 마다 패시베이션층 증착 공정에 의해 증착된다. In some embodiments, the passivation layer deposited by the passivation layer deposition process using the first, second, and third vapor phase precursors is per selective deposition process 14, or a substrate that has undergone the selective deposition process 14, such as It is deposited for each wafer. That is, after the selective deposition process, the substrate may be removed from the reaction chamber, and an additional passivation layer may be deposited by the passivation layer deposition process. In some implementations, an additional passivation layer is deposited by a passivation layer deposition process for each substrate that has undergone the selective deposition process.

일부 구현예들에서, 제1, 제2, 및 제3 기상 전구체를 이용하는 패시베이션층 증착 공정에 의해 증착된 패시베이션층은 선택적 증착 공정(14)을 거친 2개 초과, 4개 초과, 9개 초과, 또는 19개 초과 기판들마다 증착된다. In some embodiments, the passivation layer deposited by the passivation layer deposition process using the first, second, and third vapor phase precursors is more than 2, more than 4, more than 9, which has been subjected to the selective deposition process 14, Or deposited every more than 19 substrates.

일부 구현예들에서, 패시베이션층을 형성하기 위한 증착 공정은 본원에 기술된 선택적 증착 공정과 유사하거나 동일한 반응 챔버 압력 및 온도로 수행될 수 있다. 일부 구현예들에서, 상기 패시베이션층 증착 공정에 사용되는 기상 전구체들의 유량은 본원에 기술된 선택적 증착 공정에 사용되는 전구체 유량과 유사하거나 동일할 수 있다. In some implementations, the deposition process to form the passivation layer can be performed with a reaction chamber pressure and temperature similar or equal to the selective deposition process described herein. In some embodiments, the flow rate of the vapor phase precursors used in the passivation layer deposition process may be similar or equal to the flow rate of the precursors used in the selective deposition process described herein.

일부 구현예들에서, 패시베이션층은 약 400℃ 미만의 온도에서 증착될 수 있다. 일부 구현예들에서, 패시베이션층은 약 250℃ 미만의 온도에서 증착될 수 있다. 일부 구현예들에서, 패시베이션층은 약 150℃ 미만의 온도에서 증착될 수 있다. 일부 구현예들에서, 패시베이션층은 약 100℃ 미만의 온도에서 증착될 수 있다. In some implementations, the passivation layer can be deposited at a temperature of less than about 400°C. In some implementations, the passivation layer can be deposited at a temperature of less than about 250°C. In some implementations, the passivation layer can be deposited at a temperature of less than about 150°C. In some implementations, the passivation layer can be deposited at a temperature of less than about 100°C.

일부 구현예들에서, 패시베이션층은, 예를 들어 약 20°C 내지 약 250℃, 약 30℃ 내지 약 200℃, 또는 약 40℃ 내지 150℃에서 증착될 수 있다. 일부 구현예들에서, 패시베이션층은 후속의 선택적 증착 공정이 수행될 수 있는 대략 동일한 온도에서 수행될 수 있다. In some implementations, the passivation layer may be deposited at about 20°C to about 250°C, about 30°C to about 200°C, or about 40°C to 150°C, for example. In some implementations, the passivation layer may be performed at approximately the same temperature at which a subsequent selective deposition process may be performed.

일부 구현예들에서, 상기 패시베이션층이 증착되는 챔버 표면들은 상기 패시베이션층을 증착하기 전에 선택적으로 세정될 수 있다. 일부 구현예들에서, 챔버 표면들을 플라즈마에 노출함으로써 챔버 표면들은 세정될 수 있다. 예를 들어, 일부 구현예들에서, 반응 챔버는, 반응 챔버를 불소를 포함하는 라디칼, 예컨대 NF3-계 라디칼에 노출시키는 것을 포함하는 공정에 의해 세정될 수 있다. In some embodiments, chamber surfaces on which the passivation layer is deposited may be selectively cleaned prior to depositing the passivation layer. In some implementations, chamber surfaces can be cleaned by exposing the chamber surfaces to a plasma. For example, in some embodiments, the reaction chamber can be cleaned by a process that includes exposing the reaction chamber to a radical comprising fluorine, such as an NF 3 -based radical.

일부 구현예들에서, 금속 산화물 패시베이션층은 기상 증착 공정, 예컨대 ALD, CVD, PEALD, 또는 PECVD 공정에 의해 형성될 수 있다. 일부 구현예들에서, 상기 패시베이션층을 형성하기 위한 증착 공정은 1 내지 10,000회의 증착 사이클, 5 내지 5,000회의 증착 사이클, 10 내지 2,500회의 증착 사이클, 또는 10 내지 50회의 증착 사이클을 포함할 수 있다. In some implementations, the metal oxide passivation layer can be formed by a vapor deposition process, such as an ALD, CVD, PEALD, or PECVD process. In some embodiments, the deposition process for forming the passivation layer may include 1 to 10,000 deposition cycles, 5 to 5,000 deposition cycles, 10 to 2,500 deposition cycles, or 10 to 50 deposition cycles.

일부 구현예들에서, 상기 패시베이션층은 금속 산화물을 포함할 수 있다. 일부 구현예들에서, 상기 패시베이션층은 전이금속 산화물을 포함할 수 있다. 일부 구현예들에서, 상기 패시베이션층은, 예를 들어 탄탈륨 산화물(Ta2O5), 티타늄 산화물(TiO2), 니오븀 산화물(Nb2O5), 지르코늄 산화물(ZrO2), 하프늄 산화물(HfO2), 텅스텐 산화물(WOx), 몰리브덴 산화물(MoOx), 또는 바나듐 산화물(VOx)을 포함할 수 있다. 일부 구현예들에서, 전이금속 산화물을 포함하는 패시베이션층은 1회 이상의 증착 사이클을 포함하는 증착 공정에 의해 형성될 수 있고, 상기 증착 사이클은 반응 챔버 표면들을 제1 기상 전구체 및 제2 기상 전구체에 교번적, 순차적으로 노출시키는 단계를 포함한다. 일부 구현예들에서, 상기 증착 공정은 ALD, CVD, PEALD, 또는 PECVD 공정일 수 있다. 일부 구현예들에서, 제1 기상 전구체는 전이금속을 포함할 수 있다. 일부 구현예들에서, 제1 기상 전구체는 금속 할로겐화물 또는 유기금속 화합물을 포함할 수 있다. 일부 구현예들에서, 제2 기상 전구체는 산소를 포함할 수 있다. 일부 구현예들에서, 제2 기상 전구체는 산소 반응물 또는 산소 소스일 수 있다. 일부 구현예들에서, 제2 기상 전구체는 O3, H2O, H2O2, 산소 원자, 산소 플라즈마, 산소 라디칼, 또는 이들의 조합을 포함할 수 있다. In some embodiments, the passivation layer may include a metal oxide. In some embodiments, the passivation layer may include a transition metal oxide. In some embodiments, the passivation layer is, for example, tantalum oxide (Ta 2 O 5 ), titanium oxide (TiO 2 ), niobium oxide (Nb 2 O 5 ), zirconium oxide (ZrO 2 ), hafnium oxide (HfO). 2 ), tungsten oxide (WO x ), molybdenum oxide (MoO x ), or vanadium oxide (VO x ). In some embodiments, the passivation layer comprising a transition metal oxide may be formed by a deposition process including one or more deposition cycles, wherein the deposition cycle causes the reaction chamber surfaces to be applied to the first vapor phase precursor and the second vapor phase precursor. It includes the step of alternately, sequentially exposing. In some embodiments, the deposition process may be an ALD, CVD, PEALD, or PECVD process. In some embodiments, the first vapor phase precursor may include a transition metal. In some embodiments, the first vapor phase precursor may include a metal halide or an organometallic compound. In some implementations, the second vapor phase precursor can include oxygen. In some embodiments, the second vapor phase precursor can be an oxygen reactant or an oxygen source. In some embodiments, the second vapor phase precursor may comprise O 3 , H 2 O, H 2 O 2 , an oxygen atom, an oxygen plasma, an oxygen radical, or a combination thereof.

일부 구현예들에서, Al2O3를 포함하는 패시베이션층은 1회 이상의 증착 사이클을 포함하는 증착 공정에 의해 형성될 수 있고, 상기 증착 사이클은 반응 챔버 표면들을 알루미늄을 포함하는 제1 기상 전구체 및 산소를 포함하는 제2 기상 전구체에 교번적, 순차적으로 노출시키는 단계를 포함한다. 일부 구현예에서, 알루미늄을 포함하는 제1 기상 전구체는 알루미늄을 포함하는 유기금속 화합물, 예컨대 트리메틸알루미늄(TMA)이다. 일부 구현예들에서, 산소를 포함하는 제2 기상 전구체는 O3, H2O, H2O2, 산소 원자, 산소 플라즈마, 산소 라디칼, 또는 이들의 조합을 포함할 수 있다. 추가적으로, 일부 구현예들에서, 제1 및 제2 기상 전구체는 당업자가 쉽게 결정할 수 있듯이 임의의 순서로 제공될 수 있다. 일부 구현예들에서, 제1 및 제2 기상 전구체는, 예컨대 CVD 공정에서 함께 또는 적어도 부분적으로 중첩하는 펄스로 제공될 수 있다. In some embodiments, the passivation layer comprising Al 2 O 3 may be formed by a deposition process comprising one or more deposition cycles, wherein the deposition cycle comprises a first vapor phase precursor comprising aluminum and And alternately and sequentially exposing the second gaseous precursor containing oxygen. In some embodiments, the first vapor phase precursor comprising aluminum is an organometallic compound comprising aluminum, such as trimethylaluminum (TMA). In some embodiments, the second vapor phase precursor comprising oxygen may include O 3 , H 2 O, H 2 O 2 , an oxygen atom, an oxygen plasma, an oxygen radical, or a combination thereof. Additionally, in some embodiments, the first and second vapor phase precursors can be provided in any order, as can be readily determined by one of skill in the art. In some implementations, the first and second vapor phase precursors may be provided in pulses that overlap or at least partially overlap, such as in a CVD process.

일부 구현예들에서, 금속계 재료는 기상 증착 공정, 예컨대 화학 기상 증착(CVD) 또는 원자층 증착(ALD) 공정에 의해 챔버 표면들 상에 증착되거나 형성될 수 있다. 일부 구현예들에서, 금속계 재료는 안티몬, 예컨대 원소 안티몬을 포함할 수 있다. 일부 구현예들에서, 상기 패시베이션층은 플라즈마 강화 ALD(PEALD) 공정에 의해 형성될 수 있다. 일부 구현예들에서, 상기 패시베이션층을 형성하기 위한 증착 공정은 1 내지 10,000회의 증착 사이클, 5 내지 5,000회의 증착 사이클, 10 내지 2,500회의 증착 사이클, 또는 10 내지 50회의 증착 사이클을 포함할 수 있다. In some implementations, the metallic material may be deposited or formed on the chamber surfaces by a vapor deposition process, such as a chemical vapor deposition (CVD) or atomic layer deposition (ALD) process. In some implementations, the metallic material can include antimony, such as elemental antimony. In some embodiments, the passivation layer may be formed by a plasma enhanced ALD (PEALD) process. In some embodiments, the deposition process for forming the passivation layer may include 1 to 10,000 deposition cycles, 5 to 5,000 deposition cycles, 10 to 2,500 deposition cycles, or 10 to 50 deposition cycles.

일부 구현예들에서, 상기 금속계 재료는 이후 산화되어 금속 산화물 패시베이션층을 형성할 수 있다. 일부 구현예들에서, 상기 금속계 재료는 산소 반응물에 노출됨으로써 산화될 수 있다. 일부 구현예에서, 플라즈마는 산소 원자, 산소 라디칼, 산소 플라즈마 또는 이들의 조합을 포함할 수 있다. 예를 들어, 일부 구현예에서, 산소 반응물은 O3, H2O, H2O2, 산소 원자, 산소 플라즈마, 산소 라디칼, 또는 이들의 조합을 포함할 수 있다. 일부 구현예들에서, 상기 금속계 재료는, 상기 금속계 재료를 산화물 또는 산소 반응물에 노출하는 적어도 하나의 단계를 포함하는 산화 공정을 거칠 수 있다. 일부 구현예들에서, 산화 공정은 금속계 재료를 둘 이상의 산화물 또는 산소 반응물에 둘 이상의 단계로 노출시키는 단계를 포함할 수 있다. 일부 구현예들에서, 상기 둘 이상의 산화물 또는 산소 반응물은 상이한 산화물 또는 산소 반응물일 수 있다. 일부 구현예들에서, 상기 둘 이상의 노출 단계는 퍼지 또는 산화물 제거 단계에 의해 분리될 수 있다. 일부 구현예들에서, 상기 금속계 재료를 하나를 초과하는 산화물 또는 산소 반응물에 노출시키는 단계는 바람직하게는 하나의 산화물 또는 산소 반응물에 대한 노출보다 상기 금속계 재료의 더 많은 양이 산화되게 할 수 있다. In some embodiments, the metal-based material may then be oxidized to form a metal oxide passivation layer. In some embodiments, the metallic material may be oxidized by exposure to an oxygen reactant. In some embodiments, the plasma can include oxygen atoms, oxygen radicals, oxygen plasmas, or combinations thereof. For example, in some embodiments, the oxygen reactant may comprise O 3 , H 2 O, H 2 O 2 , an oxygen atom, an oxygen plasma, an oxygen radical, or a combination thereof. In some embodiments, the metallic material may be subjected to an oxidation process including at least one step of exposing the metallic material to an oxide or oxygen reactant. In some implementations, the oxidation process can include exposing the metallic material to two or more oxide or oxygen reactants in two or more steps. In some embodiments, the two or more oxides or oxygen reactants may be different oxides or oxygen reactants. In some embodiments, the two or more exposing steps may be separated by a purge or oxide removal step. In some embodiments, exposing the metallic material to more than one oxide or oxygen reactant may preferably cause a greater amount of the metallic material to oxidize than exposure to one oxide or oxygen reactant.

일부 구현예들에서, 상기 패시베이션층은 이전의 증착 공정 동안 챔버 표면 위에 증착된 금속계 재료를 산화시킴으로써 챔버 표면 위에 형성될 수 있다. 선택적 증착 단계(14)가 반응 챔버 내에서 앞서 수행된 일부 구현예들에서, 상기 반응 챔버 패시베이션 단계(11)는 선택적 증착 단계(14) 동안 챔버 표면들 상에 증착된 임의의 금속계 재료를 산화시켜서 금속 산화물 패시베이션층을 형성하는 단계를 포함할 수 있다. 일부 구현예들에서, 상기 금속계 재료는 산소 전구체에 노출됨으로써 산화될 수 있다. 일부 구현예에서, 플라즈마는 산소 원자, 산소 라디칼, 산소 플라즈마 또는 이들의 조합을 포함할 수 있다. In some implementations, the passivation layer may be formed over the chamber surface by oxidizing a metallic material deposited over the chamber surface during a previous deposition process. In some embodiments where the selective deposition step 14 has been previously performed within the reaction chamber, the reaction chamber passivation step 11 oxidizes any metallic material deposited on the chamber surfaces during the selective deposition step 14 It may include forming a metal oxide passivation layer. In some embodiments, the metallic material may be oxidized by exposure to an oxygen precursor. In some embodiments, the plasma can include oxygen atoms, oxygen radicals, oxygen plasmas, or combinations thereof.

예를 들어, 이전의 W의 선택적 증착 공정 동안 챔버 표면 상에 증착된 W는 산화되어 챔버 패시베이션층을 형성할 수 있다. 일부 구현예들에서, 금속계 재료는 반응 챔버 내에서 기판 또는 웨이퍼 상에 재료를 증착하기 위하여 사용되지 않는 증착 공정에 의해 챔버 표면들 상에 증착된다.For example, W deposited on the chamber surface during a previous selective deposition process of W may be oxidized to form a chamber passivation layer. In some implementations, the metallic material is deposited on the chamber surfaces by a deposition process that is not used to deposit material on a substrate or wafer within the reaction chamber.

실리콘 함유 표면 처리 Surface treatment containing silicon

도 1에 도시된 바와 같이, 그리고 일부 구현예들에서, 그 위에서의 증착을 피하기 위한 실리콘 함유 재료가 단계 12에서 처리될 수 있다. 예를 들어, 일부 구현예들에서, 실리콘 함유 재료는 표면 세정 후 그리고 증착 전에 처리될 수 있다. 일부 구현예들에서, 실리콘 함유 표면은, 실리콘 함유 표면 상에 증착된 재료의 양을 감소, 예컨대, 실리콘 함유 표면을 패시베이션함으로써 처리되어 증착 공정의 선택도를 향상시킬 수 있다. 일부 구현예들에서, 상기 처리는 실리콘 함유층을 복원하고 실리콘 함유층 위에서 증착을 차단하지 않도록 의도된다. As shown in FIG. 1, and in some implementations, a silicon-containing material may be treated in step 12 to avoid deposition thereon. For example, in some implementations, the silicon-containing material can be treated after surface cleaning and prior to deposition. In some implementations, the silicon-containing surface can be treated by reducing the amount of material deposited on the silicon-containing surface, such as by passivating the silicon-containing surface, to improve the selectivity of the deposition process. In some embodiments, the treatment is intended to restore the silicon-containing layer and not block deposition over the silicon-containing layer.

일부 구현예들에서, 실리콘 함유 표면은 대기로부터 흡수된 수분을 제거하도록 탈가스된 낮은 유전상수(k) 표면이다. In some embodiments, the silicon-containing surface is a low dielectric constant (k) surface that has been degassed to remove absorbed moisture from the atmosphere.

일부 구현예들에서, 실리콘 함유 재료의 처리는 유전체 회복 단계이다. 선택적 증착 전에 그리고 표면이 세정된 후에 상이한 종류의 실리콘 함유 재료 회복 단계들이 수행될 수 있다(수행되는 경우). In some implementations, the treatment of the silicon containing material is a dielectric recovery step. Different kinds of silicon-containing material recovery steps may be performed before the selective deposition and after the surface has been cleaned (if performed).

일부 구현예들에서, 실리콘 함유 표면은 하나 이상의 실란, 예컨대 디실란과 접촉함으로써 처리될 수 있다. 일부 구현예들에서, 실리콘 함유 표면은 트리메틸클로로실란(CH3)3SiCl(TMCS) 또는 식 R3- xSiXx를 갖는 다른 유형의 알킬할로실란들로 처리되는 데, 여기서 x는 1 내지 3이고, R은 각각 독립적으로 C1-C5 탄화수소, 예컨대 메틸, 에틸, 프로필 또는 부틸, 바람직하게는 메틸이도록 선택될 수 있고, X는 할로겐화물, 바람직하게는 염화물이다. 미국 특허 제6,391,785호는 다양한 표면 개질 및 처리를 개시하며, 그의 전체가 본원에 포함되어 있다. 일부 구현예들에서, 미국 특허 제6,391,785호에 개시된 표면 개질들 또는 처리들 중 어느 하나가 본원에 개시된 방법들에서 사용될 수 있다. In some embodiments, the silicon-containing surface can be treated by contacting one or more silanes, such as disilane. In some embodiments, the silicon-containing surface is treated with trimethylchlorosilane (CH 3 ) 3 SiCl (TMCS) or another type of alkylhalosilane having the formula R 3- x SiX x, where x is 1 to 3 and each R can be independently selected to be a C1-C5 hydrocarbon, such as methyl, ethyl, propyl or butyl, preferably methyl, and X is a halide, preferably a chloride. U.S. Patent No. 6,391,785 discloses various surface modifications and treatments, which are incorporated herein in their entirety. In some embodiments, any of the surface modifications or treatments disclosed in US Pat. No. 6,391,785 can be used in the methods disclosed herein.

일부 구현예들에서, 실리콘 함유 표면은, 예컨대 트리메틸(디메틸아미노)실란과 접촉된다. 일부 구현예들에서, 실리콘 함유 표면은 식 (RI)3Si(NRIIRIII)을 갖는 알킬아미노실란과 접촉되는 데, 여기서 RI는 선형 또는 분지형 C1-C5 알킬기이거나 선형 또는 분지형 C1-C4 알킬기이고, RII은 선형 또는 분지형 C1-C5 알킬기, 선형 또는 분지형 C1-C4 알킬기, 또는 수소이고, RIII은 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기이다. In some embodiments, the silicone containing surface is contacted with, for example, trimethyl(dimethylamino)silane. In some embodiments, the silicon-containing surface is contacted with an alkylaminosilane having the formula (R I ) 3 Si(NR II R III ), wherein R I is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group, R II is a linear or branched C1-C5 alkyl group, a linear or branched C1-C4 alkyl group, or hydrogen, and R III is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 It is an alkyl group.

일부 구현예들에서, 실리콘 함유 표면은 일반식 (RI)3SiA을 갖는 실란과 접촉되는 데, 여기서 RI은 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기이고, A는 상기 실리콘 함유 표면과 반응성인 임의의 리간드이다. 즉, 실란은 리간드 A를 통하여 표면에 결합하거나, 리간드 A는 표면과 결합을 형성하지만, 그 후 리간드 A는 표면 및/또는 실란으로부터 이동할 수 있다. In some embodiments, the silicon-containing surface is contacted with a silane having the general formula (R I ) 3 SiA, wherein R I is a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group, and A Is any ligand that is reactive with the silicon-containing surface. That is, the silane binds to the surface through ligand A, or ligand A forms a bond with the surface, but then ligand A can migrate from the surface and/or from the silane.

일부 구현예들에서, 상기 회복 화학물질은 실란 패밀리로부터 선택되고 화학식 SinH2n +2 (n은 1 이상)을 가지거나, 실란 패밀리로부터 선택되고 화학식 SinH2n(n은 3 이상)을 가진다. 일부 구현예들에서, 상기 회복 화학물질은 실란, 디실란, 또는 트리실란을 포함하는 실리콘 소스이다. 일부 구현예들에서, 상기 실란은 디실란 Si2H6 트리실란 Si3H8이다. 일부 구현예들에서, 상기 실리콘 소스는 다음 식을 갖는 실란 화합물들로부터 선택될 수 있고: SiHxLy, 여기서 L은 알킬, 알케닐, 알키닐, 알콕시드, 및 아민을 포함하는 군으로부터 선택되는 리간드이다. 일부 경우에서, L은 F, Cl, Br 및 I로 구성되는 할로겐화 군으로부터 선택되는 리간드이다.  In some embodiments, the recovery chemical is selected from the silane family and has the formula Si n H 2n +2 (n is 1 or more), or is selected from the silane family and has the formula Si n H 2n (n is 3 or more). Have. In some embodiments, the recovery chemical is a silicon source comprising silane, disilane, or trisilane. In some embodiments, the silane is disilane Si 2 H 6 trisilane Si 3 H 8 . In some embodiments, the silicon source can be selected from silane compounds having the formula: SiH x L y , where L is selected from the group comprising alkyl, alkenyl, alkynyl, alkoxide, and amine. It is a ligand. In some cases, L is a ligand selected from the group of halogenated consisting of F, Cl, Br and I.

일부 구현예들에서, 실리콘 함유 표면 회복 단계는 선택적 증착에 앞서 약 상온 내지 약 150℃, 또는 약 40℃ 내지 약 130℃의 온도에서 기판을 하나 이상의 회복 화학물질, 예컨대 Si2H6 또는 TMCS에 노출시킴으로써 수행된다. 일부 구현예들에서, 실리콘 함유 표면 회복 단계는 약 400℃ 이하, 약 25℃ 내지 약 300℃, 또는 30℃ 내지 약 250℃의 온도에서 수행될 수 있다. 일부 구현예들에서, 회복 화학물질, 예컨대 Si2H6가 약 5 내지 100 sccm, 또는 약 30 내지 60 sccm의 유량으로 반응 챔버에 제공된다. 일부 구현예들에서, 회복 화학물질은 약 1 내지 20초 또는 약 1 내지 10초 동안 반응 챔버에 제공된다. 일부 구현예들에서, 회복 화학물질, 예컨대 TMCS가 펄스로 제공된다. 약 1~20 또는 약 1~10 펄스가, 예를 들어 각각 약 1 내지 10초의 펄스 및 퍼지 시간을 갖고서 제공될 수 있다. 일부 구현예들에서, 실리콘 함유 표면 회복 단계는, 증착이 수행될 수 있는 상기 반응 챔버와 별도인, 제2 반응 챔버에서 일어날 수 있다. In some embodiments, the step of recovering the silicon-containing surface comprises applying the substrate to one or more recovery chemicals, such as Si 2 H 6 or TMCS, at a temperature of about room temperature to about 150° C., or about 40° C. to about 130° C. prior to selective deposition. It is done by exposure. In some embodiments, the silicon-containing surface recovery step may be performed at a temperature of about 400° C. or less, about 25° C. to about 300° C., or 30° C. to about 250° C. In some embodiments, a recovery chemical such as Si 2 H 6 is provided to the reaction chamber at a flow rate of about 5 to 100 sccm, or about 30 to 60 sccm. In some embodiments, the recovery chemical is provided to the reaction chamber for about 1 to 20 seconds or about 1 to 10 seconds. In some embodiments, a recovery chemical, such as TMCS, is provided in pulses. About 1-20 or about 1-10 pulses may be provided, for example, each having a pulse and purge time of about 1-10 seconds. In some embodiments, the step of recovering the silicon-containing surface may occur in a second reaction chamber, separate from the reaction chamber in which deposition may be performed.

이 단계는 표면 회복 단계로 불리고 사용되는 화학물질들은 회복 화학물질들로서 불리지만, 이들 명칭은 본원에서 간략화를 위해 사용되고 어떤 특별한 회복 기능도 암시되지 않는다. 따라서, 일부 구현예들에서, 처리 및/또는 화학물질은 완전히 또는 심지어 부분적으로 조차도 실리콘 함유 표면을 회복시키지 않을 수 있다. This step is called the surface recovery step and the chemicals used are referred to as recovery chemicals, but these names are used herein for brevity and no special recovery function is implied. Thus, in some embodiments, the treatment and/or chemical may not completely or even partially recover the silicon-containing surface.

실리콘 함유 표면이 손상되면, 손상된 표면은 선택적 증착 단계들 후에 표면 회복 단계를 수행함으로써 회복될 수도 있다. If the silicon-containing surface is damaged, the damaged surface may be repaired by performing a surface recovery step after optional deposition steps.

일부 실리콘 함유 재료는 다공성 구조를 가질 수 있다. 확산, 식각, 및 다른 원치 않는 공정들을 피하기 위해, 증착 공정을 시작하기 전에 보호성 기로 기공들은 밀봉되거나 말단화될 수 있다. 따라서, 일부 구현예들에서, 다공성 실리콘 함유 재료는 선택적 증착 공정을 시작하기 전에 보호성 기로 기공들을 밀봉하거나 말단화하기 위해 처리될 수 있다. 일부 구현예들에서, 다공성 실리콘 함유 재료는 금속 반응물을 제공하기 전에 처리된다. Some silicon-containing materials can have a porous structure. To avoid diffusion, etching, and other undesired processes, the pores can be sealed or terminated with a protective group before starting the deposition process. Thus, in some embodiments, the porous silicon-containing material can be treated to seal or terminate the pores with protective groups prior to starting the selective deposition process. In some embodiments, the porous silicon-containing material is treated prior to providing the metal reactant.

일부 구현예들에서, 기공들은 실리콘 함유 표면 위에 Si(RI)3 기를 형성함으로써 밀봉될 수 있고, 여기서 RI는 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기일 수 있다. 일부 구현예들에서, 기공들은 실릴화(silylation), 즉 -Si(CH3)3 기들을 실리콘 함유 표면, 예컨대 낮은 유전상수(k) 표면 또는 SiO2 표면 상에 형성함으로써 밀봉된다. 금속 불화물 또는 다른 반응물들을 도입하기 전에 실릴화에 의해 식각은 부분적으로 회피될 수 있다. 실릴화는 기공들을 차단하여 반응물이 실리콘 함유 표면으로 침투하는 것을 피하기 위해 사용될 수 있다. 일부 구현예들에서, 실릴화는 실리콘 함유 재료의 Si-OH 말단화 표면과 실리콘 화합물, 예컨대 Cl-Si(CH3)3의 반응을 통하여 이루어진다: Si-OH + Cl-Si(CH3)3 -> Si-O-Si(CH3)3 + HCl. 따라서, 일부 구현예들에서, 실리콘 화합물을 제공하기 전에 적절한 표면 말단이 형성된다. 또한, 더 긴 탄소 함유 리간드를 갖는 실리콘 화합물들의 사용이 가능하다. In some embodiments, the pores can be sealed by forming Si(R I ) 3 groups on the silicon-containing surface, where R I can be a linear or branched C1-C5 alkyl group or a linear or branched C1-C4 alkyl group. . In some embodiments, the pores are sealed by silylation, ie forming -Si(CH 3 ) 3 groups on a silicon containing surface, such as a low dielectric constant (k) surface or a SiO 2 surface. Etching can be partially avoided by silylation prior to introducing the metal fluoride or other reactants. Silylation can be used to block pores to avoid penetration of the reactants into the silicon-containing surface. In some embodiments, silylation is achieved through the reaction of a silicon compound, such as Cl-Si(CH 3 ) 3 , with the Si-OH terminated surface of the silicon-containing material: Si-OH + Cl-Si(CH 3 ) 3 -> Si-O-Si(CH 3 ) 3 + HCl. Thus, in some embodiments, a suitable surface end is formed prior to providing the silicone compound. In addition, the use of silicone compounds with longer carbon-containing ligands is possible.

예를 들어, 미국 특허 제6,759,325호에 기공들을 밀봉하기 위한 방법들이 개시되어 있다. 미국 특허 제6,759,325호에 있는 밀봉 방법의 개시내용은 이에 의해 전체가 참조로 포함되어 있다. For example, methods for sealing pores are disclosed in US Pat. No. 6,759,325. The disclosure of the sealing method in US Pat. No. 6,759,325 is hereby incorporated by reference in its entirety.

일부 구현예들에서, 기공들을 차단하고 실리콘 함유 표면을 금속 불화물에 더 저항성이게 하는 증착 전에, 유기층이 ALD에 의해 실리콘 함유 재료 위에 형성될 수 있다. In some implementations, an organic layer may be formed over the silicon-containing material by ALD prior to deposition to block the pores and make the silicon-containing surface more resistant to metal fluoride.

선택도가 불완전하거나 더 높은 선택도가 필요한 일부 구현예들에서, 선택적 증착 후, 금속계 표면으로부터 재료를 완전히 제거하지 않고서 절연체 표면으로부터 재료를 제거하기 위해, 예컨대 등방성 선택적 식각을 이용하여 표면이 처리될 수 있다. 예를 들어, HCl 증기 또는 습식 식각이 사용될 수 있다. In some embodiments where selectivity is incomplete or higher selectivity is required, after selective deposition, the surface may be treated to remove material from the insulator surface without completely removing the material from the metallic surface, for example using isotropic selective etching. I can. For example, HCl vapor or wet etching can be used.

제1 금속계 표면 처리First metallic surface treatment

일부 구현예들에서, 기판은 본원에 기술된 바와 같은 금속계 표면으로부터 표면층을 제거하기 위한 공정을 거칠 수 있다. 예를 들어, 위에서 기술된 바와 같이, 적어도 제1 금속계 표면을 포함하는 기판은, 박막을 기판 위에 선택적으로 증착하기 전에 본원에서 기술된 바와 같이 기판으로부터 표면층을 제거하기 위한 공정을 거칠 수 있다. 일부 구현에들에서, 기판은 본원에 기술된 바와 같이 패시베이션된 반응 챔버 내 기판의 제1 금속계 표면으로부터 표면층을 제거하기 위한 공정을 거칠 수 있다. 그러나, 일부 다른 구현에들에서, 기판은 패시베이션되지 않은 반응 챔버 내 기판의 제1 금속계 표면으로부터 표면층을 제거하기 위한 공정을 거칠 수 있다. In some implementations, the substrate can be subjected to a process to remove a surface layer from a metallic surface as described herein. For example, as described above, a substrate comprising at least a first metal-based surface may be subjected to a process to remove a surface layer from the substrate as described herein prior to selectively depositing a thin film onto the substrate. In some implementations, the substrate can be subjected to a process to remove a surface layer from the first metallic surface of the substrate in a passivated reaction chamber as described herein. However, in some other implementations, the substrate may be subjected to a process to remove the surface layer from the first metallic surface of the substrate in the non-passivated reaction chamber.

도 1에 도시된 바와 같이 그리고 일부 구현예들에 따르면, 기판 표면은 단계 13에서 선택적으로 세정되거나 처리될 수 있다. 예를 들어, 제1 재료가 구리인 구현예들의 경우, 구리 표면은 순수한 원소 구리가 기판 표면 위에 있도록 세정되거나 환원될 수 있다. 일부 구현예들에서, 제1 표면 처리 공정은 본원에서 기술된 바와 같은 표면층 제거 공정을 포함할 수 있다. 예를 들어, 제1 표면 처리 공정은 상기 기판의 제1 표면 상에서 선택적 증착을 가능하게 하거나 향상시키기 위해 상기 제1 표면 상에 존재하는 표면층을 제거할 수 있다. 일부 구현예들에서, 상기 제거된 표면층은 유기 재료로 이루어진 층을 포함할 수 있다. 즉, 일부 구현예들에서, 제1 표면 처리 공정은 상기 제1 금속계 표면 상에 존재하는 임의의 유기 재료를 제거할 수 있다. 예를 들어, 제1 표면 처리 공정은 상기 제1 금속계 표면 상에 존재하는 유기 패시베이션층을 제거할 수 있다. 예를 들어, 제1 표면 처리 공정은 구리 표면으로부터 벤조트리아졸(BTA)을 제거할 수 있다. 일부 구현예들에서, 제1 표면 처리 공정은 상기 제1 금속계 표면 상에 존재할 수 있는 임의의 유기 및/또는 탄화수소층을 제거할 수 있다. As shown in FIG. 1 and according to some implementations, the substrate surface may be selectively cleaned or treated in step 13. For example, for embodiments where the first material is copper, the copper surface can be cleaned or reduced so that pure elemental copper is above the substrate surface. In some embodiments, the first surface treatment process can include a surface layer removal process as described herein. For example, the first surface treatment process may remove a surface layer present on the first surface to enable or enhance selective deposition on the first surface of the substrate. In some embodiments, the removed surface layer may include a layer made of an organic material. That is, in some embodiments, the first surface treatment process may remove any organic material present on the first metallic surface. For example, the first surface treatment process may remove the organic passivation layer present on the first metal-based surface. For example, the first surface treatment process may remove benzotriazole (BTA) from the copper surface. In some embodiments, the first surface treatment process may remove any organic and/or hydrocarbon layer that may exist on the first metallic surface.

일부 구현예들에서, 제1 표면 처리 공정은 상기 기판의 제1 금속계 표면 상에 존재하는 표면층 또는 표면층의 일부를 환원시킬 수 있다. 일부 구현예들에서, 제1 표면 처리 공정은 상기 제1 금속계 표면 상에 존재하는 임의의 산화물 표면층을 환원시키고/환원시키거나 제거할 수 있다. 일부 구현예들에서, 제1 표면 처리 공정은 상기 제1 금속계 표면 상에 존재할 수 있는 임의의 자연산화물층을 환원시키고/환원시키거나 제거할 수 있다. 일부 구현예들에서, 제1 표면 처리 공정은, 예컨대 상기 제1 금속계 표면 상에 존재하는 표면층 또는 표면층 일부를 환원시키고/환원시키거나 제거함으로써 상기 제1 금속계 표면 상에 활성 사이트들을 제공할 수 있다. 일부 구현예들에서, 상기 산화물층은 부분적으로 제거될 수 있고, 상기 산화물층을 포함하는 남아 있는 재료는 상기 제1 표면 처리 공정에 의해 환원될 수 있다. 즉, 일부 구현예들에서, 상기 산화층의 일부는 상기 제1 표면 처리 공정에 의해 제거될 수 있고, 그에 반하여 임의의 나머지 산화물층은 상기 제1 표면 처리 공정에 의해 환원될 수 있다. 일부 구현예들에서, 산화물 표면층 전부는 상기 제1 표면 처리 공정에 의해 실질적으로 제거될 수 있다. 일부 구현예들에서, 산화물 표면층 전부는 상기 제1 표면 처리 공정에 의해 실질적으로 환원될 수 있다. In some embodiments, the first surface treatment process may reduce a surface layer or a part of the surface layer present on the first metallic surface of the substrate. In some embodiments, the first surface treatment process may reduce/reduce or remove any oxide surface layer present on the first metallic surface. In some embodiments, the first surface treatment process may reduce/reduce or remove any natural oxide layer that may exist on the first metal-based surface. In some embodiments, the first surface treatment process may provide active sites on the first metal-based surface, for example by reducing and/reducing or removing a surface layer or a portion of the surface layer present on the first metal-based surface. . In some embodiments, the oxide layer may be partially removed, and the remaining material including the oxide layer may be reduced by the first surface treatment process. That is, in some embodiments, a part of the oxide layer may be removed by the first surface treatment process, whereas any remaining oxide layer may be reduced by the first surface treatment process. In some embodiments, all of the oxide surface layer may be substantially removed by the first surface treatment process. In some embodiments, all of the oxide surface layer may be substantially reduced by the first surface treatment process.

본원에서 사용된 바와 같이, "환원된다"라는 용어는 산화물 재료가 그의 비산화물 형태로 화학적으로 변환된다는 것을 지칭할 수 있다. 즉, 금속 산화물 재료가 환원될 때, 이 금속 산화물 재료는 금속 산화물의 금속으로 화학적으로 변환된다. 예를 들어, 구리 산화물층은 구리를 포함하는 제1 금속계 표면 상에 존재할 수 있고 표면층 제거는 구리 산화물이 금속 구리로 변환되도록 구리 산화물층을 환원시킬 수 있다. 일부 구현예들에서, 상기 표면층은 유기 표면층과 상기 유기 표면층 아래에 놓인 산화물층 모두를 포함할 수 있다. 제1 금속계 표면이 유기 표면층과 상기 유기 표면층 아래에 놓인 산화물층을 포함하는 표면층을 포함할 수 있는 일부 구현예들에서, 제1 표면 처리 공정은 상기 유기 표면층을 제거할 수 있고 또한 상기 산화물층을 환원시키고/환원시키거나 제거함으로써, 매끈한 제1 금속계 표면을 제공할 수 있다. As used herein, the term “reduced” may refer to that the oxide material is chemically converted to its non-oxide form. That is, when the metal oxide material is reduced, the metal oxide material is chemically converted to the metal of the metal oxide. For example, a copper oxide layer may be present on a first metal-based surface comprising copper and removal of the surface layer may reduce the copper oxide layer such that the copper oxide is converted to metallic copper. In some embodiments, the surface layer may include both an organic surface layer and an oxide layer underlying the organic surface layer. In some embodiments, in which the first metal-based surface may include an organic surface layer and a surface layer including an oxide layer disposed under the organic surface layer, the first surface treatment process may remove the organic surface layer, and also the oxide layer. By reducing/reducing or removing, a smooth first metallic surface can be provided.

제1 표면 처리 공정은, 예를 들어 시트르산과 같은 화학물질 또는 플라즈마를 사용하는 다양한 방법들 중 어느 하나로 수행될 수 있다. 예를 들어, 기판 표면은 H2, NH3, 및/또는 다른 성분 가스들을 비롯하여 수소를 포함하는 가스와 같이, 가스로부터 발생된 플라즈마를 사용하여 세정되거나 처리될 수 있다. 일부 구현예들에서, HCl 처리가 제1 표면 처리 방법으로서 사용된다. 일부 구현예들에서, 제1 표면 처리 공정은 처리 반응물, 예컨대 포름산에 기판을 노출시키는 단계를 포함한다. 다른 제1 표면 처리 방법들도 가능하다. 어떤 특별한 경우에 사용될 특정 제1 표면 처리 방법은, 예컨대 기판 표면 상의 재료의 종류를 비롯하여, 재료 및 증착 조건과 같은 다양한 인자를 근거로 선택될 수 있다. The first surface treatment process may be performed by any one of various methods using plasma or chemicals such as citric acid. For example, the substrate surface may be cleaned or treated using a plasma generated from a gas, such as a gas comprising hydrogen, including H 2 , NH 3, and/or other constituent gases. In some embodiments, HCl treatment is used as the first surface treatment method. In some implementations, the first surface treatment process includes exposing the substrate to a treatment reactant, such as formic acid. Other first surface treatment methods are possible. The specific first surface treatment method to be used in any particular case may be selected based on various factors such as, for example, the type of material on the substrate surface, and the material and deposition conditions.

일부 경우에, 선택적 증착 공정이 요망되는 구리와 같은 제1 재료는 패시베이션된다. 패시베이션은 패시베이션층을 형성하기 위한 기판의 의도적인 처리의 결과일 수 있고, 혹은 공정 조건, 예컨대 기판의 이송 동안 산소에 대한 노출로부터 생길 수 있다. In some cases, a first material such as copper for which a selective deposition process is desired is passivated. Passivation may be the result of intentional treatment of the substrate to form the passivation layer, or may result from exposure to oxygen during process conditions, such as transfer of the substrate.

기판의 표면(들)은, 예컨대 하나의 반응 공간으로부터 다른 공간으로 이송 전에 패시베이션될 수 있다. 일부 구현예들에서, 제1 재료의 표면은 공지된 다양한 패시베이션 화학물질들 중 어느 하나를 사용하여 공기 중에서의 산화에 대항하여 패시베이션될 수 있다. Cu 위에서의 선택적 증착이 요망되는 일부 구현예들에서, Cu 표면은, 예컨대 BTA로 패시베이션될 수 있다. 패시베이션은 본원에 기술된 제1 표면 처리를 이용하여 제거될 수 있다. The surface(s) of the substrate may, for example, be passivated prior to transfer from one reaction space to another. In some embodiments, the surface of the first material can be passivated against oxidation in air using any of a variety of known passivation chemistries. In some embodiments where selective deposition over Cu is desired, the Cu surface may be passivated, for example with BTA. Passivation can be removed using the first surface treatment described herein.

일부 구현예들에서, 제1 표면 처리 공정은 처리 반응물에 기판을 노출시키는 단계를 포함한다. 일부 구현예들에서, 상기 처리 반응물은 기상 유기 반응물이다. 일부 구현예들에서, 상기 처리 반응물은 적어도 하나의 알콜 기를 포함할 수 있고, 바람직하게는 일차 알콜, 이차 알콜, 삼차 알콜, 다가 알콜, 환형 알콜, 방향족 알콜, 및 다른 알콜 유도체들로 구성되는 군으로부터 선택될 수 있다. In some implementations, the first surface treatment process includes exposing the substrate to a treatment reactant. In some embodiments, the treatment reactant is a gaseous organic reactant. In some embodiments, the treatment reactant may comprise at least one alcohol group, preferably the group consisting of primary alcohols, secondary alcohols, tertiary alcohols, polyhydric alcohols, cyclic alcohols, aromatic alcohols, and other alcohol derivatives. Can be selected from

바람직한 일차 알콜, 특히 일반식 (I)에 따른 일차 알코올은 다른 탄소 원자에 결합된 탄소 원자에 부착된 -OH 기를 가진다: Preferred primary alcohols, in particular primary alcohols according to formula (I), have -OH groups attached to carbon atoms bonded to other carbon atoms:

R1-OH (I)R 1 -OH (I)

여기서 R1는 선형 또는 분지형 C1 - C20 알킬기 또는 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실기이다. 바람직한 일차 알콜의 예는 메탄올, 에탄올, 프로판올, 부탄올, 2-메틸 프로판올 및 2-메틸 부탄올을 포함한다. Wherein R 1 is a linear or branched C 1 -C 20 alkyl group or alkenyl group, preferably a methyl, ethyl, propyl, butyl, pentyl or hexyl group. Examples of preferred primary alcohols include methanol, ethanol, propanol, butanol, 2-methyl propanol and 2-methyl butanol.

바람직한 이차 알콜은 두 개의 다른 탄소 원자에 결합된 탄소 원자에 부착된 -OH 기를 가진다. 특히, 바람직한 이차 알콜은 일반식 (II)를 가진다:Preferred secondary alcohols have an -OH group attached to a carbon atom bonded to two different carbon atoms. In particular, preferred secondary alcohols have the general formula (II):

Figure 112018045192598-pat00001
(II)
Figure 112018045192598-pat00001
(II)

여기서 R1는 선형 또는 분지형 C1 - C20 알킬기 및 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실기의 군으로부터 각각 독립적으로 선택된다. 바람직한 이차 알콜의 예는 2-프로판올 및 2-부탄올을 포함한다. Wherein R 1 is each independently selected from the group of linear or branched C 1 -C 20 alkyl groups and alkenyl groups, preferably methyl, ethyl, propyl, butyl, pentyl or hexyl groups. Examples of preferred secondary alcohols include 2-propanol and 2-butanol.

바람직한 삼차 알콜은 세 개의 다른 탄소 원자에 결합된 탄소 원자에 부착된 -OH 기를 가진다. 특히, 바람직한 삼차 알콜은 일반식 (III)를 가진다:Preferred tertiary alcohols have -OH groups attached to carbon atoms bonded to three different carbon atoms. In particular, preferred tertiary alcohols have the general formula (III):

Figure 112018045192598-pat00002
(III)
Figure 112018045192598-pat00002
(III)

여기서 R1는 선형 또는 분지형 C1 - C20 알킬기 및 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실기의 군으로부터 각각 독립적으로 선택된다. 바람직한 삼차 알콜의 예는 터트-부탄올이다. Wherein R 1 is each independently selected from the group of linear or branched C 1 -C 20 alkyl groups and alkenyl groups, preferably methyl, ethyl, propyl, butyl, pentyl or hexyl groups. An example of a preferred tertiary alcohol is tert-butanol.

바람직한 다가 알콜, 예컨대 디올 및 트리올은 위에서 기술된 바와 같이 일차, 이차 및/또는 삼차 알콜 기들을 가진다. 바람직한 다가 알콜의 예는 에틸렌 글리콜 및 글리세롤이다. Preferred polyhydric alcohols such as diols and triols have primary, secondary and/or tertiary alcohol groups as described above. Examples of preferred polyhydric alcohols are ethylene glycol and glycerol.

바람직한 환형 알콜은 1 내지 10, 보다 바람직하게는 5-6개의 탄소 원자들의 고리의 일부인 적어도 하나의 탄소 원자에 부착된 -OH 기를 가진다. Preferred cyclic alcohols have an -OH group attached to at least one carbon atom that is part of a ring of 1 to 10, more preferably 5-6 carbon atoms.

바람직한 방향족 알콜은 측쇄 내 벤젠 고리 또는 탄소 원자에 부착된 적어도 하나의 -OH 기를 가진다. Preferred aromatic alcohols have at least one -OH group attached to a benzene ring or carbon atom in the side chain.

적어도 하나의 알데히드 기(-CHO)를 포함하는 바람직한 처리 반응물들은 일반식 (V)를 갖는 화합물들, 일반식 (VI)을 갖는 알칸디알 화합물, 및 알데히드의 다른 유도체들로 구성되는 군으로부터 선택된다. Preferred treatment reactants comprising at least one aldehyde group (-CHO) are selected from the group consisting of compounds having general formula (V), alkandial compounds having general formula (VI), and other derivatives of aldehydes. .

따라서, 일 구현예에서, 바람직한 처리 반응물은 일반식 (V)를 갖는 알데히드이다:Thus, in one embodiment, a preferred treatment reactant is an aldehyde having general formula (V):

R3-CHO (V)R 3 -CHO (V)

여기서 R3는 수소 및 선형 또는 분지형 C1 - C20 알킬기 및 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실기로 구성되는 군으로부터 선택된다. 보다 바람직하게는, R3은 메틸 또는 에틸로 구성되는 군으로부터 선택된다. 식 (V)에 따른 바람직한 화합물들의 예는 포름알데히드, 아세트알데히드 및 부틸알데히드이다. Wherein R 3 is selected from the group consisting of hydrogen and linear or branched C 1 -C 20 alkyl groups and alkenyl groups, preferably methyl, ethyl, propyl, butyl, pentyl or hexyl groups. More preferably, R 3 is selected from the group consisting of methyl or ethyl. Examples of preferred compounds according to formula (V) are formaldehyde, acetaldehyde and butylaldehyde.

다른 구현예에서, 바람직한 처리 반응물은 일반식 (VI)를 갖는 알데히드이다:In another embodiment, a preferred treatment reactant is an aldehyde having general formula (VI):

OHC-R4-CHO (VI)OHC-R 4 -CHO (VI)

여기서 R4는 선형 또는 분지형 C1-C20 포화 또는 불포화 탄화수소이다. 대안적으로, 알데히드 기들은 서로 직접 결합된다(R4는 아무 가치가 없다). Wherein R 4 is a linear or branched C 1 -C 20 saturated or unsaturated hydrocarbon. Alternatively, the aldehyde groups are directly bonded to each other (R 4 has no value).

적어도 하나의 -COOH 기를 포함하는 바람직한 처리 반응물들은 바람직하게는 일반식 (VII)의 화합물들, 폴리카르복시산들, 및 카르복시산들의 다른 유도체들로 구성되는 군으로부터 선택된다. Preferred treatment reactants comprising at least one -COOH group are preferably selected from the group consisting of compounds of formula (VII), polycarboxylic acids, and other derivatives of carboxylic acids.

따라서, 일 구현예에서, 바람직한 처리 반응물은 일반식 (VII)를 갖는 카르복시산이다:Thus, in one embodiment, a preferred treatment reactant is a carboxylic acid having general formula (VII):

R5-COOH (VII)R 5 -COOH (VII)

여기서 R5는 수소 또는 선형 또는 분지형 C1 - C20 알킬기 또는 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실기이다. 일부 구현예들에서, R5는 선형 또는 분지형 C1-C3 알킬기 또는 알케닐기이다. 식 (VII)에 따른 바람직한 화합물들의 예는 포름산, 프로판산 및 아세트산이고, 가장 바람직하게는 포름산(HCOOH)이다. Wherein R 5 is hydrogen or a linear or branched C 1 -C 20 alkyl group or alkenyl group, preferably a methyl, ethyl, propyl, butyl, pentyl or hexyl group. In some embodiments, R 5 is a linear or branched C 1 -C 3 alkyl group or alkenyl group. Examples of preferred compounds according to formula (VII) are formic acid, propanoic acid and acetic acid, most preferably formic acid (HCOOH).

일부 구현예들에서, 제1 표면 처리 공정은 "표면 패시베이션의 제거"라는 제목의 미국 특허 출원 제14/628799호에 개시된 바와 같은 공정으로서, 이에 의해 이의 전체가 참고로 포함되어 있다. In some embodiments, the first surface treatment process is a process as disclosed in US patent application Ser. No. 14/628799 entitled “Removing Surface Passivation”, which is incorporated by reference in its entirety.

일부 구현예들에서, 상기 기판의 제1 금속계 표면은 상기 기판을 가스로부터 발생된 플라즈마에 노출시키는 단계를 포함하는 제1 표면 처리 공정을 거친다. 일부 구현예들에서, 제1 표면 처리 공정은 적어도 상기 제1 표면을 상기 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 구현예들에서, 제1 표면 처리 공정은 상기 제1 표면과 상기 제2 표면을 상기 플라즈마에 노출시키는 단계를 포함할 수 있다. 예를 들어, 이러한 제1 표면 처리 공정은 제1 금속계 표면, 예컨대 Cu 표면 상에 존재하는 패시베이션층을 제거할 수 있다. 예를 들어, 이러한 제1 표면 처리 공정은 또한 상기 제1 금속계 표면으로부터 산화물층을 환원시키거나 제거, 예컨대 Cu 표면으로부터 구리 산화물층을 환원시키고/환원시키거나 제거할 수 있다. In some embodiments, the first metallic surface of the substrate is subjected to a first surface treatment process including exposing the substrate to plasma generated from gas. In some embodiments, the first surface treatment process may include exposing at least the first surface to the plasma. In some embodiments, the first surface treatment process may include exposing the first surface and the second surface to the plasma. For example, this first surface treatment process may remove the passivation layer present on the first metal-based surface, for example, the Cu surface. For example, this first surface treatment process may also reduce or remove the oxide layer from the first metal-based surface, such as reducing/reducing or removing the copper oxide layer from the Cu surface.

일부 구현예들에서, 제1 표면 처리 공정은 상기 기판을 가스로부터 발생된 플라즈마에 노출시키는 단계를 포함한다. 일부 구현예들에서, 상기 플라즈마는 Ar으로만 구성되는 가스로부터 발생될 수 있다. 일부 구현예들에서, Ar 및 H-함유 플라즈마가 상기 제1 표면 처리 공정에 사용된다. 일부 구현예들에서, Ar 및 H 및 N-함유 플라즈마가 상기 제1 표면 처리 공정에 사용된다. Ar 대신, 다른 희가스, 예컨대 He, Ne, Kr 또는 Xe가 실질적으로 동일한 조건에서 사용될 수 있음을 주목할 수 있다. 일부 구현예들에서, 한 종류를 초과하는 플라즈마가 사용될 수 있다. 예를 들어, Ar 함유 플라즈마, Ar 및 H 함유 플라즈마, Ar, H 및 N 함유 플라즈마 중 하나 이상이 제공될 수 있다. 즉, 일부 구현예들에서, 플라즈마는 Ar, H, 및/또는 N 함유 가스 또는 가스들로부터 발생될 수 있다. 일부 구현예들에서, Ar 또는 다른 희가스는 플라즈마가 발생되는 성분 가스들을 위한 캐리어 가스로서 사용될 수 있다. 예를 들어, 플라즈마가 H2를 포함하는 가스로부터 발생되는 일부 구현예들에서, Ar은 H2를 위한 캐리어 가스로서 사용될 수 있다. 따라서, 일부 구현예들에서, H2를 포함하는 가스 및 캐리어 가스로부터 발생된 플라즈마는 H-플라즈마 및 Ar-플라즈마를 포함할 수 있다. In some implementations, the first surface treatment process includes exposing the substrate to a plasma generated from a gas. In some embodiments, the plasma may be generated from a gas consisting only of Ar. In some embodiments, an Ar and H-containing plasma is used in the first surface treatment process. In some embodiments, Ar and H and N-containing plasmas are used in the first surface treatment process. It can be noted that instead of Ar, other noble gases such as He, Ne, Kr or Xe can be used under substantially the same conditions. In some implementations, more than one type of plasma may be used. For example, one or more of an Ar containing plasma, an Ar and H containing plasma, and an Ar, H and N containing plasma may be provided. That is, in some implementations, the plasma may be generated from an Ar, H, and/or N containing gas or gases. In some implementations, Ar or other noble gas may be used as a carrier gas for the component gases in which the plasma is generated. For example, in some implementations where the plasma is generated from a gas comprising H 2 , Ar can be used as a carrier gas for H 2. Thus, in some implementations, a gas comprising H2 and a plasma generated from a carrier gas may include H-plasma and Ar-plasma.

일부 구현예들에서, H2을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 일부 구현예들에서, 에탄올을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 일부 구현예들에서, H2 및 에탄올 둘 모두를 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 예를 들어 제1 금속계 표면이 Cu 표면인 일부 구현예들에서, 바람직하게는 H2, 에탄올, 또는 H2 및 에탄올을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용된다. In some embodiments, plasma generated from a gas containing H 2 may be used in the first surface treatment process. In some embodiments, plasma generated from a gas including ethanol may be used in the first surface treatment process. In some embodiments, a plasma generated from a gas containing both H 2 and ethanol may be used in the first surface treatment process. For example, in some embodiments where the first metal-based surface is a Cu surface, plasma generated from a gas containing H 2 , ethanol, or H 2 and ethanol is preferably used in the first surface treatment process.

일부 구현예들에서, NH3을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 일부 구현예들에서, NH3 및 H2을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 예를 들어 제1 금속계 표면이 Co 표면인 일부 구현예들에서, NH3 및 H2를 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용된다. 일부 구현예들에서, 플라즈마는 NH3 및 H2를 포함하는 가스로부터 발생될 수 있고, 여기서 NH3 대 H2의 비는 약 1:100 내지 약 1:1, 바람직하게는 약 1:5 내지 약 1:20이다. 일부 구현예들에서, NH3 대 H2의 비는 약 1:19, 약 1:9 또는 약 1:5일 수 있다. In some embodiments, plasma generated from a gas containing NH 3 may be used in the first surface treatment process. In some embodiments, plasma generated from a gas including NH 3 and H 2 may be used in the first surface treatment process. For example, in some embodiments where the first metallic surface is a Co surface, plasma generated from a gas including NH 3 and H 2 is used in the first surface treatment process. In some embodiments, the plasma can be generated from a gas comprising NH 3 and H 2 , wherein the ratio of NH 3 to H 2 is from about 1:100 to about 1:1, preferably from about 1:5 to It is about 1:20. In some embodiments, the ratio of NH 3 to H 2 can be about 1:19, about 1:9, or about 1:5.

일부 구현예들에서, 하나 이상의 유기 화합물을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 일부 구현예들에서, 상기한 식 (I)~(VII)에 따르는 화합물을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 일부 구현예들에서, 포름산(HCOOH)을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 일부 구현예들에서, 석탄산을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 일부 구현예들에서, HCOOH 및 NH3을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 일부 구현예들에서, HCOOH 및 H2을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. In some embodiments, plasma generated from a gas including one or more organic compounds may be used in the first surface treatment process. In some embodiments, plasma generated from a gas containing a compound according to Formulas (I) to (VII) described above may be used in the first surface treatment process. In some embodiments, plasma generated from a gas containing formic acid (HCOOH) may be used in the first surface treatment process. In some embodiments, plasma generated from a gas containing phenol may be used in the first surface treatment process. In some embodiments, plasma generated from a gas including HCOOH and NH 3 may be used in the first surface treatment process. In some embodiments, plasma generated from a gas including HCOOH and H 2 may be used in the first surface treatment process.

일부 구현예들에서, HCOOH, NH3, 및 H2을 포함하는 가스로부터 발생된 플라즈마가 상기 제1 표면 처리 공정에서 사용될 수 있다. 일부 구현예들에서, 플라즈마는 HCOOH, NH3 및 H2를 포함하는 가스로부터 발생될 수 있고, 여기서 HCOOH 대 NH3 대 H2의 비는 약 1:1:5 내지 약 1:1:20, 또는 약 1:1:9 내지 약 1:1:19이다. 일부 구현예들에서, HCOOH 대 NH3 대 H2의 비는 약 1:1:19이다. In some embodiments, plasma generated from a gas including HCOOH, NH 3 , and H 2 may be used in the first surface treatment process. In some embodiments, the plasma can be generated from a gas comprising HCOOH, NH 3 and H 2 , wherein the ratio of HCOOH to NH 3 to H 2 is from about 1:1:5 to about 1:1:20, Or from about 1:1:9 to about 1:1:19. In some embodiments, the ratio of HCOOH to NH 3 to H 2 is about 1:1:19.

일부 구현예들에서, 제1 표면 처리 공정은, 제2 처리 반응물에 노출하기 전에 상기 기판을 제1 반응물에 노출시키는 단계를 포함한다. 일부 구현예에서, 상기 제1 처리 반응물은 O3, 산소 원자, 산소 라디칼, 또는 산소 플라즈마를 포함할 수 있다. 일부 구현예들에서, 제2 처리 반응물은 수소 라디칼, 수소 원자, 수소 라디칼, 또는 수소 플라즈마를 포함할 수 있다. 일부 구현예들에서, 제1 처리 반응물은 제2 처리 반응물을 도입하기 전에 반응 챔버로부터 제거될 수 있다. 일부 구현예들에서, 제1 처리 반응물에 대한 노출은 제1 반응 챔버에서 일어날 수 있고 제2 처리 반응물에 대한 기판의 노출은 제2 반응 챔버에서 일어날 수 있다. In some embodiments, the first surface treatment process includes exposing the substrate to a first reactant prior to exposure to a second treatment reactant. In some embodiments, the first treatment reactant may include O 3 , an oxygen atom, an oxygen radical, or an oxygen plasma. In some embodiments, the second treatment reactant may comprise a hydrogen radical, a hydrogen atom, a hydrogen radical, or a hydrogen plasma. In some implementations, the first treatment reactant can be removed from the reaction chamber prior to introducing the second treatment reactant. In some implementations, exposure to the first treatment reactant may occur in the first reaction chamber and exposure of the substrate to the second treatment reactant may occur in the second reaction chamber.

일부 구현예들에서, 제1 처리 반응물은 제1 금속계 표면 상에 존재할 수 있는 임의의 유기 패시베이션층 또는 탄화수소를 제거할 수 있고, 이에 반해 제2 처리 반응물은 제1 금속계 표면 상에 있는 산화물층을 제거하고/제거하거나 환원시킬 수 있다. 예를 들어, 제1 금속계 표면이 Co 표면인 일부 구현예들에서, O3에 대한 노출은 자연적으로 발생하는 탄화수소층을 Co 표면으로부터 제거할 수 있고, 이에 반해 H 라디칼에 대한 후속 노출은 Co 표면 상에 존재하는 Co 산화물층을 환원시킬 수 있다. In some embodiments, the first treatment reactant may remove any organic passivation layer or hydrocarbons that may be present on the first metal-based surface, whereas the second treatment reactant may remove the oxide layer on the first metal-based surface. Can be removed and/or reduced. For example, in some embodiments where the first metal-based surface is a Co surface, exposure to O 3 can remove a naturally occurring hydrocarbon layer from the Co surface, whereas subsequent exposure to H radicals is a Co surface. It is possible to reduce the Co oxide layer present on the phase.

Ar 함유 플라즈마를 이용하는 일부 구현예들에서, Ar은, 예컨대 약 1 내지 약 3000 sccm, 보다 바람직하게는 약 300 내지 약 1500 sccm, 가장 바람직하게는 약 1000 내지 약 1300 sccm 제공될 수 있다. Hr 함유 플라즈마를 이용하는 일부 구현예들에서, H2는, 예컨대 약 1 내지 약 500 sccm, 보다 바람직하게는 약 10 내지 약 200 sccm, 가장 바람직하게는 약 30 내지 약 100 sccm 제공될 수 있다. N 함유 플라즈마를 이용하는 일부 구현예들에서, N2 또는 NH3는, 예컨대 약 1 내지 약 500 sccm, 보다 바람직하게는 약 5 내지 약 200 sccm, 가장 바람직하게는 약 5 내지 약 30 sccm 제공될 수 있다. 다른 유형의 플라즈마, 예컨대 에탄올 또는 O 함유 플라즈마의 경우, 유사한 조건들이 사용될 수 있다. HCOOH를 포함하는 가스로부터 발생된 플라즈마를 이용하는 일부 구현예들에서, 상기 가스는 약 1 sccm 내지 약 3000 sccm의 유량으로 제공된다. In some embodiments using an Ar-containing plasma, Ar may be provided, for example, from about 1 to about 3000 sccm, more preferably from about 300 to about 1500 sccm, and most preferably from about 1000 to about 1300 sccm. In some embodiments using an Hr containing plasma, H 2 may be provided, for example, from about 1 to about 500 sccm, more preferably from about 10 to about 200 sccm, and most preferably from about 30 to about 100 sccm. In some embodiments using an N-containing plasma, N 2 or NH 3 may be provided, such as about 1 to about 500 sccm, more preferably about 5 to about 200 sccm, and most preferably about 5 to about 30 sccm. have. For other types of plasmas, such as ethanol or O containing plasmas, similar conditions can be used. In some embodiments using plasma generated from a gas comprising HCOOH, the gas is provided at a flow rate of about 1 sccm to about 3000 sccm.

HCOOH, NH3, 및 H2를 포함하는 가스로부터 플라즈마가 발생되는 일부 구현예들에서, 상기 가스는 약 1 sccm 내지 약 3000 sccm의 유량으로 제공될 수 있다. HCOOH, NH3, 및 H2를 포함하는 가스로부터 플라즈마가 발생되는 일부 구현예들에서, 상기 가스는 약 1000 sccm의 유량으로 제공될 수 있다. 일부 구현예들에서, 본원에서 논의된 유량은 플라즈마가 발생되는 가스를 제공하기 위해 사용될 수 있는 임의의 캐리어 가스의 유량을 포함하지 않는다. In some embodiments in which plasma is generated from a gas including HCOOH, NH 3 , and H 2 , the gas may be provided at a flow rate of about 1 sccm to about 3000 sccm. In some embodiments in which plasma is generated from a gas comprising HCOOH, NH 3 , and H 2 , the gas may be provided at a flow rate of about 1000 sccm. In some implementations, the flow rate discussed herein does not include the flow rate of any carrier gas that can be used to provide the gas from which the plasma is generated.

일부 구현예에서, 플라즈마는 약 3000 와트 미만의 출력, 예컨대 약 1 내지 약 3000와트, 약 1 내지 약 1500와트, 약 1 내지 약 1000와트, 약 1 내지 약 500와트, 또는 약 1 내지 약 200와트 이하의 출력에서 발생될 수 있다. 일부 구현예들에서, 상기 플라즈마를 발생시키기 위해 사용되는 RF 전력의 주파수는 약 1 MHz 내지 약 10 GHz, 약 10 MHz 내지 약 1 GHz, 또는 약 100 MHz 내지 약 500 MHz일 수 있다. In some embodiments, the plasma has an output of less than about 3000 watts, such as about 1 to about 3000 watts, about 1 to about 1500 watts, about 1 to about 1000 watts, about 1 to about 500 watts, or about 1 to about 200 watts. It can occur at the following output. In some implementations, the frequency of the RF power used to generate the plasma may be about 1 MHz to about 10 GHz, about 10 MHz to about 1 GHz, or about 100 MHz to about 500 MHz.

일부 구현예들에서, 상기 플라즈마 또는 처리 반응물은 약 200초 미만, 예컨대 약 180초 이하, 약 60초 이하, 또는 약 30초 이하 동안 제공된다. 그러나, 일부 구현예들에서, 제1 표면 처리 공정은 상기 기판을 플라즈마 또는 처리 반응물에 10분 이상 노출시키는 단계를 포함할 수 있다. 예를 들어, 일부 구현예들에서, 상기 기판은 플라즈마 또는 처리 반응물에 약 1초 내지 약 10분, 약 5초 내지 약 5분, 약 10초 내지 약 1분, 또는 약 15초 내지 약 30초 동안 노출된다. 일부 구현예들에서, 상기 기판은 플라즈마 또는 처리 반응물에 약 5초 내지 약 30초 동안 노출된다. In some embodiments, the plasma or treatment reactant is provided for less than about 200 seconds, such as less than about 180 seconds, less than about 60 seconds, or less than about 30 seconds. However, in some embodiments, the first surface treatment process may include exposing the substrate to plasma or a treatment reactant for 10 minutes or more. For example, in some embodiments, the substrate is applied to the plasma or treatment reactant for about 1 second to about 10 minutes, about 5 seconds to about 5 minutes, about 10 seconds to about 1 minute, or about 15 seconds to about 30 seconds. While exposed. In some embodiments, the substrate is exposed to a plasma or treatment reactant for about 5 seconds to about 30 seconds.

일부 구현예들에서, 플라즈마 또는 반응물에 대한 기판의 노출은 연속적이거나 여러 개의 펄스로 분리될 수 있다. 필요한 펄스들의 수는, 당업자에 의해 결정되는 필요한 총 노출 시간에 도달하기 위해 사용되는 펄스들 각각의 길이에 의해 결정된다. In some implementations, the exposure of the substrate to the plasma or reactant may be continuous or separated into multiple pulses. The number of pulses required is determined by the length of each of the pulses used to reach the required total exposure time as determined by a person skilled in the art.

표면 처리 동안의 온도는, 예를 들어 약 상온 내지 약 400℃, 약 100℃ 내지 약 400℃, 약 100℃ 내지 약 300℃, 100℃ 내지 약 200℃, 또는 약 100℃ 내지 약 130℃이다. 일부 구현예들에서, 제1 표면 처리 동안 기판 온도는 약 150℃일 수 있다. 일부 구현예들에서, 상기 기판은, 예를 들어 실리콘 함유 재료의 내부의 기판 표면으로부터 수분을 제거하기 위해, 탈가스 처리될 수 있다. 일부 구현예들에서, 기판은 제1 표면 처리 공정을 거치기 전에 탈가스될 수 있다. 일부 구현예들에서, 제1 표면 처리 공정에서 플라즈마가 발생되는 가스의 압력은 약 1 Pa 내지 약 5000 Pa, 약 10 Pa 내지 약 3000 Pa, 약 약 50 Pa 내지 약 1000 Pa, 약 약 150 Pa 내지 약 500 Pa, 또는 약 350 Pa일 수 있다. The temperature during the surface treatment is, for example, about room temperature to about 400°C, about 100°C to about 400°C, about 100°C to about 300°C, 100°C to about 200°C, or about 100°C to about 130°C. In some implementations, the substrate temperature can be about 150° C. during the first surface treatment. In some implementations, the substrate may be degassed to remove moisture from the substrate surface inside, for example, a silicon containing material. In some implementations, the substrate may be degassed prior to undergoing the first surface treatment process. In some embodiments, the pressure of the gas generating plasma in the first surface treatment process is from about 1 Pa to about 5000 Pa, from about 10 Pa to about 3000 Pa, from about 50 Pa to about 1000 Pa, from about 150 Pa to about 150 Pa. It may be about 500 Pa, or about 350 Pa.

일부 구현예들에서, 제1 표면 처리 공정을 위한 조건들은 제2 표면의 식각이 회피되거나 최소화될 수 있도록 선택된다. 즉, 일부 구현예들에서, 제2 표면 처리 공정은 제2 표면을 실질적으로 손상시키지 않고 품질을 저하시키지 않는다. 제2 표면을 참조하여 본원에서 사용된 바와 같이, 손상 또는 품질저하라는 용어는 선택적 증착 공정, 예컨대 본원에서 기술된 공정들의 선택도를 감소시킬 수 있는 제2 표면에 대한 변경을 지칭할 수 있다. 예를 들어, 제2 표면에 상대적인 제1 표면 상에 막을 증착하기 위한 선택적 증착 공정에 있어서, 손상되지 않았거나 품질 저하되지 않은 제2 표면에 비하여, 손상되었거나 품질 저하된 제2 표면 상에 더 많은 재료나 증착된 재료의 입자들이 증착될 수 있다. 따라서, 본원에 기술된 바와 같이 제2 표면에 상대적인 제1 표면 상에 막을 증착하기 위한 선택적 증착 공정 후 제2 표면 상에서 증착된 재료의 존재는 손상되거나 품질 저하된 제2 표면을 나타낼 수 있다. 일부 구현예들에서, 제1 표면 처리 공정은, 제1 표면 처리 공정을 포함하지 않는 유사한 선택적 증착 공정에 비해 선택적 증착 공정의 선택도를 감소시키지 않거나 없애지 않는다. 일부 구현예들에서, 선택적 증착 공정의 선택도를 감소시키기에 충분한, 상당한 양의 새로운 표면 기들 또는 리간드들이 제1 표면 처리 공정에 의해 제1 표면 상에 형성되지 않거나 흡착되지 않는다. 일부 구현예들에서, 제1 표면 처리 공정은, 제1 표면 처리 공정을 포함하지 않는 유사한 선택적 증착 공정에 비해, 선택적 증착 공정에 의해 기판의 제2 표면 상에 증착된 재료의 양을 상당히 변화시키지 않는다. In some embodiments, conditions for the first surface treatment process are selected such that etching of the second surface can be avoided or minimized. That is, in some embodiments, the second surface treatment process does not substantially damage the second surface and does not degrade the quality. As used herein with reference to the second surface, the term damage or deterioration may refer to a selective deposition process, such as a modification to the second surface that may reduce the selectivity of the processes described herein. For example, in a selective deposition process for depositing a film on a first surface relative to a second surface, there is more on a damaged or degraded second surface compared to a second surface that is not damaged or degraded. The material or particles of the deposited material may be deposited. Thus, the presence of material deposited on the second surface after a selective deposition process to deposit a film on the first surface relative to the second surface as described herein may indicate a damaged or degraded second surface. In some implementations, the first surface treatment process does not reduce or eliminate the selectivity of the selective deposition process compared to a similar selective deposition process that does not include the first surface treatment process. In some embodiments, a significant amount of new surface groups or ligands sufficient to reduce the selectivity of the selective deposition process are not formed or adsorbed on the first surface by the first surface treatment process. In some embodiments, the first surface treatment process does not significantly change the amount of material deposited on the second surface of the substrate by the selective deposition process compared to a similar selective deposition process that does not include the first surface treatment process. Does not.

표면 처리 공정에 앞서 제1 금속계 표면(21)과 제2 유전체 표면(22)을 포함하는 예시적 기판(20)의 개략도가 일부 구현예에 따라 도 2a에 도시되어 있다. 제1 금속계 표면(21)은 그 위에 배치된 금속 산화물층(23), 예컨대 주위 환경에 노출됨으로써 자연적으로 형성된 자연 금속 산화물을 포함한다. 제1 금소계 표면(21)은 또한 금속 산화물층(23) 위에 배치된 유기물층(25), 예컨대 BTA와 같은 유기 패시베이션층을 포함한다. A schematic diagram of an exemplary substrate 20 comprising a first metallic surface 21 and a second dielectric surface 22 prior to the surface treatment process is shown in FIG. 2A in accordance with some embodiments. The first metal-based surface 21 comprises a metal oxide layer 23 disposed thereon, for example a natural metal oxide formed naturally by exposure to the surrounding environment. The first metal-based surface 21 also includes an organic material layer 25 disposed over the metal oxide layer 23, for example an organic passivation layer such as BTA.

본원에 기술된 바와 같이 그리고 일부 구현예에 따라, 기판(20)은 이후 제1 표면 처리 공정을 거친다. 예를 들어, 기판(20), 결과적으로 제1 금속계 표면(21) 및 제2 유전체 표면(22)은 가스, 예를 들어 HCOOH, H2, 및 NH3을 포함하는 가스로부터 발생된 플라즈마에 노출될 수 있다. 도 2b에 도시된 바와 같이, 제1 표면 처리 공정은 제1 금속계 표면(21)으로부터 유기물층(25)을 제거할 수 있다. 제1 표면 처리 공정은 또한 제1 금속계 표면(21)으로부터 금속 산화물층(23)을 제거하고/제거하거나 환원시켜서 매끄러운 제1 금속계 표면(21)을 남길 수 있다. 추가적으로, 도 2b에 도시된 바와 같이, 제2 유전체 표면은 제1 표면 처리 공정에 의해 손상되지 않거나 품질 저하되지 않고, 상당한 양의 새로운 또는 추가적인 표면 기들 및/또는 리간드들을 포함하지 않는다. As described herein and according to some embodiments, the substrate 20 is then subjected to a first surface treatment process. For example, the substrate 20, consequently the first metallic surface 21 and the second dielectric surface 22, are exposed to a plasma generated from a gas, such as a gas comprising HCOOH, H 2 , and NH 3 Can be. 2B, the first surface treatment process may remove the organic material layer 25 from the first metal-based surface 21. The first surface treatment process may also remove and/or reduce the metal oxide layer 23 from the first metallic surface 21, leaving a smooth first metallic surface 21. Additionally, as shown in FIG. 2B, the second dielectric surface is not damaged or degraded by the first surface treatment process, and does not contain significant amounts of new or additional surface groups and/or ligands.

선택적 증착Selective deposition

제1 전구체 First precursor

일부 구현예들에서, 기판의 제2 실리콘 함유 표면에 상대적인 기판의 제1 금속 표면 상에 층이 선택적으로 형성되도록 제1 전구체가 기판에 제공된다. 일부 구현예들에서, 상기 제1 전구체는 바람직하게는 실리콘 또는 붕소를 포함한다. 일부 구현예들에서, Si 또는 B으로 이루어진 0.05~4 nm 두께의 층이 기판의 금속 표면 상에 형성된다. 일부 구현예들에서, Si 또는 B으로 이루어진 0.1~2 nm 두께의 층이 기판의 금속 표면 상에 형성된다. 일부 구현예들에서, Si 또는 B으로 이루어진 1 nm 미만의 층이 사용될 수 있다. 이론에 얽매이지 않고서, 기판 위의 금속 표면은 제2 표면의 반응성에 비해 제1 전구체의 흡착 또는 분해를 촉진하거나 도울 수 있다. 일부 구현예들에서, 금속 표면 상에 실리콘 또는 붕소의 형성은 자기제한적(self-limiting)이어서, 반응물에 노출 시 단층 이하로 형성된다. 일부 구현예들에서, 실리콘 또는 붕소 소스 화학물질은 구리 또는 금속 표면 상에서 분해될 수 있다. In some implementations, a first precursor is provided to the substrate such that a layer is selectively formed on the first metal surface of the substrate relative to the second silicon containing surface of the substrate. In some embodiments, the first precursor preferably comprises silicon or boron. In some embodiments, a 0.05-4 nm thick layer of Si or B is formed on the metal surface of the substrate. In some embodiments, a 0.1-2 nm thick layer of Si or B is formed on the metal surface of the substrate. In some embodiments, a layer of less than 1 nm of Si or B may be used. Without wishing to be bound by theory, the metal surface on the substrate may promote or aid adsorption or decomposition of the first precursor relative to the reactivity of the second surface. In some embodiments, the formation of silicon or boron on the metal surface is self-limiting, such that when exposed to a reactant, it forms less than a single layer. In some implementations, the silicon or boron source chemical can decompose on a copper or metal surface.

일부 구현예들에서, 상기 실리콘 소스 화학물질은 실란 패밀리 SinH2n +2 (n은 1 이상) 또는 환형 실란 패밀리 SinH2n(n은 3 이상)로부터 선택된다. 일부 구현예들에서, 상기 실리콘 소스는 실란 또는 디실란을 포함한다. 가장 바람직하게는, 실란은 디실란 Si2H6 또는 트리실란 Si3H8이다. 일부 구현예들에서, 상기 실리콘 소스는 다음 식을 갖는 실란 화합물들로부터 선택될 수 있고: SiHxLy, 여기서 L은 알킬, 알케닐, 알키닐, 알콕시드, 및 아민을 포함하는 군으로부터 선택되는 리간드이다. 일부 경우에서, L은 F, Cl, Br 및 I로 구성되는 할로겐화 군으로부터 선택되는 리간드이다.  In some embodiments, the silicon source chemical is selected from the silane family Si n H 2n +2 (n is 1 or more) or the cyclic silane family Si n H 2n (n is 3 or more). In some embodiments, the silicon source comprises silane or disilane. Most preferably, the silane is disilane Si 2 H 6 or trisilane Si 3 H 8 . In some embodiments, the silicon source can be selected from silane compounds having the formula: SiH x L y , where L is selected from the group comprising alkyl, alkenyl, alkynyl, alkoxide, and amine. It is a ligand. In some cases, L is a ligand selected from the group of halogenated consisting of F, Cl, Br and I.

일부 구현예들에서, 제1 전구체는 붕소를 포함한다. 일부 구현예들에서, 제1 전구체는 디보란(B2H6)이다. 디보란은 일부 실란계 화합물들과 유사한 성질을 갖는다. 예를 들어, 디보란은 디실란보다 낮은 분해 온도를 가지지만, 트리실란(silcore)과는 유사한 성질을 갖는다. In some embodiments, the first precursor comprises boron. In some embodiments, the first precursor is diborane (B 2 H 6 ). Diborane has properties similar to some of the silane-based compounds. For example, diborane has a lower decomposition temperature than disilane, but similar properties to trisilane (silcore).

붕소를 포함하는 다른 전구체들도 사용될 수 있다. 수많은 붕소 화합물들이 이용 가능하다는 것은 원하는 성질을 갖는 것을 선택 가능하게 한다. 추가로, 하나보다 많은 붕소 화합물을 사용하는 것이 가능하다. 바람직하게는, 다음 붕소 화합물들 중 하나 이상이 사용된다:Other precursors containing boron can also be used. The availability of numerous boron compounds makes it possible to select those having the desired properties. Additionally, it is possible to use more than one boron compound. Preferably, one or more of the following boron compounds are used:

식 I 또는 식 II에 따른 보란. Borane according to Equation I or Equation II.

BnHn + x, (I)B n H n + x , (I)

여기서 n은 1 내지 10, 바람직하게는 2 내지 6의 정수이고, x는 짝수, 바람직하게는 4, 6 또는 8이다. Where n is an integer of 1 to 10, preferably 2 to 6, and x is an even number, preferably 4, 6 or 8.

BnHm (II)B n H m (II)

여기서 n은 1 내지 10, 바람직하게는 2 내지 6의 정수이고, m은 n과 상이한 1 내지 10, 바람직하게는 2 내지 6의 정수이다. Where n is an integer of 1 to 10, preferably 2 to 6, and m is an integer of 1 to 10, preferably 2 to 6 different from n.

식 I에 따른 상기한 보란들 중에서, 예로는 nido-보란(BnHn +4), arachno -보란(BnHn+6) 및 hyph -보란(BnHn+8)이 있다. 식 II에 따른 보란들 중, 예로는 conjuncto-보란(BnHm)이 있다. 또한, (CH3CH2)3N-BH3와 같은 보란 복합체가 사용될 수 있다. Among the boranes according to Formula I, examples include nido -borane (B n H n +4 ), arachno - borane (B n H n+6 ) and hyph - borane (B n H n+8 ). Among boranes according to formula II, an example is conjuncto- borane (B n H m ). In addition, a borane complex such as (CH 3 CH 2 ) 3 N-BH 3 may be used.

보란 할로겐화물, 특히 불화물, 붕소화물 및 염화물. 적합한 화합물의 예로는 B2H5Br이 있다. 또 다른 예로는 높은 붕소/할로겐화물 비를 갖는 붕소 할로겐화물, 예컨대 B2F4, B2Cl4 및 B2Br4가 있다. 보란 할로겐화물 복합체들을 사용하는 것도 가능하다.`Borane halides, especially fluorides, borides and chlorides. An example of a suitable compound is B 2 H 5 Br. Another example is boron halides with high boron/halide ratios, such as B 2 F 4 , B 2 Cl 4 and B 2 Br 4 . It is also possible to use borane halide complexes.

식 III에 따른 할로게노보란(Halogenoboranes). Halogenoboranes according to Equation III.

BnXn (III)B n X n (III)

여기서 X는 Cl 또는 Br이고 X가 Cl일 때 n은 4 또는 8 내지 12의 정수이거나 X가 Br일 때, n은 7 내지 10의 정수이다. Wherein X is Cl or Br, and when X is Cl, n is an integer of 4 or 8 to 12, or when X is Br, n is an integer of 7 to 10.

식 IV에 따른 카보란(Carboranes)Carboranes according to Formula IV

C2BnHn + x (IV)C 2 B n H n + x (IV)

여기서 n은 1 내지 10, 바람직하게는 2 내지 6의 정수이고, x는 짝수, 바람직하게는 2, 4, 또는 6이다. Where n is an integer of 1 to 10, preferably 2 to 6, and x is an even number, preferably 2, 4, or 6.

식 IV에 따른 카보란의 예로는 closo -카보란(C2BnHn +2), nido -카보란(C2BnHn +4) 및 arachno -카보란(C2BnHn+6)이 있다. Examples of carborane according to formula IV include closo- carborane (C 2 B n H n +2 ), nido - carborane (C 2 B n H n +4 ) and arachno- carborane (C 2 B n H n +6 ).

식 V에 따른 아민-보란 부가물. Amine-borane adduct according to formula V.

R3NBX3 (V)R 3 NBX 3 (V)

여기서 R은 선형 또는 분지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬 또는 H이고, X는 선형 또는 분지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬, H 또는 할로겐이다.. Wherein R is linear or branched C1 to C10, preferably C1 to C4 alkyl or H, and X is linear or branched C1 to C10, preferably C1 to C4 alkyl, H or halogen.

B 위에 있는 하나 이상의 치환체가 식 VI에 따른 아미노 기인 아미노보란Aminoborane in which at least one substituent on B is an amino group according to formula VI

R2N (VI)R 2 N (VI)

여기서 R은 선형 또는 분지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬 또는 치환 또는 비치환된 아릴 기이다. Wherein R is a linear or branched C1 to C10, preferably C1 to C4 alkyl or substituted or unsubstituted aryl group.

적합한 아미노보란의 예로는 (CH3)2NB(CH3)2가 있다. An example of a suitable aminoborane is (CH 3 ) 2 NB(CH 3 ) 2 .

환형 보라진(Cyclic borazine)(-BH-NH-)3 및 그의 휘발성 유도체. Cyclic borazine (-BH-NH-) 3 and volatile derivatives thereof.

알킬 보론 또는 알킬 보란, 여기서 알킬은 전형적으로 선형 또는 분지형 C1 내지 C10 알킬, 바람직하게는 C2 내지 C4 알킬이다. Alkyl boron or alkyl borane, wherein alkyl is typically linear or branched C1 to C10 alkyl, preferably C2 to C4 alkyl.

일부 구현예들에서, 제1 전구체는 게르마늄을 포함한다. 일부 구현예들에서, 게르마늄 소스 화학물질은 게르마늄 패밀리 GenH2n +2(n은 1 이상) 또는 환형 게르마늄 패밀리 GenH2n(n은 3 이상)로부터 선택된다. 일부 바람직한 구현예들에서, 게르마늄 소스는 저메인(germane) GeH4를 포함한다. 일부 구현예들에서, 상기 게르마늄 소스는 다음 식을 갖는 저메인으로부터 선택될 수 있고: GeHxLy, 여기서 L은 알킬, 알케닐, 알키닐, 알콕시드, 및 아민을 포함하는 군으로부터 선택되는 리간드이다. 일부 경우에서, L은 F, Cl, Br 및 I로 구성되는 할로겐화 군으로부터 선택되는 리간드이다.  In some embodiments, the first precursor comprises germanium. In some embodiments, the germanium source chemical is selected from the germanium family Ge n H 2n +2 (n is 1 or more) or the cyclic germanium family Ge n H 2n (n is 3 or more). In some preferred embodiments, the germanium source comprises germane GeH 4 . In some embodiments, the germanium source can be selected from germanium having the following formula: GeH x L y , wherein L is a ligand selected from the group comprising alkyl, alkenyl, alkynyl, alkoxide, and amine. to be. In some cases, L is a ligand selected from the group of halogenated consisting of F, Cl, Br and I.

금속 소스 화학물질Metal source chemicals

바람직하게는, 상기 제2 반응물은 금속을 포함한다. 일부 구현예들에서, 상기 금속은 전이금속이다. 전이금속은 하기의 군으로부터 선택될 수 있다: Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Hf, Ta, W, Re, Os, Ir 및 Pt. 일부 구현예들에서, 상기 제2 반응물은 W, Ta, Nb, Ti, Mo 또는 V을 포함한다. 일부 구현예들에서, 상기 제2 반응물은 바람직하게는 텅스텐을 포함한다. Preferably, the second reactant comprises a metal. In some embodiments, the metal is a transition metal. The transition metal may be selected from the following group: Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Hf, Ta, W, Re, Os, Ir and Pt. In some embodiments, the second reactant comprises W, Ta, Nb, Ti, Mo or V. In some embodiments, the second reactant preferably comprises tungsten.

일부 구현예들에서, 상기 제2 반응물은 귀금속을 포함한다. 귀금속은 하기의 군으로부터 선택될 수 있다: Au, Pt, Ir, Pd, Os, Ag, Rh, 및 Ru. In some embodiments, the second reactant comprises a noble metal. The noble metal can be selected from the group of: Au, Pt, Ir, Pd, Os, Ag, Rh, and Ru.

일부 구현예들에서, 상기 제2 반응물은 금속 할로겐화물(F, Cl, Br, I)을 포함한다. 일부 구현들예에서, 상기 제2 반응물은 전이금속 할로겐화물을 포함한다. 일부 구현예들에서, 상기 제2 반응물은 바람직하게는 불화물을 포함한다. 일부 구현예들에서, 상기 제2 반응물은 WF6, TaF5, NbF5, TiF4, MoFx, VFx를 포함한다. 일부 구현예들에서, 상기 제2 반응물은 WF6을 포함한다. In some embodiments, the second reactant comprises a metal halide (F, Cl, Br, I). In some embodiments, the second reactant comprises a transition metal halide. In some embodiments, the second reactant preferably comprises fluoride. In some embodiments, the second reactant comprises WF 6, TaF 5, NbF 5 , TiF 4, MoF x, VF x. In some embodiments, the second reactant comprises WF 6 .

상기 제2 반응물은 상기 기판 상에 다양하고 상이한 재료들을 형성하기 위해 사용될 수 있다. 일부 구현예들에서, 상기 제2 반응물은 상기 기판 상에서 제1 반응물과 반응하여 상기 기판 상에 금속계 재료를 형성한다. 제2 반응물을 위해 위에서 개시된 금속들 중 어느 하나는 기판 상에 증착된 막의 형태로 있을 수 있다. The second reactant can be used to form a variety of different materials on the substrate. In some embodiments, the second reactant reacts with the first reactant on the substrate to form a metallic material on the substrate. Any of the metals disclosed above for the second reactant may be in the form of a film deposited on the substrate.

일부 구현예들에서, 원소 금속막, 예컨대 W막이 형성될 수 있다. 일부 구현예들에서, 금속 질화물막이 형성될 수 있다. 일부 구현예들에서, 금속 실리사이드가 형성될 수 있다. In some implementations, an elemental metal film, such as a W film, may be formed. In some implementations, a metal nitride film may be formed. In some implementations, a metal silicide can be formed.

일부 구현예들에서, 금속계 막 또는 원소 금속막이 기판 상에 있는 Si 또는 B와 제2 반응물의 반응을 통하여 먼저 형성되고, 이 막은 이후 추가적인 처리를 통하여 해당 금속 실리사이드 또는 금속 질화물로 변환된다. 예를 들어, 제1 금속계막 또는 원소 금속막은 제3 반응물에 노출되어 금속 실리사이드 또는 금속 질화물로 변환될 수 있다. In some embodiments, a metal-based film or an elemental metal film is first formed through the reaction of a second reactant with Si or B on the substrate, and the film is then converted to the corresponding metal silicide or metal nitride through further processing. For example, the first metal-based film or the elemental metal film may be exposed to a third reactant to be converted into metal silicide or metal nitride.

일부 구현예들에서, 금속계 재료를 도핑하거나 금속계 재료를 금속 실리사이드 또는 금속 질화물로 변환하기 위해 금속계 재료의 추가적인 처리가 수행될 수 있다. 일부 구현예들에서, 예를 들어 상기 재료는 플라즈마 또는 NH3-처리를 통하여 해당 금속 질화물로 변환될 수 있다. 일부 구현예들에서, 전기 전도성 금속 재료는 상이한 처리를 사용함으로써 그리고 시작 금속계 재료에 따라서 전기 저항이 더 높은 재료 또는 유전체 재료로 변환될 수 있다. In some implementations, additional treatment of the metallic material may be performed to dope the metallic material or convert the metallic material into a metallic silicide or metallic nitride. In some embodiments, for example, the material may be converted to the corresponding metal nitride through plasma or NH 3 -treatment. In some implementations, the electrically conductive metallic material can be converted to a higher electrical resistivity or dielectric material by using a different treatment and depending on the starting metallic material.

일부 구현예들에서, 상기 반응물들 중 하나의 다수의 펄스가 다음 반응물을 제공하기 전에 제공될 수 있다. 일부 구현예들에서, 임의의 과량의 반응물들은 다음 반응물을 제공하기 전에 제거될 수 있다. 일부 구현예들에서, 공정 챔버는 다음 반응물을 제공하기 전에 퍼지될 수 있다. In some embodiments, multiple pulses of one of the reactants may be provided before providing the next reactant. In some embodiments, any excess reactants can be removed prior to providing the next reactant. In some implementations, the process chamber can be purged prior to providing the next reactant.

일부 구현예들에서, 기상 전구체들은 불활성 캐리어 가스의 도움으로 반응 공간에 제공될 수 있다. 과량의 반응물을 제거하는 단계는 반응 공간의 일부 내용물을 배출하거나 반응 공간을 헬륨, 질소 또는 임의의 다른 불활성 가스로 퍼징하는 단계를 포함할 수 있다. 일부 구현예에서, 퍼징은 불활성 캐리어 가스를 반응 공간으로 지속적으로 흘리면서 반응 가스의 흐름을 차단하는 단계를 포함할 수 있다. In some embodiments, gaseous precursors may be provided to the reaction space with the aid of an inert carrier gas. Removing excess reactant may include discharging some contents of the reaction space or purging the reaction space with helium, nitrogen or any other inert gas. In some embodiments, purging may include blocking the flow of the reaction gas while continuously flowing the inert carrier gas into the reaction space.

증착 온도 Deposition temperature

일부 구현예들에서, 온도는 선택적 증착을 가능하게 하도록 선택된다. 제1 표면 상에서 단위 면적 또는 단위 용적 당 증착된 재료의 양(예컨대, at/cm2 또는 at/cm3)이 제2 표면 상에서 단위 면적 또는 단위 용적 당 증착된 재료의 양보다 많으면, 증착은 일반적으로 선택적인 것으로 정의된다. 표면들 상에 증착되는 재료의 양은 각 층의 두께를 측정함으로써 결정될 수 있다. 일부 경우에서, 두께 측정은 비연속적인 막으로 인해 가능하지 않을 수 있다. 일부 경우에서, 선택도는 단위 면적 또는 단위 용적 당 증착된 원자들을 측정함으로써 결정될 수 있다. 위에서 언급된 바와 같이, 선택도는 제1 표면 상에 형성된 재료의 양 대 결합된 제1 및 제2 표면 상에 형성된 재료의 양의 비로 표현될 수 있다. 바람직하게는, 선택도는 약 70% 초과, 약 80% 초과, 더 바람직하게는 90% 초과, 더욱더 바람직하게는 95% 초과, 그리고 가장 바람직하게는 약 100%이다. 일부 경우들에서, 80%를 초과하는 선택도는 특정 응용들에 대해 타당할 수 있다. 일부 경우들에서, 50%를 초과하는 선택도는 특정 응용들에 대해 타당할 수 있다. In some implementations, the temperature is selected to enable selective deposition. If the amount of material deposited per unit area or unit volume on the first surface (e.g., at/cm 2 or at/cm 3 ) is greater than the amount of material deposited per unit area or unit volume on the second surface, the deposition is generally Is defined as optional. The amount of material deposited on the surfaces can be determined by measuring the thickness of each layer. In some cases, thickness measurements may not be possible due to discontinuous films. In some cases, selectivity can be determined by measuring the deposited atoms per unit area or unit volume. As mentioned above, selectivity can be expressed as a ratio of the amount of material formed on the first surface to the amount of material formed on the first and second surfaces that are joined. Preferably, the selectivity is greater than about 70%, greater than about 80%, more preferably greater than 90%, even more preferably greater than 95%, and most preferably greater than about 100%. In some cases, selectivity in excess of 80% may be reasonable for certain applications. In some cases, selectivity in excess of 50% may be reasonable for certain applications.

일부 구현예들에서, 증착 온도는 선택도가 약 90%를 초과하도록 선택된다. 일부 구현예들에서, 증착 온도는 약 100%의 선택도가 달성되도록 선택된다. In some implementations, the deposition temperature is selected such that the selectivity is greater than about 90%. In some implementations, the deposition temperature is selected such that a selectivity of about 100% is achieved.

일부 구현예들에서, 증착 온도는 실리콘 또는 붕소를 포함하는 제1 전구체가 실리콘 또는 붕소를 함유하는 층을 제1 금속 표면 상에 형성하도록 선택된다. 일부 구현예들에서, 제1 전구체는 실리콘을 포함하는 제2 표면 상에 층을 형성하지 않거나 완전한 층보다 적은 층을 제2 표면 상에 형성한다. In some implementations, the deposition temperature is selected such that the first precursor comprising silicon or boron forms a layer containing silicon or boron on the first metal surface. In some implementations, the first precursor does not form a layer on the second surface comprising silicon or forms less than a complete layer on the second surface.

이용되는 특별한 온도는 부분적으로는 상기 기판 상에서 제1 표면 또는 금속 및 제2 표면 또는 유전체와 함께 선택되는 실리콘 또는 붕소 전구체에 따라 달라질 수 있다. 바람직하게는, 실리콘 또는 붕소 소스는 실리콘을 포함하는 제2 표면 대신 제1 금속 표면 상에 실리콘 또는 붕소를 포함하는 층을 형성한다. 바람직하게는, 상기 실리콘 또는 붕소를 포함하는 층은 거의 단층 이하이다. 일부 경우에, 단층보다 많은 실리콘 또는 붕소로 이루어진 층이 형성될 수 있다. 일부 구현예들에서, 실리콘 또는 붕소로 이루어진 약 0.05 nm 내지 약 4 nm의 두께를 갖는 층이 기판의 금속 표면 상에 형성된다. 일부 구현예들에서, 바람직하게는 실리콘 또는 붕소로 이루어진 약 0.1 nm 내지 약 2 nm의 두께를 갖는 층이 기판의 금속 표면 상에 형성된다. 일부 구현예들에서, 금속 표면 상에서 실리콘 또는 붕소의 형성은 자기 제한적이다. 일부 구현예들에서, 실리콘 또는 붕소를 포함하는 상기 층은 분해에 의해 형성된다. The particular temperature used may depend in part on the silicon or boron precursor selected with the first surface or metal and the second surface or dielectric on the substrate. Preferably, the silicon or boron source forms a layer comprising silicon or boron on the first metal surface instead of the second surface comprising silicon. Preferably, the layer comprising silicon or boron is less than or equal to a single layer. In some cases, a layer made of more silicon or boron than a single layer may be formed. In some embodiments, a layer of silicon or boron having a thickness of about 0.05 nm to about 4 nm is formed on the metal surface of the substrate. In some embodiments, a layer having a thickness of about 0.1 nm to about 2 nm, preferably made of silicon or boron, is formed on the metal surface of the substrate. In some embodiments, the formation of silicon or boron on the metal surface is self-limiting. In some embodiments, the layer comprising silicon or boron is formed by decomposition.

일부 경우에, 실리콘 또는 붕소층은 보다 높은 온도에서 금속 표면과 실리콘 함유 표면 모두의 위에 형성될 수 있다. 이러한 상황에서는 더 낮은 온도가 바람직한 데, 그 이유는 실리콘 또는 붕소가 실리콘을 포함하는 표면보다 더 낮은 온도에서 금속 표면 상에 형성될 수 있기 때문이다. 따라서, 온도는 실리콘 전구체가 실리콘 표면 또는 실리콘 함유 표면에 상대적인 제1 표면 또는 금속 표면과 우선적으로 반응하도록 선택될 수 있다. In some cases, a layer of silicon or boron may be formed over both the metal surface and the silicon-containing surface at higher temperatures. Lower temperatures are preferred in these situations because silicon or boron can form on the metal surface at a lower temperature than the silicon-containing surface. Thus, the temperature may be selected such that the silicon precursor reacts preferentially with the first surface or metal surface relative to the silicon surface or silicon-containing surface.

일부 구현예들에서, 증착 온도는 원하는 레벨의 선택도를 이루도록 선택된다. 예를 들어, 온도는, 실리콘 또는 붕소 함유 전구체가 낮은 유전상수(k) 재료에 대한 흡착이 원하는 레벨의 선택도를 이루는 데 필요한 양으로 제한되도록 선택될 수 있다. In some implementations, the deposition temperature is selected to achieve a desired level of selectivity. For example, the temperature may be selected so that the adsorption of the silicon or boron containing precursor to the low dielectric constant (k) material is limited to the amount required to achieve the desired level of selectivity.

증착 온도는 실리콘 또는 붕소 소스 및 사용되는 특별한 기판 표면(예컨대, 실리콘 함유 표면 및 구리 표면)을 기반으로 선택될 수 있다. The deposition temperature can be selected based on the silicon or boron source and the particular substrate surface used (eg, silicon containing surface and copper surface).

일부 구현예들에서, 증착 온도는 바람직하게는 200℃, 보다 바람직하게는 약 175℃ 미만, 더욱 바람직하게는 약 150℃ 미만, 가장 바람직하게는 약 110℃ 미만이다. 일부 경우에서, 약 100℃ 미만의 온도가 사용될 수 있다. 일부 구현예들에서, 디실란 및 WF6를 사용하여 증착된 약 5 nm 미만의 두께(예컨대, 증착된 W 두께)를 갖는 막들에서 50%을 초과하는 선택도를 갖는 선택적 증착을 위한 증착 온도 범위는 약 30℃ 내지 약 200℃이다. 일부 구현예들에서, 균일도 및 선택도의 바람직한 레벨은 약 30℃ 내지 약 110℃의 증착 온도 범위를 사용하여 달성될 수 있다. 일부 구현예들에서, 균일도 및 선택도의 바람직한 레벨은 약 40℃ 내지 약 110℃의 증착 온도 범위를 사용하여 달성될 수 있다. 일부 구현예들에서, 균일도 및 선택도의 바람직한 레벨은 약 100℃ 미만의 증착 온도 범위를 사용하여 달성될 수 있다. 이들 온도 범위에서, 당업자는 특별한 전구체들을 갖는 특별한 반응기를 사용하여 증착된 막들에 대하여 원하거나 타당한 균일도 및 선택도를 달성하기 위해 공정을 최적화할 수 있다. In some embodiments, the deposition temperature is preferably 200°C, more preferably less than about 175°C, more preferably less than about 150°C, and most preferably less than about 110°C. In some cases, temperatures less than about 100° C. may be used. In some embodiments, the deposition temperature range for selective deposition with a selectivity greater than 50% in films having a thickness less than about 5 nm (e.g., deposited W thickness) deposited using disilane and WF 6 Is from about 30°C to about 200°C. In some implementations, a desirable level of uniformity and selectivity can be achieved using a deposition temperature range of about 30°C to about 110°C. In some implementations, a desirable level of uniformity and selectivity can be achieved using a deposition temperature range of about 40°C to about 110°C. In some implementations, a desirable level of uniformity and selectivity can be achieved using a deposition temperature range of less than about 100°C. In these temperature ranges, one skilled in the art can optimize the process to achieve the desired or reasonable uniformity and selectivity for the deposited films using a special reactor with special precursors.

일부 구현예들에서, 실리콘 또는 붕소 함유 전구체 및 제2 금속 전구체가 동일 온도에서 동일 반응 공간에 제공된다. 일부 구현예들에서, 실리콘 전구체는 제1 증착 온도에서 제공되고, 제2 금속 반응물은 제2 증착 온도에서 제공된다. 실제로, 이는 제1 반응물을 제1 반응 공간에 제공하고 제2 금속 반응물을 제2 반응 공간에 제공하는 것을 의미할 수 있다. In some embodiments, the silicon or boron containing precursor and the second metal precursor are provided in the same reaction space at the same temperature. In some implementations, the silicon precursor is provided at a first deposition temperature and a second metal reactant is provided at a second deposition temperature. In practice, this may mean providing a first reactant to a first reaction space and a second metal reactant to a second reaction space.

디실란을 사용하고 구리 또는 코발트 표면 상에 WF6을 사용하여 텅스텐을 증착하는 일부 구현예들에서, 실리콘을 포함하는 표면에 상대적인, 약 80% 초과, 바람직하게는 약 90% 초과하는 선택도는 약 30℃ 내지 약 110℃의 증착 온도를 사용하여 달성될 수 있다. 트리실란에 대한 증착 온도는 디실란에 대한 증착 온도보다 심지어 더 낮을 수 있다. 위에서 언급된 구현예들에서, 증착된 막은, 예컨대 그리고 제한없이 텅스텐 막일 수 있다. In some embodiments using disilane and depositing tungsten using WF 6 on a copper or cobalt surface, a selectivity of greater than about 80%, preferably greater than about 90%, relative to the surface comprising silicon is This can be achieved using a deposition temperature of about 30° C. to about 110° C. The deposition temperature for trisilane can be even lower than the deposition temperature for disilane. In the above mentioned implementations, the deposited film can be, for example and without limitation, a tungsten film.

일부 구현예들에서, 선택적으로 증착된 막의 두께는 약 10 nm 미만, 약 5 nm 미만, 약 4 nm 미만, 또는 일부 구현예들에서, 약 1 nm 내지 약 4 nm 미만이다. 그러나, 일부 경우, 원하는 레벨의 선택도, 예컨대 50% 초과, 보다 바람직하게는 80% 초과하는 선택도가 약 10 nm를 초과하는 두께를 갖는 선택적으로 증착된 막을 이용하여 달성된다. In some embodiments, the thickness of the selectively deposited film is less than about 10 nm, less than about 5 nm, less than about 4 nm, or in some embodiments, less than about 1 nm to less than about 4 nm. However, in some cases, a desired level of selectivity, such as greater than 50%, more preferably greater than 80%, is achieved using a selectively deposited film having a thickness greater than about 10 nm.

일부 구현예들에서, 약 10 nm 미만의 두께를 갖는 W 막이 실리콘 함유 재료에 상대적인, 50%를 초과하는 선택도를 갖는 기판 위의 Cu 또는 Co 위에 선택적으로 증착된다. In some implementations, a W film having a thickness of less than about 10 nm is selectively deposited over Cu or Co over a substrate having a selectivity greater than 50% relative to the silicon containing material.

일부 구현예들에서, 약 5 nm 미만의 두께를 갖는 W 막이 실리콘 함유 재료에 상대적인, 약 80%를 초과하는 선택도를 갖는 기판 위의 Cu 또는 Co 위에 선택적으로 증착된다. In some implementations, a W film having a thickness of less than about 5 nm is selectively deposited over Cu or Co over a substrate having a selectivity greater than about 80% relative to the silicon containing material.

일부 구현예들에서, 약 3 nm 미만의 두께를 갖는 W 막이 실리콘 함유 재료에 상대적인, 약 90%를 초과하는 선택도를 갖는 기판 위의 Cu 또는 Co 위에 선택적으로 증착된다. In some implementations, a W film having a thickness of less than about 3 nm is selectively deposited over Cu or Co over a substrate having a selectivity greater than about 90% relative to the silicon containing material.

더 낮은 선택도가 바람직하면, 온도는 90%를 초과하는 선택도를 달성하는 공정을 위한 온도보다 약간 더 높을 수 있다. If lower selectivity is desired, the temperature may be slightly higher than the temperature for the process to achieve selectivity in excess of 90%.

일부 구현예들에서, 증착 조건 및/또는 반응물들은 실리콘 함유 표면의 식각을 피하거나 최소화하도록 선택된다. 예를 들어, 더 높은 온도에서, 금속 불화물들은 제2 표면 상에 존재할 수 있는 임의의 Si-OH 기들을 불소화하기 시작할 수 있고, 일부 경우에 이들 불화물은 실리콘 함유 표면을 식각할 수 있다. 따라서, 일부 구현예들에서, 증착 온도는 실리콘 함유 표면의 식각을 피하거나 없애도록 선택된다. In some implementations, deposition conditions and/or reactants are selected to avoid or minimize etching of the silicon-containing surface. For example, at higher temperatures, metal fluorides can begin to fluorinate any Si-OH groups that may be present on the second surface, and in some cases these fluorides can etch the silicon-containing surface. Thus, in some implementations, the deposition temperature is selected to avoid or eliminate etching of the silicon-containing surface.

제2 반응물을 제공하는 동안 기판 온도는 실리콘 또는 붕소 함유 반응물을 제공하는 동안 온도와 동일할 수 있다. 다른 구현예들에서, 상이한 온도들이 사용될 수 있다. The substrate temperature while providing the second reactant may be equal to the temperature while providing the silicon or boron containing reactant. In other implementations, different temperatures can be used.

제2 반응물로서 WF6가 제1 반응물로서 디실란과 함께 사용되는 구현예들에서, 약 30℃ 내지 약 110℃의 온도가 사용될 수 있다. In embodiments in which WF 6 as the second reactant is used with disilane as the first reactant, a temperature of about 30° C. to about 110° C. may be used.

일부 구현예들에서, 제2 반응물을 제공하여 금속 반응물의 변환을 증가시킬 때, 기판의 온도는 높아질 수 있다. 예를 들어, TaF5 및 NbF5가 제2 반응물로서 사용될 때, 더 높은 온도가 사용될 수 있다. 예를 들어, TaF5를 사용할 때, 온도는 약 300℃를 초과할 수 있다. NbF5를 사용할 때, 온도는 약 250℃를 초과할 수 있다. 이는 제2 재료에 대한 더 높은 반응 온도 또는 당업자가 알고 있는 다른 수단을 사용하여 기판을 가열함으로써 달성될 수 있다. In some implementations, when providing the second reactant to increase the conversion of the metal reactant, the temperature of the substrate may be elevated. For example, when TaF 5 and NbF 5 are used as the second reactants, higher temperatures can be used. For example, when using TaF 5 , the temperature can exceed about 300°C. When using NbF 5 the temperature can exceed about 250°C. This can be achieved by heating the substrate using a higher reaction temperature for the second material or other means known to a person skilled in the art.

예시적인 공정 흐름 Example process flow

도 3은 특정 구현예들에 따라 실리콘 함유 제2 표면에 상대적인 제1 금속계 표면 상에 금속막을 선택적으로 증착하기 위한 방법(30)을 개괄적으로 도시한 흐름도이다. 선택적 증착 공정이 수행될 반응 챔버 또는 챔버들은 후속하는 선택적 증착 공정의 위치와 직접 연결되는 임의의 챔버 표면들 상에 SiN 패시베이션층을 증착하기 위해 먼저 단계 31에서 선택적 반응기 패시베이션 공정을 거친다. Co 표면과 같은 제1 금속계 표면 및 SiO2와 같은 실리콘을 포함하는 제2 표면을 포함하는 기판이 제공되고 선택적으로 탈가스화된다. 일부 구현예들에서, 기판은 단계 32에서, 예를 들어 SiO2 표면을 패시베이션하기 위한 선택적 실리콘-함유 표면 처리를 거칠 수 있다. 이후, 상기 기판은 단계 33에서 선택적 제1 표면 처리 공정을 거칠 수 있다. 위에서 기술된 바와 같이, 일부 구현예들에서, 제1 표면 처리 공정은 플라즈마, 예컨대 NH3, H2, 및 이들 둘의 조합으로부터 발생된 플라즈마에 상기 기판을 노출시키는 단계를 포함할 수 있다. 3 is a flow diagram schematically illustrating a method 30 for selectively depositing a metal film on a first metal-based surface relative to a second silicon-containing surface in accordance with certain embodiments. The reaction chamber or chambers in which the selective deposition process is to be performed are first subjected to a selective reactor passivation process in step 31 to deposit a SiN passivation layer on arbitrary chamber surfaces directly connected to the location of the subsequent selective deposition process. A substrate comprising a first metallic surface such as a Co surface and a second surface comprising silicon such as SiO 2 is provided and selectively degassed. In some implementations, the substrate may be subjected to a selective silicon-containing surface treatment to passivate a SiO 2 surface at step 32, for example. Thereafter, the substrate may be subjected to a first selective surface treatment process in step 33. As described above, in some implementations, the first surface treatment process can include exposing the substrate to a plasma generated from a plasma, such as NH 3 , H 2, and a combination of the two.

일부 구현예들에서, 플라즈마 처리 공정(33)은 제1 Co 표면을 환원시킬 수 있다. 일부 구현예들에서, 플라즈마 처리 공정은 제1 Co 표면 상에 존재하는 자연산화물층을 제거할 수 있다. 일부 구현예들에서, 플라즈마 처리 공정은 제2 Co 표면 상에 존재할 수 있는 패시베이션층 또는 탄화수소층, 예컨대 BTA층을 제거할 수 있다. In some implementations, the plasma treatment process 33 can reduce the first Co surface. In some embodiments, the plasma treatment process may remove the natural oxide layer present on the first Co surface. In some implementations, the plasma treatment process can remove a passivation layer or a hydrocarbon layer, such as a BTA layer, that may be present on the second Co surface.

일부 구현예들에서, 단계 32와 33은 단계 31에서 패시베이션되는 반응 챔버와 상이한 반응 챔버 또는 챔버들에서 수행될 수 있다. 즉, 단계 32와 33은 후속의 선택적 증착 공정이 수행될 반응 챔버와 상이한 반응 챔버 또는 챔버들에서 수행될 수 있다. 또한, 일부 구현예들에서, 상기 반응 챔버 패시베이션 단계(31)는 하나 이상의 단계(32 및 33)와 동시에 진행될 수 있다. In some implementations, steps 32 and 33 can be performed in a different reaction chamber or chambers than the reaction chamber passivated in step 31. That is, steps 32 and 33 may be performed in a reaction chamber or chambers different from a reaction chamber in which a subsequent selective deposition process is to be performed. In addition, in some embodiments, the reaction chamber passivation step 31 may proceed simultaneously with one or more steps 32 and 33.

일부 구현예들에서, 상기 기판 표면은 선택적 단계(33) 후 불활성 분위기에서 선택적으로 더 어닐링된다. 상기 어닐링은 단계 32, 33 또는 다음 선택적 증착 단계들 35-37보다 높은 온도에서 수행된다. 상기 어닐링 공정을 위한 온도는 바람직하게는 약 150℃ 내지 약 400℃, 약 150℃ 내지 약 300℃, 또는 약 200℃ 내지 약 275℃이고, 일부 경우에, 약 250℃이다. 일부 구현예들에서, 제1 Co 표면 상에 존재하는 임의의 코발트 산화물에 대한 NHx-표면 말단들을 생성하기 위해, 기판 표면은 NH3 환경에서 더 어닐링된다. In some embodiments, the substrate surface is optionally further annealed in an inert atmosphere after optional step 33. The annealing is performed at a temperature higher than steps 32, 33 or the following optional deposition steps 35-37. The temperature for the annealing process is preferably about 150°C to about 400°C, about 150°C to about 300°C, or about 200°C to about 275°C, and in some cases about 250°C. In some embodiments, the substrate surface is further annealed in an NH 3 environment to create NH x -surface ends for any cobalt oxide present on the first Co surface.

다음으로, 단계 34에서, 기판은, 단계 31에서 선택적으로 패시베이션된 챔버로 이송되고, 실리콘 또는 붕소 소스는 기판으로 제공되고, 그 결과 단계 35에서 실리콘 또는 붕소 함유종이 Co 표면 상에 증착된다. 일부 구현예들에서, 상기 실리콘 소스는 실란 또는 디실란이다. 일부 구현예들에서, 디실란은, 실리콘 전구체가 Co 표면 상에서 실리콘을 형성하지만 SiO2 표면 상에서 실리콘을 형성하지 않는 증착 온도를 사용하여 SiO2 표면에 상대적인 Co 표면 상에서 선택적으로 분해될 수 있다. 예를 들어, 증착 온도는 약 30℃ 내지 약 110℃일 수 있다. 일부 구현예들에서, 실리콘 또는 붕소 소스는 자기 제한 방식으로 Co 표면과 반응한다. Co 표면은 SiO2 표면 위에서의 형성에 상대적인 실리콘의 형성을 가능하게 할 수 있는 것으로 여겨진다. Next, in step 34, the substrate is transferred to the chamber selectively passivated in step 31, and a silicon or boron source is provided as the substrate, so that in step 35, silicon or boron-containing paper is deposited on the Co surface. In some embodiments, the silicon source is silane or disilane. In some embodiments, the disilane is, the silicon precursor may be selectively digested with Co on the surface relative to the SiO 2 surface to form the silicon on a Co surface but uses the deposition temperature does not form the silicon on the SiO 2 surface. For example, the deposition temperature may be about 30°C to about 110°C. In some embodiments, the silicon or boron source reacts with the Co surface in a self-limiting manner. It is believed that the Co surface may enable the formation of silicon relative to the formation on the SiO 2 surface.

일부 구현예들에서, 실리콘 또는 붕소를 포함하고 약 0.05 nm 내지 약 4 nm의 두께를 갖는 층이 각 증착 사이클에서 기판의 Co 표면 상에 형성된다. 일부 구현예들에서, 실리콘 또는 붕소를 포함하고 약 0.1 nm 내지 약 2 nm의 두께를 갖는 층이 각 사이클에서 기판의 Co 표면 상에 형성된다. 바람직한 구현예들에서, 금속 표면 상에서 실리콘 또는 붕소를 포함하는 층의 형성은 자기 제한적이다. 따라서, 실리콘 또는 붕소를 포함하는, 많아야 단층이 각 사이클에서 형성된다. In some embodiments, a layer comprising silicon or boron and having a thickness of about 0.05 nm to about 4 nm is formed on the Co surface of the substrate in each deposition cycle. In some embodiments, a layer comprising silicon or boron and having a thickness of about 0.1 nm to about 2 nm is formed on the Co surface of the substrate in each cycle. In preferred embodiments, the formation of a layer comprising silicon or boron on the metal surface is self-limiting. Thus, at most a single layer, comprising silicon or boron, is formed in each cycle.

실리콘 또는 붕소 함유층이 Co 층 위에 형성된 후, 단계 36에서 금속 할로겐화물과 같은 제2 반응물, 예컨대 WF6가 텅스텐과 같은 제2 반응물로부터 실리콘 또는 붕소를 포함하는 층을 해당 금속을 포함하는 층으로 변환하기 위해 사용된다. 일부 구현예들에서, Si 또는 B 층과 반응할 수 있는 WF6, TaF5, NbF5 또는 다른 화합물들이 기판 표면에 유입되어 금속계 층 또는 금속 실리사이드를 형성한다. 일부 구현예들에서, 실리콘 또는 붕소 전구체(예컨대, 디실란) 및 제2 반응물(예컨대, 금속 할로겐화물) 펄스들은 원하는 두께를 가진 금속계 층이 형성될 때까지 단계 37에서 반복될 수 있다. 일부 구현예들에서, 상기 금속계 층은 원소 금속, 예컨대 W이다. 일부 구현예들에서, 상기 금속계 층은 추가적인 원소, 예컨대 Si, B, N 및 다른 도펀트들을 포함할 수 있다. 일부 구현예들에서, 상기 금속계 층은 상이한 재료를 형성하기 위해 더 처리된다. 예를 들어, 원소 금속층은 금속 질화물 또는 금속 실리사이드를 형성하기 위해 제3 반응물을 이용하여 처리될 수 있다. After the silicon or boron-containing layer is formed over the Co layer, in step 36 the layer containing silicon or boron is converted into a layer containing the metal from a second reactant such as a metal halide, e.g., WF 6 is a second reactant such as tungsten. It is used to In some embodiments, WF 6 , TaF 5 , NbF 5 or other compounds capable of reacting with the Si or B layer are introduced to the substrate surface to form a metal-based layer or metal silicide. In some implementations, the silicon or boron precursor (eg, disilane) and second reactant (eg, metal halide) pulses may be repeated in step 37 until a metal-based layer having a desired thickness is formed. In some embodiments, the metal-based layer is an elemental metal, such as W. In some embodiments, the metal-based layer may include additional elements such as Si, B, N and other dopants. In some embodiments, the metallic layer is further processed to form a different material. For example, the elemental metal layer can be treated with a third reactant to form a metal nitride or metal silicide.

증착 사이클은 실리콘 또는 붕소 전구체를 제공하고 상기 제2 금속 반응물을 제공하는 것, 즉 단계 35와 36으로 정의될 수 있다. 일부 구현예들에서, 증착 사이클에서 어떠한 다른 반응물도 제공되지 않는다. 일부 구현예들에서, 증착 사이클은 소정의 두께를 갖는 W층을 형성하기 위해 반복된다. 일부 구현예들에서, 약 0.05 nm 내지 약 4 nm의 두께를 갖는 W층이 각 사이클에서 형성된다. 일부 구현예들에서, 약 0.1 nm 내지 약 2 nm의 두께를 갖는 W층이 각 사이클에서 형성된다. 일부 구현예들에서, 상기 W층은 약 1~2 nm의 두께를 가진다. 다른 구현예들에서, 증착된 W층의 두께는 약 2 nm, 일부 경우 약 30 nm 초과, 일부 경우 약 50 nm를 초과한다. 바람직한 구현예들에서, 상기 층은 10 nm 미만의 두께를 가진다. The deposition cycle can be defined as providing a silicon or boron precursor and providing the second metal reactant, i.e., steps 35 and 36. In some implementations, no other reactants are provided in the deposition cycle. In some implementations, the deposition cycle is repeated to form a W layer having a predetermined thickness. In some embodiments, a W layer having a thickness of about 0.05 nm to about 4 nm is formed in each cycle. In some embodiments, a W layer having a thickness of about 0.1 nm to about 2 nm is formed in each cycle. In some embodiments, the W layer has a thickness of about 1 to 2 nm. In other embodiments, the thickness of the deposited W layer is greater than about 2 nm, in some cases greater than about 30 nm, and in some cases greater than about 50 nm. In preferred embodiments, the layer has a thickness of less than 10 nm.

일부 구현예들에서, 증착 사이클은 10회 이상 반복된다. 일부 구현예들에서, 증착 사이클은 적어도 50회 반복된다. 일부 구현예들에서, 증착 사이클은 약 100회 이상 반복된다. 사이클의 수는 W층의 원하는 두께를 근거로 선택될 수 있다. In some implementations, the deposition cycle is repeated 10 or more times. In some implementations, the deposition cycle is repeated at least 50 times. In some implementations, the deposition cycle is repeated at least about 100 times. The number of cycles can be selected based on the desired thickness of the W layer.

일부 구현예들에서, 실리콘 또는 붕소를 포함하는 전구체 및 제2 금속 반응물 외에는 어떠한 다른 반응물도 제공되지 않는다. In some embodiments, no other reactant is provided other than a precursor comprising silicon or boron and a second metal reactant.

일부 구현예들에서, 제1 표면을 포함하는 재료, 예컨대 코발트는 선택적 증착 사이클 동안 다른 화합물을 형성하기 위해 변환되지도 반응하지도 않는다. In some embodiments, the material comprising the first surface, such as cobalt, is neither transformed nor reacted to form another compound during the selective deposition cycle.

일부 구현예들에서, 하나 이상의 증착 사이클이 완료된 후, 단계 38에서 1/2 증착 사이클이 수행될 수 있다. 예를 들어, 실리콘 또는 붕소 전구체 펄스 또는 대안적으로 제2 금속 반응물이 제공될 수 있다. 일부 구현예들에서, 하나 이상의 증착 사이클 후에, 실리콘 또는 붕소 전구체 펄스가 제공된다. 실리콘 또는 붕소 전구체 펄스(또는 다른 금속 반응물)가 제공되면, 형성된 재료는, 공기 또는 산소 함유 분위기에 노출 시, 실리콘 산화물 또는 붕소 산화물(또는 금속 산화물)로 이루어진 희생층을 형성할 수 있다. 희생층은 실리콘 산화물 또는 붕소 산화물층 아래에 있는 금속계 재료가 반응기 외부의 공기 도는 산소 함유 분위기에 노출 시 산화되는 것을 방지할 수 있다. 형성된 실리콘 산화물 또는 붕소 산화물층은 추가적인 처리 단계들에서, 예를 들어 본원에서 기술된 금속 화학물질들, 바람직하게는 WF6, TaF5, NbF5, TiF4, MoFx or VFx로 더욱 바람직하게는 WF6의 단일 펄스로 제거될 수 있다. In some implementations, after one or more deposition cycles have been completed, a half deposition cycle may be performed in step 38. For example, a silicon or boron precursor pulse or alternatively a second metal reactant may be provided. In some implementations, after one or more deposition cycles, a silicon or boron precursor pulse is provided. When a silicon or boron precursor pulse (or other metal reactant) is provided, the formed material may form a sacrificial layer made of silicon oxide or boron oxide (or metal oxide) when exposed to air or an oxygen-containing atmosphere. The sacrificial layer may prevent the metal-based material under the silicon oxide or boron oxide layer from being oxidized when exposed to air or an oxygen-containing atmosphere outside the reactor. Silicon oxide or the boron oxide layer is formed, is in the additional processing step, for example, and more preferably with a metal chemicals described herein, preferably from WF 6, TaF 5, NbF 5, TiF 4, MoF x or VF x Can be removed with a single pulse of WF 6.

일부 구현예들에서, 전체 공정 흐름은 단일 반응 챔버, 예컨대 단일 공정 모듈에서 수행될 수 있다. 그러나, 다른 구현예들에서, 다양한 단계들이 둘 이상의 반응 챔버에서 수행된다. 예를 들어, 일부 구현예들에서, 제1 표면 처리 공정 및 실리콘 함유 표면 처리 공정(사용되면)이 제1 반응 챔버에서 수행되고, 이에 반하여 선택적 증착 공정은 상이한 제2 반응 챔버에서 수행될 수 있다. 일부 구현예들에서, 상이한 상기 제2 반응 챔버는 또한 그의 내부에서 패시베이션층을 형성하기 위해 처리될 수 있다. 선택적 열적 어닐 단계가 필요하거나 요구되면, 기판은 이후 열적 어닐(사용되면) 및 선택적 증착이 수행되는 제2 반응 챔버로 이송될 수 있다. 일부 구현예들에서, 상기 어닐 단계는 제1 반응 챔버에서 수행되고, 상기 기판은 제1 반응 챔버 또는 선택적 증착이 수행되는 제3 반응 챔버로 이송된다. 일부 구현예들에서, 제1 표면 처리 및 실리콘 함유 표면 처리(사용되면)는 제1 반응 챔버에서 수행되고, 선택적 증착은 제1 표면 처리 및 증착 단계 사이에서 열적 어닐 단계 없이 상이한 제2 반응 챔버에서 수행된다. 상기 기판은 이송되기 전에 필요하면 소정 시간 동안 냉각될 수 있다. 일부 구현예들에서, 냉각은 진공 내지 약 2 atm, 또는 약 0.1 torr 내지 약 760 torr, 또는 약 1 torr 내지 약 760 torr 범위의 압력에서 약 0 내지 30분, 또는 약 0 내지 약 10분 동안 수행된다. 상기 기판은, 예를 들어 진공 하에서 또는 약 1 내지 1000 torr에서 N2(그리고 아마도 일부 O2)의 존재 하에서 이송될 수 있다. In some implementations, the entire process flow can be performed in a single reaction chamber, such as a single process module. However, in other embodiments, various steps are performed in more than one reaction chamber. For example, in some embodiments, a first surface treatment process and a silicon-containing surface treatment process (if used) are performed in a first reaction chamber, whereas a selective deposition process may be performed in a different second reaction chamber. . In some embodiments, the different second reaction chamber may also be processed to form a passivation layer therein. If an optional thermal annealing step is required or required, the substrate may then be transferred to a second reaction chamber where thermal annealing (if used) and selective deposition are performed. In some embodiments, the annealing step is performed in a first reaction chamber, and the substrate is transferred to a first reaction chamber or a third reaction chamber where selective deposition is performed. In some embodiments, the first surface treatment and the silicon-containing surface treatment (if used) are performed in a first reaction chamber, and the selective deposition is performed in a second reaction chamber that is different without a thermal annealing step between the first surface treatment and the deposition step. Performed. The substrate may be cooled for a predetermined time if necessary before being transferred. In some embodiments, cooling is performed for about 0 to 30 minutes, or about 0 to about 10 minutes at a pressure ranging from vacuum to about 2 atm, or from about 0.1 torr to about 760 torr, or from about 1 torr to about 760 torr. do. The substrate may be transferred under vacuum, for example, or in the presence of N 2 (and possibly some O 2) at about 1 to 1000 torr.

도 4는 특정한 다른 구현예들에 따라 실리콘 함유 제2 표면에 상대적인 제1 금속계 표면 상에 금속막을 선택적으로 증착하기 위한 방법(40)을 개괄적으로 도시한 흐름도이다. 선택적 증착 공정이 수행될 반응 챔버 또는 챔버들은 먼저 단계 41에서 선택적 패시베이션 공정을 거친다. 제1 금속계 표면, 바람직하게는 Cu 표면 및 SiO2와 같은 실리콘을 포함하는 제2 표면을 포함하는 기판이 제공되고 선택적으로 탈가스화된다. 일부 구현예들에서, 상기 기판은 단계 42에서, 예를 들어 SiO2 표면을 패시베이션하기 위한 선택적 실리콘-함유 표면 처리를 거칠 수 있다. 이후, 상기 기판은 단계 43에서 선택적 제1 표면 처리 공정을 거칠 수 있다. 위에서 기술된 바와 같이, 일부 구현예들에서, 제1 표면 처리 공정은 상기 기판을 하나 이상의 제1 표면 처리 반응물에 노출시키는 단계를 포함할 수 있다. 4 is a flow diagram schematically illustrating a method 40 for selectively depositing a metal film on a first metal-based surface relative to a second silicon-containing surface according to certain other embodiments. The reaction chambers or chambers in which the selective deposition process is to be performed are first subjected to a selective passivation process in step 41. A substrate comprising a first metallic surface, preferably a Cu surface and a second surface comprising silicon such as SiO 2 is provided and optionally degassed. In some embodiments, the substrate may be subjected to a selective silicon-containing surface treatment in step 42, for example to passivate a SiO 2 surface. Thereafter, the substrate may be subjected to a first selective surface treatment process in step 43. As described above, in some embodiments, the first surface treatment process may include exposing the substrate to one or more first surface treatment reactants.

일부 구현예들에서, 상기 처리 공정(43)은 제1 금속계 표면을 환원시킬 수 있다. 일부 구현예들에서, 상기 처리 공정은 제1 금속계 표면 상에 존재하는 자연산화물층을 제거할 수 있다. 일부 구현예들에서, 상기 처리 공정은 상기 제2 금속계 표면 상에 존재할 수 있는 패시베이션층 또는 탄화수소층을 제거할 수 있고, 예컨대 상기 처리 공정은 상기 Cu 표면 상에 존재하는 BTA층을 제거할 수 있다. 일부 구현예들에서, 상기 Cu 표면 상의 패시베이션층, 예컨대 BTA층은 다른 처리 단계들, 예컨대 화학-기계적 평탄화 동안 상기 Cu 표면을 산화로부터 보호하기 위해 증착되었을 수 있다. 그러나, 이러한 패시베이션층은 선택적 증착 공정 이전에 제거되어야만 한다. In some embodiments, the treatment process 43 may reduce the first metallic surface. In some embodiments, the treatment process may remove the natural oxide layer present on the first metal-based surface. In some embodiments, the treatment process may remove the passivation layer or the hydrocarbon layer that may exist on the second metal-based surface, for example, the treatment process may remove the BTA layer existing on the Cu surface. . In some embodiments, a passivation layer, such as a BTA layer, on the Cu surface may have been deposited to protect the Cu surface from oxidation during other processing steps, such as chemical-mechanical planarization. However, this passivation layer must be removed prior to the selective deposition process.

일부 구현예들에서, 상기 처리 공정은 처리 반응물에 상기 기판을 노출시키는 단계를 포함한다. 일부 구현예들에서, 상기 처리 반응물은 기상 유기 반응물이다. 일부 구현예들에서, 처리 반응물은 적어도 하나의 알콜 기를 포함할 수 있고, 바람직하게는 일차 알콜, 이차 알콜, 삼차 알콜, 다가 알콜, 환형 알콜, 방향족 알콜, 및 다른 알콜 유도체들로 구성되는 군으로부터 선택될 수 있다. 일부 구현예들에서, 상기 처리 반응물은 포름산 또는 HCl을 포함할 수 있다. In some embodiments, the treatment process includes exposing the substrate to a treatment reactant. In some embodiments, the treatment reactant is a gaseous organic reactant. In some embodiments, the treatment reactant may comprise at least one alcohol group, preferably from the group consisting of primary alcohols, secondary alcohols, tertiary alcohols, polyhydric alcohols, cyclic alcohols, aromatic alcohols, and other alcohol derivatives. Can be chosen. In some embodiments, the treatment reactant may include formic acid or HCl.

상기 처리 공정(43) 동안 온도는, 예를 들어 약 상온 내지 약 400℃, 약 100℃ 내지 약 400℃, 약 100℃ 내지 약 130℃, 또는 약 30℃ 내지 약 110℃일 수 있다. The temperature during the treatment process 43 may be, for example, about room temperature to about 400°C, about 100°C to about 400°C, about 100°C to about 130°C, or about 30°C to about 110°C.

일부 구현예들에서, 단계 42와 43은 단계 41에서 패시베이션되는 반응 챔버와 상이한 반응 챔버 또는 챔버들에서 수행될 수 있다. 즉, 단계 42와 43은 후속의 선택적 증착 공정이 수행될 반응 챔버와 상이한 반응 챔버 또는 챔버들에서 수행될 수 있다. 또한, 일부 구현예들에서, 상기 반응 챔버 패시베이션 단계(41)는 하나 이상의 단계(42 및 43)와 동시에 진행될 수 있다. In some implementations, steps 42 and 43 can be performed in a different reaction chamber or chambers than the reaction chamber that is passivated in step 41. That is, steps 42 and 43 may be performed in a reaction chamber or chambers different from a reaction chamber in which a subsequent selective deposition process is to be performed. Further, in some embodiments, the reaction chamber passivation step 41 may proceed simultaneously with one or more steps 42 and 43.

일부 구현예들에서, 상기 기판 표면은 선택적 단계(43) 후 불활성 분위기에서 선택적으로 더 어닐링된다. 상기 어닐링은 단계 42, 43 또는 다음 선택적 증착 단계들 45-47보다 높은 온도에서 수행된다. 상기 어닐링 공정을 위한 온도는 바람직하게는 약 150℃ 내지 약 400℃, 약 150℃ 내지 약 300℃, 또는 약 200℃ 내지 약 275℃이고, 일부 경우에, 약 250℃이다. 일부 구현예들에서, 상기 Cu 표면 상에 존재하는 금속 산화물에 대한 NHx-표면 말단들을 생성하기 위해, 기판 표면은 NH3 환경에서 더 어닐링된다. In some embodiments, the substrate surface is optionally further annealed in an inert atmosphere after optional step 43. The annealing is performed at a temperature higher than steps 42, 43 or subsequent optional deposition steps 45-47. The temperature for the annealing process is preferably about 150°C to about 400°C, about 150°C to about 300°C, or about 200°C to about 275°C, and in some cases about 250°C. In some embodiments, the substrate surface is further annealed in an NH 3 environment to create NH x -surface ends for the metal oxide present on the Cu surface.

다음으로, 단계 44에서, 기판은, 단계 41에서 선택적으로 패시베이션된 챔버로 이송되고, 실리콘 또는 붕소 소스는 기판으로 제공되고, 그 결과 단계 45에서 실리콘 또는 붕소 함유종이 Cu 표면 상에 증착된다. 일부 구현예들에서, 상기 실리콘 소스는 실란 또는 디실란이다. 일부 구현예들에서, 디실란은, 실리콘 전구체가 Cu 표면 상에서 실리콘을 형성하지만 SiO2 표면 상에서 실리콘을 형성하지 않는 온도를 사용하여 실리콘 함유 표면에 상대적인 Cu 표면 상에서 선택적으로 분해될 수 있다. 일부 구현예들에서, 상기 실리콘 또는 붕소 소스는 자기 제한적 방식으로 상기 Cu 표면과 반응한다. 상기 Cu 표면은 SiO2 표면 위에서의 형성에 상대적인 실리콘의 형성을 가능하게 할 수 있는 것으로 여겨진다. Next, in step 44, the substrate is transferred to the chamber selectively passivated in step 41, and a silicon or boron source is provided as the substrate, so that in step 45, silicon or boron-containing paper is deposited on the Cu surface. In some embodiments, the silicon source is silane or disilane. In some embodiments, the disilane can be selectively decomposed on the Cu surface relative to the silicon-containing surface using a temperature at which the silicon precursor forms silicon on the Cu surface but not silicon on the SiO 2 surface. In some embodiments, the silicon or boron source reacts with the Cu surface in a self-limiting manner. It is believed that the Cu surface may enable the formation of silicon relative to the formation on the SiO 2 surface.

일부 구현예들에서, 실리콘 또는 붕소를 포함하고 약 0.05 nm 내지 약 4 nm의 두께를 갖는 층이 각 증착 사이클에서 기판의 Cu 표면 상에 형성된다. 일부 구현예들에서, 실리콘 또는 붕소를 포함하고 약 0.1 nm 내지 약 2 nm의 두께를 갖는 층이 각 사이클에서 기판의 Cu 표면 상에 형성된다. 바람직한 구현예들에서, 상기 Cu 표면 상에서 실리콘 또는 붕소를 포함하는 층의 형성은 자기 제한적이다. 따라서, 실리콘 또는 붕소를 포함하는, 많아야 단층이 각 사이클에서 형성된다. In some embodiments, a layer comprising silicon or boron and having a thickness of about 0.05 nm to about 4 nm is formed on the Cu surface of the substrate in each deposition cycle. In some embodiments, a layer comprising silicon or boron and having a thickness of about 0.1 nm to about 2 nm is formed on the Cu surface of the substrate in each cycle. In preferred embodiments, the formation of a layer comprising silicon or boron on the Cu surface is self-limiting. Thus, at most a single layer, comprising silicon or boron, is formed in each cycle.

실리콘 또는 붕소 함유층이 Cu 위에 형성된 후, 단계 46에서 금속 할로겐화물과 같은 제2 반응물이 금속 할로겐화물 내의 금속과 같은 제2 반응물로부터 실리콘 또는 붕소를 포함하는 층을 해당 금속을 포함하는 층으로 변환하기 위해 사용된다. 일부 구현예들에서, Si 또는 B 층과 반응할 수 있는 WF6, TaF5, NbF5 또는 다른 화합물들이 기판 표면에 유입되어 금속계 층 또는 금속 실리사이드를 형성한다. 일부 구현예들에서, 실리콘 또는 붕소 전구체(예컨대, 디실란) 및 제2 반응물(예컨대, 금속 할로겐화물) 펄스들은 원하는 두께를 가진 금속계 층이 형성될 때까지 단계 47에서 반복될 수 있다. 일부 구현예들에서, 상기 금속계 층은 원소 금속, 예컨대 W이다. 일부 구현예들에서, 상기 금속계 층은 추가적인 원소, 예컨대 Si, B, N 및 다른 도펀트들을 포함할 수 있다. 일부 구현예들에서, 상기 금속계 층은 상이한 재료를 형성하기 위해 더 처리된다. 예를 들어, 원소 금속층은 금속 질화물 또는 금속 실리사이드를 형성하기 위해 제3 반응물을 이용하여 처리될 수 있다. After the silicon or boron-containing layer is formed on Cu, in step 46, a second reactant such as a metal halide converts the layer containing silicon or boron from the second reactant, such as a metal in the metal halide, to a layer containing the metal. Is used for In some embodiments, WF 6 , TaF 5 , NbF 5 or other compounds capable of reacting with the Si or B layer are introduced to the substrate surface to form a metal-based layer or metal silicide. In some implementations, the silicon or boron precursor (eg, disilane) and second reactant (eg, metal halide) pulses may be repeated in step 47 until a metal-based layer having a desired thickness is formed. In some embodiments, the metal-based layer is an elemental metal, such as W. In some embodiments, the metal-based layer may include additional elements such as Si, B, N and other dopants. In some embodiments, the metallic layer is further processed to form a different material. For example, the elemental metal layer can be treated with a third reactant to form a metal nitride or metal silicide.

증착 사이클은 실리콘 또는 붕소 전구체를 제공하고 상기 제2 금속 반응물을 제공하는 것, 즉 단계 45와 46으로 정의될 수 있다. 일부 구현예들에서, 증착 사이클에서 어떠한 다른 반응물도 제공되지 않는다. 일부 구현예들에서, 증착 사이클은 소정의 두께를 갖는 금속계 층을 형성하기 위해 반복된다. 일부 구현예들에서, 약 0.05 nm 내지 약 4 nm의 두께를 갖는 금속계 층이 각 사이클에서 형성된다. 일부 구현예들에서, 약 0.1 nm 내지 약 2 nm의 두께를 갖는 금속계 층이 각 사이클에서 형성된다. 일부 구현예들에서, 상기 금속계 층은 약 1~2 nm의 두께를 가진다. 다른 구현예들에서, 증착된 상기 금속계 층의 두께는 약 2 nm, 일부 경우 약 30 nm 초과, 일부 경우 약 50 nm를 초과한다. 바람직한 구현예들에서, 상기 층은 10 nm 미만의 두께를 가진다. The deposition cycle can be defined as providing a silicon or boron precursor and providing the second metal reactant, i.e., steps 45 and 46. In some implementations, no other reactants are provided in the deposition cycle. In some implementations, the deposition cycle is repeated to form a metal-based layer having a predetermined thickness. In some embodiments, a metallic layer having a thickness of about 0.05 nm to about 4 nm is formed in each cycle. In some embodiments, a metal-based layer having a thickness of about 0.1 nm to about 2 nm is formed in each cycle. In some embodiments, the metallic layer has a thickness of about 1-2 nm. In other embodiments, the thickness of the deposited metallic layer is greater than about 2 nm, in some cases greater than about 30 nm, and in some cases greater than about 50 nm. In preferred embodiments, the layer has a thickness of less than 10 nm.

일부 구현예들에서, 증착 사이클은 10회 이상 반복된다. 일부 구현예들에서, 증착 사이클은 적어도 50회 반복된다. 일부 구현예들에서, 증착 사이클은 약 100회 이상 반복된다. 사이클의 수는 상기 금속계 층의 원하는 두께를 근거로 선택될 수 있다. In some implementations, the deposition cycle is repeated 10 or more times. In some implementations, the deposition cycle is repeated at least 50 times. In some implementations, the deposition cycle is repeated at least about 100 times. The number of cycles can be selected based on the desired thickness of the metallic layer.

일부 구현예들에서, 실리콘 또는 붕소를 포함하는 전구체 및 제2 금속 반응물 외에는 어떠한 다른 반응물도 제공되지 않는다. In some embodiments, no other reactant is provided other than a precursor comprising silicon or boron and a second metal reactant.

일부 구현예들에서, 제1 표면을 포함하는 재료, 예컨대 구리는 선택적 증착 사이클 동안 다른 화합물을 형성하기 위해 변환되지도 반응하지도 않는다. In some embodiments, the material comprising the first surface, such as copper, is neither converted nor reacted to form other compounds during the selective deposition cycle.

일부 구현예들에서, 하나 이상의 증착 사이클이 완료된 후, 단계 48에서 1/2 증착 사이클이 수행될 수 있다. 예를 들어, 실리콘 또는 붕소 전구체 펄스 또는 대안적으로 제2 금속 반응물이 제공될 수 있다. 일부 구현예들에서, 하나 이상의 증착 사이클 후에, 실리콘 또는 붕소 전구체 펄스가 제공된다. 실리콘 또는 붕소 전구체 펄스(또는 다른 금속 반응물)가 제공되면, 형성된 재료는, 공기 또는 산소 함유 분위기에 노출 시, 실리콘 산화물 또는 붕소 산화물(또는 금속 산화물)로 이루어진 희생층을 형성할 수 있다. 희생층은 실리콘 산화물 또는 붕소 산화물층 아래에 있는 금속계 재료가 반응기 외부의 공기 도는 산소 함유 분위기에 노출 시 산화되는 것을 방지할 수 있다. 형성된 실리콘 산화물 또는 붕소 산화물층은 추가적인 처리 단계들에서, 예를 들어 본원에서 기술된 금속 화학물질들, 바람직하게는 WF6, TaF5, NbF5, TiF4, MoFx or VFx로 더욱 바람직하게는 WF6의 단일 펄스로 제거될 수 있다. In some implementations, after one or more deposition cycles have been completed, a half deposition cycle may be performed in step 48. For example, a silicon or boron precursor pulse or alternatively a second metal reactant may be provided. In some implementations, after one or more deposition cycles, a silicon or boron precursor pulse is provided. When a silicon or boron precursor pulse (or other metal reactant) is provided, the formed material may form a sacrificial layer made of silicon oxide or boron oxide (or metal oxide) when exposed to air or an oxygen-containing atmosphere. The sacrificial layer may prevent the metal-based material under the silicon oxide or boron oxide layer from being oxidized when exposed to air or an oxygen-containing atmosphere outside the reactor. Silicon oxide or the boron oxide layer is formed, is in the additional processing step, for example, and more preferably with a metal chemicals described herein, preferably from WF 6, TaF 5, NbF 5, TiF 4, MoF x or VF x Can be removed with a single pulse of WF 6.

일부 구현예들에서, 전체 공정 흐름은 단일 반응 챔버, 예컨대 단일 공정 모듈에서 수행될 수 있다. 그러나, 다른 구현예들에서, 다양한 단계들이 둘 이상의 반응 챔버에서 수행된다. 예를 들어, 일부 구현예들에서, 제1 표면 처리 공정 및 실리콘 함유 표면 처리 공정(사용되면)이 제1 반응 챔버에서 수행되고, 이에 반하여 선택적 증착 공정은 상이한 제2 반응 챔버에서 수행될 수 있다. 일부 구현예들에서, 상이한 상기 제2 반응 챔버는 또한 그의 내부에서 패시베이션층을 형성하기 위해 처리될 수 있다. 선택적 열적 어닐 단계가 필요하거나 요구되면, 기판은 이후 열적 어닐(사용되면) 및 선택적 증착이 수행되는 제2 반응 챔버로 이송될 수 있다. 일부 구현예들에서, 상기 어닐 단계는 제1 반응 챔버에서 수행되고, 상기 기판은 제1 반응 챔버 또는 선택적 증착이 수행되는 제3 반응 챔버로 이송된다. 일부 구현예들에서, 제1 표면 처리 및 실리콘 함유 표면 처리(사용되면)는 제1 반응 챔버에서 수행되고, 선택적 증착은 제1 표면 처리 및 증착 단계 사이에서 열적 어닐 단계 없이 상이한 제2 반응 챔버에서 수행된다. 상기 기판은 이송되기 전에 필요하면 소정 시간 동안 냉각될 수 있다. 일부 구현예들에서, 냉각은 진공 내지 약 2 atm, 또는 약 0.1 torr 내지 약 760 torr, 또는 약 1 torr 내지 약 760 torr 범위의 압력에서 약 0 내지 30분, 또는 약 0 내지 약 10분 동안 수행된다. 상기 기판은, 예를 들어 진공 하에서 또는 약 1 내지 1000 torr에서 N2(그리고 아마도 일부 O2)의 존재 하에서 이송될 수 있다. In some implementations, the entire process flow can be performed in a single reaction chamber, such as a single process module. However, in other embodiments, various steps are performed in more than one reaction chamber. For example, in some embodiments, a first surface treatment process and a silicon-containing surface treatment process (if used) are performed in a first reaction chamber, whereas a selective deposition process may be performed in a different second reaction chamber. . In some embodiments, the different second reaction chamber may also be processed to form a passivation layer therein. If an optional thermal annealing step is required or required, the substrate may then be transferred to a second reaction chamber where thermal annealing (if used) and selective deposition are performed. In some embodiments, the annealing step is performed in a first reaction chamber, and the substrate is transferred to a first reaction chamber or a third reaction chamber where selective deposition is performed. In some embodiments, the first surface treatment and the silicon-containing surface treatment (if used) are performed in a first reaction chamber, and the selective deposition is performed in a second reaction chamber that is different without a thermal annealing step between the first surface treatment and the deposition step. Performed. The substrate may be cooled for a predetermined time if necessary before being transferred. In some embodiments, cooling is performed for about 0 to 30 minutes, or about 0 to about 10 minutes at a pressure ranging from vacuum to about 2 atm, or from about 0.1 torr to about 760 torr, or from about 1 torr to about 760 torr. do. The substrate may be transferred under vacuum, for example, or in the presence of N 2 (and possibly some O 2) at about 1 to 1000 torr.

도 5는 일부 구현예에 따라서 예시적인 반응 챔버 패시베이션 공정(50)을 개괄적으로 보여주는 흐름도이다. 일부 구현예들에서, 상기 반응 챔버 패시베이션 공정은, 선택적 증착 공정 동안 선택성이 사라지기 전에 선택적 증착을 가능하게 하고, 선택도를 개선하고, 그리고/또는 연속적 사이클들의 수를 증가시킬 수 있다. 5 is a flow diagram schematically illustrating an exemplary reaction chamber passivation process 50 in accordance with some embodiments. In some embodiments, the reaction chamber passivation process may enable selective deposition, improve selectivity, and/or increase the number of successive cycles before the selectivity disappears during the selective deposition process.

선택적 증착 공정, 예컨대 W 선택적 증착 공정이 수행될 반응 챔버가 단계 51에서 제공된다. 상기 반응 챔버는 내부에 웨이퍼나 기판 없이 제공된다. 일부 구현예들에서, 선택적 증착 공정은 반응 챔버 내의 웨이퍼 또는 웨이퍼들 상에서 수행될 수 있고, 이후 상기 반응 챔버 내에 웨이퍼가 없도록 단계 51에서 제거된다. 일부 구현예들에서, 반응 챔버 내에서 선택적 증착 공정을 거치게 될 웨이퍼 또는 웨이퍼들은 상기 반응 챔버 패시베이션 공정 전, 동안, 또는 후에 다른 처리를 거칠 수 있다. 예를 들어, 상기 반응 챔버 패시베이션 공정 동안 웨이퍼는 상이한 제2 반응 챔버에서 제2 표면 처리 공정을 거칠 수 있다. A reaction chamber in which a selective deposition process, such as a W selective deposition process, will be performed is provided in step 51. The reaction chamber is provided without a wafer or substrate therein. In some implementations, the selective deposition process may be performed on a wafer or wafers within the reaction chamber, which is then removed in step 51 so that there are no wafers within the reaction chamber. In some implementations, the wafers or wafers to be subjected to the selective deposition process in the reaction chamber may be subjected to other treatments before, during, or after the reaction chamber passivation process. For example, during the reaction chamber passivation process, the wafer may undergo a second surface treatment process in a different second reaction chamber.

일부 구현예들에서, 단계 52에서 패시베이션층은 반응 챔버의 내표면 상에 그리고 선택적 증착 공정 동안 전구체 또는 반응물에 노출될 수 있는 임의의 다른 위치들 상에 증착되거나 형성된다. 일부 구현예들에서, 상기 패시베이션 재료는 선택적 증착 공정이 이루어지게 될 공간에 연결될 수 있는 반응 챔버의 내표면, 챔버 샤워헤드, 및/또는 챔버의 임의의 다른 부분들 상에 증착되거나 형성된다. 일부 구현예들에서, 상기 패시베이션 재료는, 기판이 아닌 반응 챔버 내 임의 표면 상에 증착될 수 있다. In some implementations, in step 52 the passivation layer is deposited or formed on the inner surface of the reaction chamber and on any other locations that may be exposed to the precursor or reactant during the selective deposition process. In some embodiments, the passivation material is deposited or formed on the inner surface of the reaction chamber, the chamber showerhead, and/or any other portions of the chamber that may be connected to the space in which the selective deposition process will take place. In some implementations, the passivation material may be deposited on any surface in the reaction chamber other than the substrate.

일부 구현예들에서, 상기 패시베이션층, 예컨대 SiN의 층은 기상 증착 공정, 예컨대 PEALD 공정에 의해 형성될 수 있다. 일부 구현예들에서, SiN층은 1회 이상의 패시베이션층 증착 사이클을 포함하는 공정에 의해 형성될 수 있고, 상기 증착 사이클은 반응 챔버를 제1 실리콘 전구체 및 제2 질소 전구체에 교번적, 순차적으로 노출시키는 단계를 포함한다. 상기 패시베이션층 증착 사이클은 소정 두께의 SiN 패시베이션층이 형성될 때까지 선택적으로 반복될 수 있다. In some embodiments, the passivation layer, such as a layer of SiN, may be formed by a vapor deposition process, such as a PEALD process. In some embodiments, the SiN layer may be formed by a process including one or more passivation layer deposition cycles, wherein the deposition cycle alternately and sequentially exposes the reaction chamber to the first silicon precursor and the second nitrogen precursor. It includes the step of making. The passivation layer deposition cycle may be selectively repeated until a SiN passivation layer having a predetermined thickness is formed.

일부 구현예들에서, 상기 패시베이션층 증착 공정에 사용되는 실리콘 전구체는 실란, 예컨대 디실란을 포함할 수 있다. 일부 구현예에서, 질소 전구체는 원자 질소, 질소 라디칼, 질소 플라즈마 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 질소 전구체는 원자 수소, 수소 라디칼, 수소 플라즈마 또는 이들의 조합을 더 포함할 수 있다. 일부 구현예들에서, 질소 전구체는 N2로부터 발생된 플라즈마를 포함할 수 있다. 일부 구현예들에서, 질소 전구체는 N2 및 H2로부터 발생된 플라즈마를 포함할 수 있다. 일부 구현예들에서, 질소 전구체는 N2 및 희가스, 예컨대 아르곤으로부터 발생된 플라즈마를 포함할 수 있다. 일부 구현예들에서, 질소 전구체는 N2, H2 및 희가스, 예컨대 아르곤으로부터 발생된 플라즈마를 포함할 수 있다. In some embodiments, the silicon precursor used in the passivation layer deposition process may include silane, such as disilane. In some embodiments, the nitrogen precursor may comprise atomic nitrogen, nitrogen radicals, nitrogen plasma, or combinations thereof. In some embodiments, the nitrogen precursor may further comprise atomic hydrogen, hydrogen radicals, hydrogen plasma, or combinations thereof. In some implementations, the nitrogen precursor may comprise a plasma generated from N 2. In some implementations, the nitrogen precursor can include plasma generated from N 2 and H 2. In some implementations, the nitrogen precursor may include a plasma generated from N 2 and a noble gas such as argon. In some implementations, the nitrogen precursor may include a plasma generated from N 2 , H 2 and a noble gas such as argon.

일부 구현예들에서, 단계 52에서 패시베이션층의 형성 후에, 단계 53에서 웨이퍼 또는 웨이퍼들은 반응 챔버로 이송된다. 그런 다음, 단계 54에서 선택적 증착 공정, 예컨대 W 선택적 증착 공정 및 임의의 다른 원하는 공정이 수행될 수 있다. 일부 구현예들에서, 선택적 증착 공정 후에, 단계 55에서 반응 챔버 내에 존재하는 임의의 웨이퍼 또는 웨이퍼들은 이후 반응 챔버로부터 외부로 이송될 수 있다. 일부 구현예들에서, 단계 56에서 상기 반응 챔버 패시베이션 공정은 선택적으로 반복될 수 있다. 일부 구현예들에서, 웨이퍼 또는 웨이퍼들은 반응 챔버로 이송될 수 있고, 상기 반응 챔버 패시베이션 공정을 선택적으로 반복하기 전에, 다른 선택적 증착 공정이 다시 수행될 수 있다. 즉, 일부 구현예들에서, 반응 챔버 패시베이션 공정은 1, 5, 10, 20, 50, 또는 이보다 많은 웨이퍼가 선택적 증착 공정을 거쳤을 때마다 반복될 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정은 특정 사이클 수의 선택적 증착 공정이 수행된 후 반복될 수 있다. 일부 구현예들에서, 반응 챔버 패시베이션 공정은 50회, 100회, 150회, 또는 이보다 많은 증착 사이클 완료시마다 반복될 수 있다. In some implementations, after formation of the passivation layer in step 52, the wafer or wafers in step 53 are transferred to the reaction chamber. Then, in step 54, a selective deposition process, such as a W selective deposition process and any other desired process, may be performed. In some implementations, after the selective deposition process, any wafer or wafers present in the reaction chamber in step 55 may then be transferred out of the reaction chamber. In some embodiments, the reaction chamber passivation process in step 56 may be optionally repeated. In some implementations, the wafer or wafers may be transferred to the reaction chamber, and before selectively repeating the reaction chamber passivation process, another selective deposition process may be performed again. That is, in some implementations, the reaction chamber passivation process may be repeated whenever 1, 5, 10, 20, 50, or more wafers have undergone the selective deposition process. In some implementations, the reaction chamber passivation process may be repeated after a specific number of cycles of the selective deposition process have been performed. In some implementations, the reaction chamber passivation process can be repeated every 50, 100, 150, or more deposition cycles complete.

실시예Example

Cu를 포함하는 제1 금속계 표면과 3.0의 유전상수를 갖는 낮은 유전상수(k) 유전체 재료를 포함하는 제2 유전체 표면을 갖는 샘플 기판들을 제공하였고 그 위에 약 1 nm 내지 2 nm의 두께를 갖는 유기물층을 증착함으로써 상기 Cu 표면을 패시베이션하였다. 상기 Cu 표면과 상기 유기물층 사이에는 약 1 nm의 두께를 갖는 자연 구리 산화물층도 존재하였다. 자연 코발트 산화물 표면층과 함께 Co 표면만을 포함하는 기판들을 대조군으로 작용하도록 제공하였다. Sample substrates having a first metal-based surface containing Cu and a second dielectric surface containing a low dielectric constant (k) dielectric material having a dielectric constant of 3.0 were provided, and an organic material layer having a thickness of about 1 nm to 2 nm thereon The Cu surface was passivated by vapor deposition. A natural copper oxide layer having a thickness of about 1 nm was also present between the Cu surface and the organic material layer. Substrates containing only a Co surface along with a natural cobalt oxide surface layer were provided to serve as a control.

Co 표면을 포함하는 대조 기판들과 함께, 제1 Cu 표면과 제2 유전체 표면을 포함하는 샘플 기판들은, 본원에 기술된 바와 같이 그리고 일부 구현예들에 따라서 제2 표면에 상대적인 샘플 기판의 제1 표면 상에 W를 증착하기 위한 후속하는 선택적 증착 공정에 대한 이러한 공정들의 효과를 조사하기 위하여, 다양한 제1 표면 처리 공정들을 거쳤다. 상기 다양한 제1 표면 처리 공정은 상기 기판들을 다양한 가스로부터 발생된 플라즈마에 노출시키는 단계를 포함하였다. 상기 기판들이 약 10초 동안 노출되어 있는 동안, 각 플라즈마는 약 350 Pa의 압력에서 약 200 W의 RF 출력을 통하여 발생되었다. 각각의 제1 표면 처리 공정들 동안 기판 온도는 약 150℃였다. The sample substrates comprising a first Cu surface and a second dielectric surface, along with the control substrates comprising a Co surface, are the first of the sample substrates relative to the second surface, as described herein and according to some embodiments. In order to investigate the effect of these processes on the subsequent selective deposition process for depositing W on the surface, various first surface treatment processes were performed. The various first surface treatment processes included exposing the substrates to plasma generated from various gases. While the substrates were exposed for about 10 seconds, each plasma was generated through an RF output of about 200 W at a pressure of about 350 Pa. The substrate temperature during each of the first surface treatment processes was about 150°C.

제1 샘플과 대조 기판들은 기판을 캐리어 가스로서 작용하는 희가스와 함께 H2를 포함하는 가스로부터 발생된 플라즈마에 1000 sccm의 유량으로 노출시키는 단계를 포함하는 제1 표면 처리 공정을 거쳤다. 그런 다음, 샘플 및 대조 기판들은 제2 유전체 표면에 상대적인 제1 Cu 및 Co 표면들 상에 W를 선택적으로 증착하기 위한 선택적 증착 공정을 거쳤다. 그 위에 존재하는 자연산화물층을 효과적으로 환원시키고/환원시키거나 제거하기 위한 제1 표면 처리 공정의 능력을 조사하기 위하여 Co 표면을 포함하는 대조 기판이 포함되었다. The first sample and control substrates were subjected to a first surface treatment process including exposing the substrate to a plasma generated from a gas containing H 2 together with a rare gas serving as a carrier gas at a flow rate of 1000 sccm. Then, the sample and control substrates were subjected to a selective deposition process to selectively deposit W on the first Cu and Co surfaces relative to the second dielectric surface. A control substrate comprising a Co surface was included to investigate the ability of the first surface treatment process to effectively reduce/reduce or remove the natural oxide layer present thereon.

도 6a에 도시된 바와 같이, 제1 Cu 표면 및 제2 유전체 표면 상에 W를 증착하였다. W 증착은 Co 표면을 포함하는 기판 상에서도 관찰되었는 데, 이는 자연산화물 표면층이 효과적으로 환원되었고/환원되었거나 제거되었음을 나타낸다. 따라서, 위에서 기술된 제1 표면 처리 공정은 선택적 증착 공정의 선택도를 높이지 못하였고, 대신 공정의 선택도를 감소시켰다. 임의의 한 가지 이론에 얽매이지 않고서, 상기에서 기술된 조건들 하에서 H2로부터 발생된 플라즈마는 제2 유전체 표면을 손상시켰고 그 위에 W 증착을 가능하게 했던 표면 사이트들을 생성하였다고 생각된다. As shown in FIG. 6A, W was deposited on the first Cu surface and the second dielectric surface. W deposition was also observed on the substrate containing the Co surface, indicating that the natural oxide surface layer was effectively reduced/reduced or removed. Therefore, the first surface treatment process described above did not increase the selectivity of the selective deposition process, but instead reduced the selectivity of the process. Without wishing to be bound by any one theory, it is believed that the plasma generated from H 2 under the conditions described above damaged the second dielectric surface and created surface sites that allowed W deposition thereon.

제2 샘플과 대조 기판들은 기판을 캐리어 가스로서 작용하는 희가스와 함께 H2 및 N2를 포함하는 가스로부터 발생된 플라즈마에 1000 sccm의 유량으로 노출시키는 단계를 포함하는 제1 표면 처리 공정을 거쳤다. 그런 다음, 샘플 및 대조 기판들은 제2 유전체 표면에 상대적인 제1 Cu 및 Co 표면들 상에 W를 선택적으로 증착하기 위한 선택적 증착 공정을 거쳤다. 그 위에 존재하는 자연산화물층을 효과적으로 환원시키고/환원시키거나 제거하기 위한 제1 표면 처리 공정의 능력을 조사하기 위하여 Co 표면을 포함하는 대조 기판이 포함되었다. The second sample and control substrates were subjected to a first surface treatment process comprising exposing the substrate to plasma generated from a gas containing H 2 and N 2 together with a rare gas serving as a carrier gas at a flow rate of 1000 sccm. Then, the sample and control substrates were subjected to a selective deposition process to selectively deposit W on the first Cu and Co surfaces relative to the second dielectric surface. A control substrate comprising a Co surface was included to investigate the ability of the first surface treatment process to effectively reduce/reduce or remove the natural oxide layer present thereon.

도 6b에 도시된 바와 같이, 제1 Cu 표면 및 제2 유전체 표면 상에 W를 증착하였다. W 증착은 Co 표면을 포함하는 기판 상에서도 관찰되었는 데, 이는 자연산화물 표면층이 효과적으로 환원되었고/환원되었거나 제거되었음을 나타낸다. 따라서, 위에서 기술된 제1 표면 처리 공정은 선택적 증착 공정의 선택도를 높이지 못하였고, 대신 공정의 선택도를 감소시켰다. 임의의 한 가지 이론에 얽매이지 않고서, 상기에서 기술된 조건들 하에서 H2 및 N2로부터 발생된 플라즈마는 제2 유전체 표면을 손상시켰고 그 위에 W 증착을 가능하게 했던 표면 사이트들을 생성하였다고 생각된다. As shown in FIG. 6B, W was deposited on the first Cu surface and the second dielectric surface. W deposition was also observed on the substrate containing the Co surface, indicating that the natural oxide surface layer was effectively reduced/reduced or removed. Therefore, the first surface treatment process described above did not increase the selectivity of the selective deposition process, but instead reduced the selectivity of the process. Without wishing to be bound by any one theory, it is believed that the plasma generated from H 2 and N 2 under the conditions described above damaged the second dielectric surface and created surface sites that allowed W deposition thereon.

제3 샘플과 대조 기판들은 기판을 캐리어 가스로서 작용하는 희가스와 함께 NH3를 포함하는 가스로부터 발생된 플라즈마에 1000 sccm의 유량으로 노출시키는 단계를 포함하는 제1 표면 처리 공정을 거쳤다. 그런 다음, 샘플 및 대조 기판들은 제2 유전체 표면에 상대적인 제1 Cu 및 Co 표면들 상에 W를 선택적으로 증착하기 위한 선택적 증착 공정을 거쳤다. 그 위에 존재하는 자연산화물층을 효과적으로 환원시키고/환원시키거나 제거하기 위한 제1 표면 처리 공정의 능력을 조사하기 위하여 Co 표면을 포함하는 대조 기판이 포함되었다. The third sample and control substrates were subjected to a first surface treatment process including exposing the substrate to a plasma generated from a gas containing NH 3 together with a rare gas serving as a carrier gas at a flow rate of 1000 sccm. Then, the sample and control substrates were subjected to a selective deposition process to selectively deposit W on the first Cu and Co surfaces relative to the second dielectric surface. A control substrate comprising a Co surface was included to investigate the ability of the first surface treatment process to effectively reduce/reduce or remove the natural oxide layer present thereon.

도 6c에 도시된 바와 같이, 제1 Cu 표면 및 제2 유전체 표면 상에 W를 증착하였다. W 증착은 Co 표면을 포함하는 기판 상에서 관찰되지 않았는 데, 이는 자연산화물 표면층이 효과적으로 환원되지 못하였고/환원되지 못하였거나 제거되지 않았음을 나타낸다. 따라서, 위에서 기술된 제1 표면 처리 공정은 선택적 증착 공정의 선택도를 높이지 못하였고, 대신 공정의 선택도를 감소시켰다. 임의의 한 가지 이론에 얽매이지 않고서, 상기에서 기술된 조건들 하에서 NH3로부터 발생된 플라즈마는 제2 유전체 표면을 손상시켰고 그 위에 W 증착을 가능하게 했던 표면 사이트들을 생성하였다고 생각된다. As shown in FIG. 6C, W was deposited on the first Cu surface and the second dielectric surface. W deposition was not observed on the substrate comprising the Co surface, indicating that the natural oxide surface layer was not effectively reduced/reduced or not removed. Therefore, the first surface treatment process described above did not increase the selectivity of the selective deposition process, but instead reduced the selectivity of the process. Without wishing to be bound by any one theory, it is believed that the plasma generated from NH 3 under the conditions described above damaged the second dielectric surface and created surface sites that allowed W deposition thereon.

제4 샘플과 대조 기판들은 기판을 캐리어 가스로서 작용하는 희가스와 함께 H2 및 NH3를 포함하는 가스로부터 발생된 플라즈마에 1000 sccm의 유량으로 노출시키는 단계를 포함하는 제1 표면 처리 공정을 거쳤다. 그런 다음, 샘플 및 대조 기판들은 제2 유전체 표면에 상대적인 제1 Cu 및 Co 표면들 상에 W를 선택적으로 증착하기 위한 선택적 증착 공정을 거쳤다. 그 위에 존재하는 자연산화물층을 효과적으로 환원시키고/환원시키거나 제거하기 위한 제1 표면 처리 공정의 능력을 조사하기 위하여 Co 표면을 포함하는 대조 기판이 포함되었다. The fourth sample and control substrates were subjected to a first surface treatment process including exposing the substrate to a plasma generated from a gas containing H 2 and NH 3 together with a rare gas serving as a carrier gas at a flow rate of 1000 sccm. Then, the sample and control substrates were subjected to a selective deposition process to selectively deposit W on the first Cu and Co surfaces relative to the second dielectric surface. A control substrate comprising a Co surface was included to investigate the ability of the first surface treatment process to effectively reduce/reduce or remove the natural oxide layer present thereon.

도 6d에 도시된 바와 같이, 제1 Cu 표면 상에 W를 증착하였고 및 제2 유전체 표면 상에 미립자 W 재료를 증착하였다. W 증착은 Co 표면을 포함하는 기판 상에서 관찰되지 않았는 데, 이는 자연산화물 표면층이 효과적으로 환원되지 못하였고/환원되지 못하였거나 제거되지 않았음을 나타낸다. 따라서, 위에서 기술된 제1 표면 처리 공정은 선택적 증착 공정의 선택도를 높이지 못하였고, 대신 공정의 선택도를 감소시켰다. 임의의 한 가지 이론에 얽매이지 않고서, 상기에서 기술된 조건들 하에서 H2 및 NH3로부터 발생된 플라즈마는 제2 유전체 표면을 손상시켰고 그 위에 W 증착을 가능하게 했던 표면 사이트들을 생성하였다고 생각된다. As shown in Fig. 6D, W was deposited on the first Cu surface and particulate W material was deposited on the second dielectric surface. W deposition was not observed on the substrate comprising the Co surface, indicating that the natural oxide surface layer was not effectively reduced/reduced or not removed. Therefore, the first surface treatment process described above did not increase the selectivity of the selective deposition process, but instead reduced the selectivity of the process. Without wishing to be bound by any one theory, it is believed that the plasma generated from H 2 and NH 3 under the conditions described above damaged the second dielectric surface and created surface sites that allowed W deposition thereon.

제5 샘플과 대조 기판들은 기판을 캐리어 가스로서 작용하는 희가스와 함께 HCOOH, NH3, 및 H2를 포함하는 가스로부터 발생된 플라즈마에 1000 sccm의 유량으로 노출시키는 단계를 포함하는 제1 표면 처리 공정을 거쳤다. HCOOH 대 NH3 대 H2의 비는 1:1:19였다. 그런 다음, 샘플 및 대조 기판들은 제2 유전체 표면에 상대적인 제1 Cu 및 Co 표면들 상에 W를 선택적으로 증착하기 위한 선택적 증착 공정을 거쳤다. 그 위에 존재하는 자연산화물층을 효과적으로 환원시키고/환원시키거나 제거하기 위한 제1 표면 처리 공정의 능력을 조사하기 위하여 Co 표면을 포함하는 대조 기판이 포함되었다. A first surface treatment process including exposing the substrate to plasma generated from a gas including HCOOH, NH 3 , and H 2 at a flow rate of 1000 sccm for the fifth sample and the control substrates. Went through. The ratio of HCOOH to NH 3 to H 2 was 1:1:19. Then, the sample and control substrates were subjected to a selective deposition process to selectively deposit W on the first Cu and Co surfaces relative to the second dielectric surface. A control substrate comprising a Co surface was included to investigate the ability of the first surface treatment process to effectively reduce/reduce or remove the natural oxide layer present thereon.

도 7에 도시된 바와 같이, Cu 표면 상에 W를 선택적으로 증착하였고, 제2 유전체 표면 상에서는 W 증착이 관찰되지 않았는 데, 이는 제1 표면 처리가 선택적 W 증착을 향상시켰거나 가능하게 하였음을 나타낸다. W 증착은 Co 표면을 포함하는 기판 상에서도 관찰되었는 데, 이는 자연산화물 표면층이 효과적으로 환원되었고/환원되었거나 제거되었음을 나타낸다. 임의의 한 가지 이론에 얽매이지 않고서, 상기에서 기술된 조건 하에서 HCOOH, NH3 및 H2로부터 발생된 플라즈마에 대한 노출은 제2 유전체 표면을 상당히 손상시키지 못하였으며, 이에 반하여 상기 노출은 그 위에서 선택적 W 증착을 향상시키기 위해 제1 금속계 표면으로부터 유기물 표면층을 제거하였고 자연산화물을 환원시키고/환원시키거나 제거하였다고 생각된다. As shown in Fig. 7, W was selectively deposited on the Cu surface, and W deposition was not observed on the second dielectric surface, indicating that the first surface treatment enhanced or enabled the selective W deposition. . W deposition was also observed on the substrate containing the Co surface, indicating that the natural oxide surface layer was effectively reduced/reduced or removed. Without wishing to be bound by any one theory, exposure to plasma generated from HCOOH, NH 3 and H 2 under the conditions described above did not significantly damage the second dielectric surface, whereas the exposure was selective thereon. It is believed that the organic surface layer was removed from the first metal-based surface in order to improve W deposition and the natural oxide was reduced/reduced or removed.

위에서 기술된 제1 표면 처리 공정들의 결과들 및 선택적 W 증착에 대한 효과들의 요약이 하기 표 1에 제공된다. HCOOH, NH3, 및 H2를 포함하는 가스로부터 발생된 플라즈마에 기판을 접촉시키는 단계를 포함하는 제1 표면 처리 공정은 유전체 표면에 상대적인 선택도를 유지하면서 Cu 및 Co 표면 상에서 증착을 이룰 수 있었고 조사되었던 유일한 공정이었다. 따라서, 이러한 제1 표면 처리 공정은 Cu 표면으로부터 유기물 표면층을 제거할 수 있었고 자연산화물층을 환원시키고/환원시키거나 제거할 수 있었으며, Co 표면으로부터 자연산화물층을 환원시키고/환원시키거나 제거할 수 있었고, 이에 반하여 선택적 W 증착 공정의 선택도를 유지하거나 향상시키기 위하여 제2 유전체 표면을 상당히 손상시키지 못하였다. A summary of the effects on selective W deposition and the results of the first surface treatment processes described above is provided in Table 1 below. The first surface treatment process comprising the step of contacting a substrate with a plasma generated from a gas containing HCOOH, NH 3 , and H 2 was able to achieve deposition on Cu and Co surfaces while maintaining relative selectivity to the dielectric surface. It was the only process that was investigated. Therefore, this first surface treatment process was able to remove the organic material surface layer from the Cu surface, reduce/reduce or remove the natural oxide layer, and reduce/reduce or remove the natural oxide layer from the Co surface. On the other hand, in order to maintain or improve the selectivity of the selective W deposition process, the second dielectric surface was not significantly damaged.

다양한 제1 표면 처리 공정들에 대한 결과 및 유전체 표면에 상대적인 금속계 표면 상에서 W를 증착하기 위한 선택적 증착 공정들에 대한 효과의 요약Summary of the results for the various first surface treatment processes and the effects of selective deposition processes for depositing W on a metallic surface relative to the dielectric surface. 제1 표면 처리 플라즈마 발생 가스First surface treatment plasma generation gas Cu 표면 상에서 W 증착W deposition on Cu surface 낮은 유전상수(k) 표면 상에서 W 증착W deposition on low dielectric constant (k) surfaces Co 표면 상에서 W 증착W deposition on Co surface H2 H 2 H2/N2 H 2 /N 2 NH3 NH 3 ×× NH3/H2 NH 3 /H 2 ○ (W 입자들)○ (W particles) ×× HCOOH/NH3/H2 HCOOH/NH 3 /H 2 ××

본원에서 사용된 정도(degree)의 언어, 예컨대 본원에서 사용된 용어 "대략(approximately)", "약(about)", 및 "실질적으로"는 여전히 원하는 기능을 수행하거나 원하는 결과를 성취하는, 언급된 값, 양 또는 특징에 가까운 값, 양 또는 특징을 나타낸다. 예를 들어, 용어 "대략", "약", "일반적으로" 및 "실질적으로"는 언급된 양의 10% 이하 이내, 5% 이하 이내, 1% 이하 이내, 0.1% 이하 이내, 0.01% 이하 이내인 양을 지칭할 수 있다. 언급된 양이 0(예컨대, 없음, 갖지 않음)이면, 위에 기재된 범위는 특정 범위일 수 있고 이 값의 특정 % 이내가 아닐 수 있다. 예를 들어, 언급된 양의 10 wt./vol.% 이하 이내, 언급된 양의 5 wt./vol.% 이하 이내, 언급된 양의 1 wt./vol.% 이하 이내, 언급된 양의 0.1 wt./vol.% 이하 이내, 언급된 양의 0.01 wt./vol.% 이하 이내.  The language of degree as used herein, such as the terms “approximately”, “about”, and “substantially” as used herein refer to still performing a desired function or achieving a desired result. Represents a value, quantity, or characteristic that is close to the value, quantity, or characteristic that is specified For example, the terms "approximately", "about", "generally" and "substantially" are within 10% or less, 5% or less, 1% or less, 0.1% or less, 0.01% or less of the stated amount. It can refer to an amount that is within. If the stated amount is zero (e.g., none, not having), the range described above may be a specific range and may not be within a certain percentage of this value. For example, within 10 wt./vol.% or less of the stated amount, within 5 wt./vol.% or less of the stated amount, within 1 wt./vol.% or less of the stated amount, within the stated amount of Within 0.1 wt./vol.% or less, within 0.01 wt./vol.% or less of the stated amount.

용어 "막" 및 "박막"은 간략화를 위해 본원에서 사용된다. "막" 및 "박막"은 본원에 개시된 방법에 의해 증착된 임의의 연속적인 또는 비-연속적인 구조 및 재료를 의미한다. 예컨대, "막" 및 "박막"은 2D 재료, 나노막대, 나노튜브 또는 나노입자 또는 심지어는 단일 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. "막" 및 "박막"은 핀홀을 포함하는 재료 또는 층을 포함할 수 있지만 여전히 적어도 부분적으로 연속적일 수 있다. The terms “film” and “thin film” are used herein for brevity. "Film" and "thin film" mean any continuous or non-continuous structure and material deposited by the methods disclosed herein. For example, “film” and “thin film” may include 2D materials, nanorods, nanotubes or nanoparticles or even a single part or whole molecular layer or partial or whole atomic layer or atomic and/or molecular clusters. The “film” and “thin film” may comprise a material or layer comprising pinholes, but may still be at least partially continuous.

특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 청구범위의 범주가 구체적으로 개시된 구현예 내지 대안적인 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형 및 등가물 너머로 연장된다는 것을 이해할 것이다.While specific embodiments and examples have been disclosed below, those skilled in the art will understand that the scope of the claims extends beyond the specifically disclosed embodiments to alternative embodiments and/or uses of the invention and their apparent modifications and equivalents.

Claims (20)

기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 금속계 표면 상에 막을 선택적으로 증착하기 위한 방법으로서,
상기 기판의 상기 제1 금속계 표면으로부터 표면층을 제거하는 것을 포함하는 제1 표면 처리 공정을 수행하는 단계로서, 상기 제1 금속계 표면 처리 공정은 상기 기판의 적어도 상기 제1 금속계 표면을 포름산(HCOOH)을 포함하는 가스로부터 발생된 플라즈마에 노출시키는 단계를 포함하는, 단계; 및
상기 기판의 상기 제2 유전체 표면에 상대적인 상기 기판의 상기 처리된 제1 금속계 표면 상에 50%를 초과하는 선택도를 갖고서 막을 선택적으로 증착하는 단계를 포함하는 방법.
A method for selectively depositing a film on a first metallic surface of the same substrate relative to a second dielectric surface of the substrate, comprising:
A step of performing a first surface treatment process comprising removing a surface layer from the first metal-based surface of the substrate, wherein the first metal-based surface treatment process comprises at least the first metal-based surface of the substrate using formic acid (HCOOH). Exposing to a plasma generated from the containing gas; And
And selectively depositing a film with a selectivity greater than 50% on the treated first metallic surface of the substrate relative to the second dielectric surface of the substrate.
제1항에 있어서, 상기 제1 금속계 표면 처리 공정은 상기 기판의 상기 제1 금속계 표면 및 상기 기판의 상기 제2 유전체 표면을 상기 가스로부터 발생된 상기 플라즈마에 노출시키는 단계를 포함하는, 방법. The method of claim 1, wherein the first metallic surface treatment process comprises exposing the first metallic surface of the substrate and the second dielectric surface of the substrate to the plasma generated from the gas. 제1항에 있어서, 상기 제1 금속계 표면 처리 공정은 상기 기판의 상기 제1 금속계 표면 상에 존재하는 금속 산화물층을 환원시키는 단계를 더 포함하는, 방법. The method of claim 1, wherein the first metal-based surface treatment process further comprises reducing a metal oxide layer present on the first metal-based surface of the substrate. 제1항에 있어서, 상기 제거된 표면층은 유기물 재료를 포함하는, 방법. The method of claim 1, wherein the removed surface layer comprises an organic material. 제4항에 있어서, 상기 제거된 표면층은 패시베이션층을 포함하는, 방법. 5. The method of claim 4, wherein the removed surface layer comprises a passivation layer. 제5항에 있어서, 상기 제거된 표면층은 벤조트리아졸(BTA)을 포함하는, 방법. 6. The method of claim 5, wherein the removed surface layer comprises benzotriazole (BTA). 제1항에 있어서, 상기 가스는 포름산(HCOOH) 및 H2를 포함하는, 방법. The method of claim 1, wherein the gas comprises formic acid (HCOOH) and H 2 . 제1항에 있어서, 상기 가스는 HCOOH, NH3, 및 H2를 포함하는, 방법. The method of claim 1, wherein the gas comprises HCOOH, NH 3 , and H 2 . 제8항에 있어서, 상기 가스는 희가스를 포함하는 캐리어 가스에 의해 제공되는, 방법.9. The method of claim 8, wherein the gas is provided by a carrier gas comprising a noble gas. 제1항에 있어서, 상기 제1 금속계 표면 처리 공정 동안 상기 기판의 온도는 300℃인, 방법. The method of claim 1, wherein the temperature of the substrate is 300° C. during the first metal-based surface treatment process. 제1항에 있어서, 상기 제1 금속계 표면 처리 공정은 상기 기판의 적어도 상기 제1 금속계 표면을 1초 내지 10분 동안 플라즈마에 노출시키는 단계를 포함하는, 방법.The method of claim 1, wherein the first metallic surface treatment process comprises exposing at least the first metallic surface of the substrate to a plasma for 1 second to 10 minutes. 제1항에 있어서, 상기 플라즈마는 10 W 내지 3000 W의 RF 전력을 상기 가스에 공급함으로써 발생되는, 방법. The method of claim 1, wherein the plasma is generated by supplying RF power of 10 W to 3000 W to the gas. 제12항에 있어서, 상기 RF 전력의 주파수는 1 MHz 내지 10 GHz인, 방법. The method of claim 12, wherein the frequency of the RF power is 1 MHz to 10 GHz. 제1항에 있어서, 상기 플라즈마가 발생되는 상기 가스의 압력은 1 Pa 내지 5000 Pa인, 방법. The method of claim 1, wherein the pressure of the gas at which the plasma is generated is 1 Pa to 5000 Pa. 제1항에 있어서, 상기 선택적으로 증착된 막은 텅스텐을 포함하는, 방법. The method of claim 1 wherein the selectively deposited film comprises tungsten. 제1항에 있어서, 상기 제1 금속계 표면은 구리 또는 코발트를 포함하는, 방법. The method of claim 1, wherein the first metallic surface comprises copper or cobalt. 제1항에 있어서, 상기 제2 유전체 표면은 실리콘을 포함하는, 방법. The method of claim 1 wherein the second dielectric surface comprises silicon. 제1항에 있어서, 상기 제1 금속계 표면 처리 공정은 상기 기판의 상기 제1 금속계 표면 상에 존재하는 금속 산화물층을 제거하는 단계를 더 포함하는, 방법. The method of claim 1, wherein the first metal-based surface treatment process further comprises removing a metal oxide layer present on the first metal-based surface of the substrate. 기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 금속계 표면 상에 막을 선택적으로 증착하기 위한 방법으로서,
상기 기판의 적어도 상기 제1 금속계 표면을 HCOOH를 포함하는 가스로부터 발생된 플라즈마에 노출시킴으로써 상기 기판의 상기 제1 금속계 표면으로부터 표면층을 제거하는 단계를 포함하는 제1 금속계 표면 처리 공정을 수행하는 단계; 및
상기 기판의 상기 제2 유전체 표면에 상대적인 상기 기판의 상기 제1 금속계 표면 상에 50%를 초과하는 선택도를 갖고서 막을 선택적으로 증착하는 단계를 포함하는 방법.
A method for selectively depositing a film on a first metallic surface of the same substrate relative to a second dielectric surface of the substrate, comprising:
Performing a first metallic surface treatment process comprising removing a surface layer from the first metallic surface of the substrate by exposing at least the first metallic surface of the substrate to plasma generated from a gas containing HCOOH; And
And selectively depositing a film with a selectivity greater than 50% on the first metallic surface of the substrate relative to the second dielectric surface of the substrate.
삭제delete
KR1020180052721A 2017-06-14 2018-05-08 Selective deposition of metallic films KR102234260B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/622,510 2017-06-14
US15/622,510 US10014212B2 (en) 2016-06-08 2017-06-14 Selective deposition of metallic films

Publications (2)

Publication Number Publication Date
KR20180136370A KR20180136370A (en) 2018-12-24
KR102234260B1 true KR102234260B1 (en) 2021-04-01

Family

ID=64839188

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180052721A KR102234260B1 (en) 2017-06-14 2018-05-08 Selective deposition of metallic films

Country Status (3)

Country Link
KR (1) KR102234260B1 (en)
CN (1) CN109087885B (en)
TW (1) TWI729285B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164742B2 (en) * 2019-04-30 2021-11-02 Beijing E-town Semiconductor Technology Co., Ltd. Selective deposition using methylation treatment
KR20220137707A (en) * 2020-02-04 2022-10-12 메르크 파텐트 게엠베하 Method of selectively forming a metal-containing film
CN111344852B (en) 2020-02-10 2021-08-31 长江存储科技有限责任公司 Metal contamination testing device and method
WO2023000163A1 (en) * 2021-07-20 2023-01-26 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and formation method therefor
CN113862734A (en) * 2021-09-24 2021-12-31 四会富仕电子科技股份有限公司 Release agent and copper surface release method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014511380A (en) 2011-02-25 2014-05-15 ウミコレ・アーゲー・ウント・コ・カーゲー Metal complex having N-aminoamidinate ligand

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
JP5304536B2 (en) * 2009-08-24 2013-10-02 ソニー株式会社 Semiconductor device
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
EP3026055A1 (en) * 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US9490145B2 (en) * 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9805914B2 (en) * 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9978866B2 (en) * 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014511380A (en) 2011-02-25 2014-05-15 ウミコレ・アーゲー・ウント・コ・カーゲー Metal complex having N-aminoamidinate ligand

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS

Also Published As

Publication number Publication date
KR20180136370A (en) 2018-12-24
TWI729285B (en) 2021-06-01
TW201906003A (en) 2019-02-01
CN109087885B (en) 2022-04-08
CN109087885A (en) 2018-12-25

Similar Documents

Publication Publication Date Title
US10014212B2 (en) Selective deposition of metallic films
US10793946B1 (en) Reaction chamber passivation and selective deposition of metallic films
KR102168494B1 (en) Selective deposition of metallic films
US11056385B2 (en) Selective formation of metallic films on metallic surfaces
KR102234260B1 (en) Selective deposition of metallic films
US10049924B2 (en) Selective formation of metallic films on metallic surfaces
TWI781229B (en) Method for selectively depositing a metallic film on a substrate

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant