KR102142178B1 - 재사용 가능한 서브구조체를 포함하는 반도체 디바이스 모델 - Google Patents

재사용 가능한 서브구조체를 포함하는 반도체 디바이스 모델 Download PDF

Info

Publication number
KR102142178B1
KR102142178B1 KR1020167020031A KR20167020031A KR102142178B1 KR 102142178 B1 KR102142178 B1 KR 102142178B1 KR 1020167020031 A KR1020167020031 A KR 1020167020031A KR 20167020031 A KR20167020031 A KR 20167020031A KR 102142178 B1 KR102142178 B1 KR 102142178B1
Authority
KR
South Korea
Prior art keywords
model
measurement
code
causing
reusable
Prior art date
Application number
KR1020167020031A
Other languages
English (en)
Other versions
KR20160108365A (ko
Inventor
조나단 일로레타
매튜 에이 라핀
레오니드 포슬라프스키
토르스텐 카악
치앙 자오
리에콴 리
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20160108365A publication Critical patent/KR20160108365A/ko
Application granted granted Critical
Publication of KR102142178B1 publication Critical patent/KR102142178B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

재사용 가능한 파라미터적 모델에 기초하여 복잡한 디바이스 구조체의 측정 모델을 생성하기 위한 방법 및 툴이 제시된다. 이들 모델을 채용하는 계측 시스템은 상이한 반도체 제조 프로세스와 연계된 구조 특성 및 재료 특성을 측정하도록 구성된다. 재사용 가능한 파라미터적 서브구조체 모델은 모델 구축 툴의 사용자에 의해 입력된 독립적인 파라미터들의 세트에 의해 완전히 정의된다. 모델 형상 및 구성 기하학적 요소 사이의 내부 제약과 연계된 모든 다른 변수가 모델 내에 사전 정의된다. 일부 실시예에서, 하나 이상의 재사용 가능한 파라미터적 모델은 복잡한 반도체 디바이스의 측정 모델 내로 통합된다. 다른 양태에서, 모델 구축 툴은 사용자로부터의 입력에 기초하여 재사용 가능한 파라미터적 서브구조체 모델을 생성한다. 최종 모델은 다른 사용자에 의해 사용될 수 있는 파일로 익스포트(export)될 수 있고, 특정 사용자와의 민감한 지적 재산권의 공유를 제어하기 위한 보안 특징부를 포함할 수 있다.

Description

재사용 가능한 서브구조체를 포함하는 반도체 디바이스 모델 {SEMICONDUCTOR DEVICE MODELS INCLUDING RE-USABLE SUB-STRUCTURES}
관련 출원의 상호 참조
본 특허 출원은 그 요지가 본 명세서에 그대로 참조로서 통합되어 있는, 2014년 1월 15일 출원된 발명의 명칭이 "구조 및 응용 묘사 특성에 기초하는 광학 계측 모델의 구축(Building Optical Metrology Models Based on Structure and Application Delineated Characteristics)"인 미국 가특허 출원 제61/927,832호로부터 35 U.S.C. §119 하에서 우선권을 주장한다.
기술분야
설명된 실시예는 계측 시스템 및 방법에 관한 것으로서, 더 구체적으로는 향상된 측정 정확도를 위한 방법 및 시스템에 관한 것이다.
논리 디바이스 및 메모리 디바이스와 같은 반도체 디바이스는 통상적으로 시편(specimen)에 적용된 가공 단계(processing step)의 시퀀스에 의해 제조된다. 반도체 디바이스의 다양한 특징 및 다수의 구조 레벨은 이들 가공 단계에 의해 형성된다. 예를 들어, 다른 것들 중에서도 리소그래피가 반도체 웨이퍼 상에 패턴을 발생하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 부가의 예는 화학기계적 연마, 에칭, 퇴적, 및 이온 주입을 포함하지만, 이들에 한정되는 것은 아니다. 다수의 반도체 디바이스들이 단일 반도체 웨이퍼 상에 제조되고, 이어서 개별 반도체 디바이스로 분리될 수도 있다.
광학 계측 프로세스가 더 높은 수율을 촉진하기 위해 웨이퍼 상의 결함을 검출하도록 반도체 제조 프로세스 중에 다양한 단계에서 사용된다. 광학 계측 기술은 샘플 파괴의 위험 없이 높은 처리량을 위한 잠재성을 제공한다. 산란 측정(scatterometry) 및 반사 측정(reflectometry) 구현예 및 연계된 분석 알고리즘을 포함하는 다수의 광학 계측 기반 기술이 나노스케일 구조체의 임계 치수, 막 두께, 조성 및 다른 파라미터를 특징화하는 데 통상적으로 사용된다.
디바이스(예를 들어, 논리 디바이스 및 메모리 디바이스)가 더 소형의 나노미터-스케일 치수로 옮겨감에 따라, 특징화는 더 어려워지고 있다. 복잡한 3차원 기하학적 구조 및 다양한 물리적 특성을 갖는 재료를 통합하는 디바이스가 특성화 어려움에 기여하고 있다.
이들 과제에 응답하여, 더 복잡한 광학 툴이 개발되어 왔다. 측정은 광범위한 다수의 기계 파라미터(예를 들어, 파장, 방위각 및 입사각 등)에 걸쳐, 그리고 종종 동시에 수행된다. 그 결과, 측정 레시피를 포함하여, 측정 시간, 연산 시간, 및 신뢰적인 결과를 발생하기 위한 전체 시간이 상당히 증가한다.
게다가, 기존의 모델 기반 계측 방법은 통상적으로 구조 파라미터를 모델링하고 이어서 측정하기 위한 일련의 단계를 포함한다. 통상적으로, 측정 데이터(예를 들어, DOE 스펙트럼)는 특정 계측 타겟으로부터 수집된다. 광학계, 분산 파라미터 및 기하학적 특징의 정확한 모델이 공식화된다. 게다가, 시뮬레이션 근사[예를 들어, 슬래빙(slabbing), 엄밀한 결합파 분석(Rigorous Coupled Wave Analysis: RCWA 등]가 과도하게 큰 에러를 도입하는 것을 회피하기 위해 수행된다. 이산화(discretization) 및 RCWA 파라미터가 정의된다. 일련의 시뮬레이션, 분석, 및 회귀가 기하학적 모델을 개선하고 어느 모델 파라미터를 플로팅(floating)할지를 결정하기 위해 수행된다. 합성 스펙트럼의 라이브러리가 생성된다. 마지막으로, 기하학적 모델로 실시간으로 라이브러리 또는 회귀를 사용하여 측정이 수행된다.
현재, 측정되는 디바이스 구조체의 모델은 원시 구조 구축 블록으로부터 측정 모델링 툴의 사용자에 의해 조립된다. 이들 원시 구조 구축 블록은 더 복잡한 구조체를 근사하기 위해 함께 조립된 간단한 기하학적 형상(예를 들어, 정사각형 절두체)이다. 원시 구조 구축 블록은 각각의 원시 구조 구축 블록의 형상 상세를 기술하는 사용자 입력에 기반하여 사용자에 의해 치수 설정된다. 일 예에서, 각각의 원시 구조 구축 블록은 사용자가 형상 상세를 결정하는 특정 파라미터를 입력하는 일체형 맞춤화 제어 패널을 포함한다. 유사하게, 원시 구조 구축 블록은 사용자에 의해 또한 수동으로 입력되는 제약에 의해 함께 접합된다. 예를 들어, 사용자는 다른 구축 블록의 정점(vertex)에 하나의 원시 구축 블록의 정점을 속박하는 제약을 입력한다. 이는 구축 블록의 크기가 변화할 때 사용자가 일련의 실제 디바이스 기하학적 구조를 표현하는 모델을 구축할 수 있게 한다. 원시 구조 구축 블록들 사이의 사용자 정의된 제약은 넓은 모델링 융통성을 가능하게 한다. 예를 들어, 상이한 원시 구조 구축 블록의 두께 또는 높이는 다중 타겟 측정 응용에서 단일의 파라미터에 제약될 수 있다. 더욱이, 원시 구조 구축 블록은 사용자가 응용 특정 파라미터(application-specific parameters)에 제약할 수 있는 간단한 기하학적 파라미터화를 갖는다. 예를 들어, 레지스트 라인(resist line)의 측벽각은 리소그래피 프로세스의 초점 및 선량(dose)을 표현하는 파라미터로 수동으로 제약될 수 있다.
원시 구조 구축 블록으로부터 구성된 모델은 광범위한 모델링 융통성 및 사용자 제어를 제공하지만, 모델 구축 프로세스는 복잡한 디바이스 구조체를 모델링할 때 매우 복잡하고 에러가 발생하기 쉽게 된다. 사용자는 원시 구조 구축 블록을 함께 정확하게 조립하고, 이들이 정확하게 제약되는 것을 확인하고, 기하학적으로 일관적인 방식으로 모델을 파라미터화한다. 이러한 것을 성취하는 것은 용이한 작업은 아니고, 사용자는 이들의 모델이 정확한 것을 확인하는 상당한 시간을 소비한다. 다수의 경우들에서, 사용자는, 어떻게 모든 원시 구조 구축 블록이 파라미터 공간에서 형상 및 위치를 변화하는지를 이해하는 것이 어렵기 때문에, 이들의 모델이 비일관적이고 부정확한 것을 이해하지 못한다. 특히, 소정의 파라미터값의 세트에 대해 구조적으로 일관적인 모델이 다른 파라미터값의 세트에 대해 구조적으로 일관적으로 유지되는지를 결정하는 것이 매우 어렵다.
도 1a는 도 1b에 도시되어 있는 광학 임계 치수(optical critical dimension: OCD) 모델(10)을 형성하도록 함께 조립된 12개의 상이한 원시 구조 구축 블록(11 내지 22)을 도시하고 있다. 각각의 원시 구조 구축 블록은 직사각형 형상이다. OCD 모델(10)을 구성하기 위해, 사용자는 모델의 원하는 치수, 제약, 및 독립적인 파라미터(예를 들어, 변형을 받게 되는 파라미터)를 수동으로 정의해야 한다. 원시 구조 구축 블록에 기초하여 구성된 모델(즉, 직사각형과 같은 기본 형상)은 통상적으로 사용자가 변형의 범위를 정의해야 하는 다수의 프리미티브(primitive), 제약, 및 독립적인 파라미터를 필요로 한다. 이는 모델 구축을 매우 복잡하게 하고 사용자 에러가 발생하기 쉽게 된다.
더욱이, 모델 복잡성은 한 사용자가 다른 사용자에 의해 구축된 모델을 이해하는 것을 어렵게 한다. 사용자는 원래 모델 소유자의 의도를 이해해하는 것이 가능해야 하는 데, 이는 원시 구조 구축 블록, 제약 및 독립적인 파라미터의 수가 증가함에 따라 점점 더 어려워진다. 따라서, 모델의 소유권 이전(예를 들어, 응용 엔지니어로부터 프로세스 엔지니어로)은 시간 소모적인 어려운 프로세스이다. 다수의 경우에, 모델의 복잡성은 동료들 사이에 짜증을 유도하고, 일부 경우에 이전(transfer) 프로세스가 완전히 완료되는 것을 방해한다. 일부 예에서, 사용자는 동료에 의해 생성된 모델을 모방하기 위해 원시 구조 구축 블록으로부터 새로운 모델을 생성한다. 다수의 경우에, 최종 모델은 약간 상이하고, 따라서 컴퓨터 상의 부동 소수점 동작의 비가환(non-commutative) 특성에 기인하여 약간 상이한 결과를 전달한다. 일부 다른 예에서, 사용자는 다른 회사가 모델을 개발하게 함으로써 지적 재산권을 포기하거나 위태롭게 한다.
광학 계측 구조체는 과거에는 새로운 모델이 통상적으로 각각의 프로젝트를 위해 설계되기에 충분히 간단하게 유지되었다. 그러나, 점점 복잡해지는 모델 및 프로젝트당 적은 시간에 의해, 개량된 모델링 방법 및 툴이 요구된다.
재사용 가능한 파라미터적 모델에 기초하여 복잡한 디바이스 구조체의 측정 모델을 생성하기 위한 방법 및 툴이 제시된다. 이들 모델을 채용하는 계측 시스템은 상이한 반도체 제조 프로세스와 연계된 구조 특성 및 재료 특성(예를 들어, 재료 조성, 구조체 및 막의 치수 특성 등)을 측정하도록 구성된다.
일 양태에서, 모델 구축 툴은 복잡한 반도체 디바이스의 모델 내에 구축 블록으로서 재사용 가능한 복잡한 디바이스 서브구조체의 재사용 가능한 파라미터적 모델을 포함한다. 이는 모델 구축 프로세스를 더 직관적이고 에러 발생이 쉽지 않게 한다. 더욱이, 재사용 가능한 파라미터적 서브구조체 모델은 특정 구조체 및 측정 응용에 최적화되기 때문에, 최종적인 이산화된 측정 모델은 전통적인 모델보다 연산적으로 더 효율적이다. 게다가, 파라미터적 서브구조체 모델은 저장되어 상이한 프로젝트 및 상이한 사용자 사이에 공유될 수 있다.
다른 양태에서, 재사용 가능한 파라미터적 서브구조체 모델은 모델 구축 툴의 사용자에 의해 입력된 독립적인 파라미터의 값에 의해 완전히 정의된다. 모델 형상 및 구성 기하학적 요소 사이의 내부 제약과 연계된 모든 다른 변수는 모델 내에 사전 정의된다. 따라서, 독립적인 파라미터의 값들 이외에, 어떠한 다른 사용자 입력이 재사용 가능한 파라미터적 서브구조체 모델을 완전히 정의하도록 요구되지 않는다. 이는 모델 구축 프로세스를 상당히 간단화한다.
다른 추가의 양태에서, 모델 구축 툴은 하나 이상의 재사용 가능한 파라미터적 모델을 복잡한 반도체 디바이스의 측정 모델에 통합한다. 일부 실시예에서, 반도체 디바이스의 측정 모델은 하나의 재사용 가능한 파라미터적 모델에 의해 완전히 기술된다. 일부 다른 실시예에서, 반도체 디바이스의 측정 모델은 2개 이상의 재사용 가능/파라미터적 모델의 조합에 의해 완전히 기술된다.
다른 양태에서, 모델 구축 툴은 사용자로부터의 입력에 기초하여 재사용 가능한 파라미터적 서브구조체 모델을 생성한다. 일부 실시예에서, 모델 구축 툴은 다수의 더 간단한 기하학적 프리미티브(primitive), 또는 사용자에 의해 나타내진 더 간단한 재사용 가능한 파라미터적 서브 구조체 모델에 기초하여 재사용 가능한 파라미터적 서브구조체 모델을 생성한다. 조성은 원시 구축 블록인 것처럼 측정 모델의 요소로서 사용될 수 있는 단일의 재사용 가능한 파라미터적 서브구조체로 개별 모델의 집합을 변경한다.
재사용 가능한 파라미터적 서브구조체 모델은 상이한 방식으로 생성될 수 있다. 일 예에서, 사용자는 하나 이상의 기하학적 프리미티브, 하나 이상의 기존의 서브구조체 모델, 또는 임의의 조합을 사용자 생성된 컴퓨터 코드에 의해 조합하여 제약하기 위해 모델 구축 툴에 지시한다. 다른 예에서, 재사용 가능한 파라미터적 서브구조체 모델은 더 복잡한 기하학적 구조체에 기초하고, 따라서 더 적은, 더 복잡한 기하학적 프리미티브의 병합이다. 또 다른 예에서, 사용자는 사용자가 하나 이상의 기하학적 프리미티브, 하나 이상의 기존의 서브구조체 모델, 또는 임의의 조합을 선택하게 하고, 이어서 사용자가 이들 요소를 함께 그룹화하고 원하는 독립적인 파라미터를 선택하는 것을 원하는 것을 나타낼 수 있게 하는 그래픽 사용자 인터페이스(graphical use interface: GUI)와 상호작용할 수도 있다. 이에 응답하여, 모델 구축 툴은 완전히 통합된 파라미터적 서브구조체 모델을 실현하기 위해 적절한 제약을 자동으로 생성한다.
다른 추가의 양태에서, 사용자는 다른 사람에 의해 사용될 수 있는 파일 내로 새롭게 생성된 파라미터적 서브구조체 모델을 익스포트할(export) 수 있다. 다른 예에서, 새롭게 생성된 파라미터적 서브구조체 모델은 측정 모델, 또는 또 다른 더 복잡한 파라미터적 서브구조체 모델을 구성하기 위해 사용자에 의해 선택될 수 있는 이용 가능한 구축 블록으로서 모델 구축 툴 내에 리스트될 수 있다.
다른 추가의 양태에서, 모델 구축 툴은 이들의 디자인 내에 임베드된(embedded) 특정 반도체 프로세스의 주요 특성을 포함하는 복잡한 디바이스 서브구조체의 재사용 가능한 파라미터적 모델을 생성하여 사용을 위해 이용 가능하게 한다. 더 구체적으로, 재사용 가능한 파라미터적 서브구조체 모델은 하나 이상의 프로세스 단계에 의해 생성된 웨이퍼 아티팩트를 사용자가 지정하게 할 수 있는 제약을 포함한다.
다른 추가의 양태에서, 모델 구축 툴은 측정 응용 특정 상세(예를 들어, 특정 응용으로부터 유도되는 제약, 치수 등)를 포함하는 복잡한 디바이스 서브구조체의 재사용 가능한 파라미터적 모델을 생성하여 사용을 위해 이용 가능하게 한다.
또 다른 양태에서, 모델 구축 툴은 특정 사용자와 민감한 지적 재산권의 공유를 제어하기 위한 보안 특징부를 포함한다.
상술한 것은 개요이며, 따라서 필요에 의해 단순화, 일반화 및 상세사항의 생략을 포함하며, 따라서 당 기술 분야의 숙련자는, 개요가 단지 예시적이며 절대 한정적인 것이 아니라는 것을 이해할 것이다. 본 명세서에 설명되는 디바이스 및/또는 프로세스의 다른 양태, 진보적인 특징 및 이점은 여기에 제시되는 비한정적인 상세한 설명에서 명백하게 될 것이다.
도 1a는 도 1b에 도시되어 있는 광학 임계 치수(OCD) 모델(10)을 형성하도록 함께 조립된 12개의 상이한 원시 구조 구축 블록(11 내지 22)을 도시하고 있는 도면이다.
도 1b는 광학 임계 치수(OCD) 모델(10)을 도시하고 있는 도면이다.
도 2는 반도체 웨이퍼의 특징을 측정하기 위한 시스템(100)을 도시하고 있는 도면이다.
도 3은 트렌치 구조체의 3개의 콘포멀층(conformal layer)을 표현하고 있는 재사용 가능한 파라미터적 서브구조체 모델(200)을 도시하고 있는 도면이다.
도 4는 도 5에 도시되어 있는 측정 모델을 형성하기 위한 재사용 가능한 파라미터적 서브구조체 모델과 기하학적 프리미티브의 조합을 도시하고 있는 도면이다.
도 5는 도 4에 도시되어 있는 기하학적 프리미티브와 재사용 가능한 파라미터적 서브구조체 모델의 조합으로부터 형성된 측정 모델을 도시하고 있는 도면이다.
도 6은 다른 실시예에서 트렌치 구조체의 3개의 콘포멀층을 표현하고 있는 재사용 가능한 파라미터적 서브구조체 모델(210)을 도시하고 있는 도면이다.
도 7a 내지 도 7d는 반도체 디바이스 구조체를 생성하기 위해 채용된 4개의 기본 제조 프로세스 단계를 도시하고 있다.
도 8은 적층된 디바이스 구조체의 재사용 가능한 파라미터적 서브구조체 모델(230)을 도시하고 있다.
도 9는 본 명세서에 설명된 바와 같은 모델 구축 툴에 의해 완전히 통합된 파라미터적 서브구조체 모델(233)에 조합된 2개의 재사용 가능한 파라미터적 서브구조체 모델(231, 232)을 도시하고 있다.
이제, 그 예가 첨부 도면에 도시되어 있는, 본 발명의 일부 실시예 및 배경예를 상세히 참조할 것이다.
재사용 가능한 파라미터적 모델에 기초하여 복잡한 디바이스 구조체의 측정 모델을 생성하기 위한 방법 및 툴이 제시된다. 이들 모델을 채용하는 계측 시스템은 상이한 반도체 제조 프로세스와 연계된 구조 특성 및 재료 특성(예를 들어, 재료 조성, 구조체 및 막의 치수 특성 등)을 측정하도록 구성된다.
도 2는 반도체 웨이퍼의 특성을 측정하기 위한 시스템(100)을 도시하고 있다. 도 2에 도시되어 있는 바와 같이, 시스템(100)은 웨이퍼 위치설정 시스템(110) 상에 배치된 반도체 웨이퍼(112)의 하나 이상의 구조체(114)의 분광 타원 편광 분석(spectroscopic ellipsometry)을 수행하는 데 사용될 수도 있다. 이와 관련하여, 시스템(100)은 조명기(102) 및 분광계(104)를 구비한 분광 타원 편광 분석기(spectroscopic ellipsometer)를 포함할 수도 있다. 시스템(100)의 조명기(102)는 선택된 파장 범위(예를 들어, 150 내지 1700 nm)의 조명을 생성하여 반도체 웨이퍼(112)의 표면 상에 배치된 구조체(114)로 유도하도록 구성된다. 이어서, 분광계(104)는 반도체 웨이퍼(112)의 표면으로부터 광을 수용하도록 구성된다. 조명기(102)로부터 나오는 광은 편광된 조명빔(106)을 생성하기 위해 편광 상태 발생기(107)를 사용하여 편광된다. 웨이퍼(112) 상에 배치된 구조체(114)에 의해 반사된 방사선은 편광 상태 분석기(109)를 통해 분광계(104)로 통과된다. 집광빔(108) 내에서 분광계(104)에 의해 수용된 방사선은 편광 상태에 대해 분석되어, 분석기에 의해 통과된 방사선의 스펙트럼 분석을 허용한다. 이들 스펙트럼(111)은 구조체(114)의 분석을 위해 컴퓨팅 시스템(116)으로 통과된다.
다른 실시예에서, 계측 시스템(100)은 본 명세서에 제공된 설명에 따라 모델 구축 툴(130)을 실행하도록 구성된 하나 이상의 컴퓨팅 시스템(116)을 포함하는 측정 시스템(100)이다. 바람직한 실시예에서, 모델 구축 툴(130)은 캐리어 매체(118) 상에 저장된 프로그램 명령어(120)의 세트이다. 캐리어 매체(118) 상에 저장된 프로그램 명령어(120)는 본 명세서에 설명된 바와 같이 모델 구축 기능성을 실현하기 위해 컴퓨팅 시스템(116)에 의해 판독되고 실행된다. 하나 이상의 컴퓨팅 시스템(116)은 분광계(104)에 통신적으로 결합될 수도 있다. 일 양태에서, 하나 이상의 컴퓨팅 시스템(116)은 시편(112)의 구조체(114)의 측정(예를 들어, 임계 치수, 막 두께, 조성, 프로세스 등)과 연계된 측정 데이터(111)를 수신하도록 구성된다. 일 예에서, 측정 데이터(111)는 분광계(104)로부터의 하나 이상의 샘플링 프로세스에 기초하여 측정 시스템(100)에 의해 시편의 측정된 스펙트럼 응답의 표시를 포함한다. 일부 실시예에서, 하나 이상의 컴퓨팅 시스템(116)은 측정 데이터(111)로부터 구조체(114)의 시편 파라미터값을 결정하도록 또한 구성된다. 일 예에서, 하나 이상의 컴퓨팅 시스템(116)은 실시간 임계 치수설정(Real Time Critical Dimensioning: RTCD)을 채용하여, 실시간으로 모델 파라미터에 액세스하도록 구성되고, 또는 타겟 구조체(114)와 연계된 적어도 하나의 시편 파라미터값의 값을 결정하기 위해 사전 컴퓨팅된 모델의 라이브러리에 액세스할 수도 있다.
게다가, 일부 실시예에서, 하나 이상의 컴퓨팅 시스템(116)은 그래픽 사용자 인터페이스, 키보드 등과 같은 사용자 입력 소스(103)로부터 사용자 입력(113)을 수신하도록 또한 구성된다. 하나 이상의 컴퓨터 시스템은 본 명세서에 설명된 바와 같이 재사용 가능한 파라미터적 서브구조체 모델을 구성하도록 또한 구성된다.
일부 실시예에서, 측정 시스템(100)은 하나 이상의 재사용 가능한 파라미터적 서브구조체 모델(115)을 메모리[예를 들어, 캐리어 매체(118)] 내에 저장하도록 또한 구성된다.
본 명세서 전체에 걸쳐 설명된 다양한 단계는 단일의 컴퓨터 시스템(116)에 의해, 또는 대안적으로 다수의 컴퓨터 시스템(116)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 더욱이, 분광 타원 편광 분석기(101)와 같은 시스템(100)의 상이한 서브시스템은 본 명세서에 설명된 단계의 적어도 일부를 수행하기 위해 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 전술된 설명은 본 발명의 한정으로서 분석되어서는 안되고, 단지 예시일 뿐이다. 또한, 하나 이상의 컴퓨팅 시스템(116)은 본 명세서에 설명된 임의의 방법 실시예의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
컴퓨팅 시스템(116)은 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당 기술 분야에 공지된 임의의 다른 디바이스를 포함할 수도 있지만, 이들에 한정되는 것은 아니다. 일반적으로, 용어 "컴퓨팅 시스템"은 메모리 매체로부터 명령어를 실행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 포함하도록 광범위하게 정의될 수도 있다. 일반적으로, 컴퓨팅 시스템(116)은 측정 시스템(100)과 같은 측정 시스템과 통합될 수도 있고, 또는 대안적으로 임의의 측정 시스템으로부터 분리될 수도 있다. 이 개념에서, 컴퓨팅 시스템(116)은 원격으로 위치되고, 임의의 측정 소스 및 사용자 입력 소스로부터 측정 데이터 및 사용자 입력(113)을 각각 수신할 수도 있다.
본 명세서에 설명된 것들과 같은 방법을 구현하는 프로그램 명령어(120)는 캐리어 매체(118)를 통해 전송되거나 캐리어 매체 상에 저장될 수도 있다. 캐리어 매체는 와이어, 케이블 또는 무선 전송 링크와 같은 전송 매체일 수도 있다. 캐리어 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프와 같은 컴퓨터 판독 가능 매체를 또한 포함할 수도 있다.
게다가, 컴퓨터 시스템(116)은 분광계(104) 또는 편광 타원 분석기(101)의 조명기 서브시스템(102)에 통신적으로, 또는 사용자 입력 소스(103)에 당 기술 분야에 공지된 임의의 방식으로 결합될 수도 있다.
컴퓨팅 시스템(116)은 와이어라인 및/또는 무선부를 포함할 수도 있는, 전송 매체에 의해 사용자 입력 소스(103) 및 시스템의 서브시스템[예를 들어, 분광계(104), 조명기(102) 등]으로부터 데이터 또는 정보를 수신하고 그리고/또는 취득하도록 구성될 수도 있다. 이 방식으로, 전송 매체는 컴퓨터 시스템(116), 사용자 입력 소스(103), 및 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 기능할 수도 있다. 또한, 컴퓨팅 시스템(116)은 저장 매체(즉, 메모리)를 거쳐 측정 데이터를 수신하도록 구성될 수도 있다. 예를 들어, 편광 타원 분석기(101)를 사용하여 얻어진 스펙트럼 결과는 영구 또는 반영구 메모리 디바이스(도시 생략)에 저장될 수도 있다. 이와 관련하여, 스펙트럼 결과는 외부 시스템으로부터 임포트될(imported) 수도 있다. 더욱이, 컴퓨터 시스템(116)은 전송 매체를 거쳐 외부 시스템에 데이터를 송신할 수도 있다.
도 2에 도시되어 있는 시스템(100)의 실시예는 본 명세서에 설명된 바와 같이 또한 구성될 수도 있다. 게다가, 시스템(100)은 본 명세서에 설명된 임의의 방법(들)의 임의의 다른 블록(들)을 수행하도록 구성될 수도 있다.
임계 치수(CD), 박막 두께, 광학 특성 및 조성, 오버레이, 리소그래피 포커스/선량 등을 위한 광학 계측은 통상적으로 측정될 하위의 구조체의 기하학적 모델을 필요로 한다. 이 측정 모델은 구조체의 물리적 치수, 재료 특성, 및 파라미터화를 포함한다.
일 양태에서, 모델 구축 툴은 복잡한 반도체 디바이스의 모델에서 구축 블록으로서 사용 가능한 복잡한 디바이스 서브구조체의 재사용 가능한 파라미터적 모델을 포함한다. 이는 모델 구축 프로세스를 더 직관적이고 에러 발생이 쉽지 않게 한다. 더욱이, 재사용 가능한 파라미터적 서브구조체 모델은 특정 구조체 및 측정 응용에 최적화되기 때문에, 최종적인 이산화된 측정 모델은 전통적인 모델보다 연산적으로 더 효율적이다. 게다가, 파라미터적 서브구조체 모델은 저장되어 상이한 프로젝트 및 상이한 사용자 사이에 공유될 수 있다.
다른 양태에서, 재사용 가능한 파라미터적 서브구조체 모델은 모델 구축 툴의 사용자에 의해 입력된 독립적인 파라미터의 값에 의해 완전히 정의된다. 모델 형상 및 구성 기하학적 요소 사이의 내부 제약과 연계된 모든 다른 변수는 모델 내에 사전 정의된다. 따라서, 독립적인 파라미터의 값들 이외에, 어떠한 다른 사용자 입력이 재사용 가능한 파라미터적 서브구조체 모델을 완전히 정의하도록 요구되지 않는다. 이는 모델 구축 프로세스를 상당히 간단화한다.
일부 실시예에서, 재사용 가능한 파라미터적 서브구조체 모델은 구조체 특정적이다. 도 3은 트렌치 구조체의 3개의 콘포멀층을 표현하고 있는 재사용 가능한 파라미터적 서브구조체 모델(200)을 도시하고 있다. 도 3에 도시되어 있는 바와 같이, 모델의 형상을 정의하는 독립적인 파라미터는 각각의 층의 두께(T1, T2, T3), 트렌치의 폭(W) 및 트렌치의 깊이(H)이다. 선택적으로, 각각의 층과 연계된 재료 파라미터는 사용자에 의해 정의될 수 있는 독립적인 변수로서 정의될 수도 있다.
모델 구축 툴의 사용자는 이 재사용 가능한 파라미터적 서브구조체 모델(200)의 기하학적 구조를 완전히 정의하기 위해 이들 5개의 파라미터의 값을 입력하기만 하면 된다. 모델 형상 및 내부 제약과 연계된 모든 다른 변수는 모델 내에 사전 정의되고, 어떠한 추가의 입력도 모델(210)을 완전히 정의하기 위해 요구되지 않는다.
대조적으로, 도 1a에 도시되어 있는 구조적 모델은 3개의 콘포멀층을 갖는 유사한 트렌치 구조체를 모델링하기 위해 9개의 상이한 원시 요소[요소(12 내지 20)] 및 이들의 상호관계(예를 들어, 각각의 요소 사이의 제약)의 정의를 필요로 한다. 사용자는 이들 9개의 요소를 수동으로 정의하고, 조합하고, 제약하고, 파라미터화할 필요가 있다. 예를 들어, 사용자는 요소(20, 19, 18)의 좌측과 정렬하기 위해 각각의 요소(12, 13, 14)의 우측을 각각 제약해야 할 것이다. 유사하게, 사용자는 요소(18, 19, 20)의 우측과 각각의 요소(15, 16, 17)의 좌측을 각각 제약해야 할 것이다. 게다가, 사용자는 측면 부분(14, 15; 13, 16; 12, 17)의 폭에 동일하도록 각각의 요소(18, 19, 20)의 높이를 각각 제약해야 할 것이다. 이들 제약 예는 3개의 콘포멀층을 갖는 간단한 트렌치 구조체를 완전히 정의하기 위해 사용자에 의해 확립되어야 하는 제약의 더욱 더 큰 세트의 서브세트일 뿐이다. 따라서, 단지 간단한 기하학적 프리미티브만을 사용하여 복잡한 디바이스 구조체의 모델을 정의하는 것과 연계된 어려움을 상상하는 것이 어렵지 않다. 도 3에 도시되어 있는 바와 같이, 단지 5개의 독립적인 파라미터에 의해 완전히 정의되는 단일의 재사용 가능한 파라미터적 서브구조체 모델(200)은 9개의 기하학적 프리미티브 및 수십개의 제약 및 형상 파라미터값을 포함하는 모델을 대체한다.
다른 추가의 양태에서, 모델 구축 툴은 하나 이상의 재사용 가능한 파라미터적 모델을 복잡한 반도체 디바이스의 측정 모델에 통합한다. 도 4에 도시되어 있는 바와 같이, 모델 구축 툴은 도 5에 도시되어 있는 측정 모델(205)을 형성하기 위해 재사용 가능한 파라미터적 서브구조체 모델(200)과 기하학적 프리미티브(11, 21, 22)를 조합하기 위해 사용자로부터 입력을 수신한다. 일부 다른 실시예에서, 반도체 디바이스의 측정 모델은 하나의 재사용 가능한 파라미터적 모델에 의해 완전히 기술된다. 일부 다른 실시예에서, 반도체 디바이스의 측정 모델은 2개 이상의 재사용 가능/파라미터적 모델의 조합에 의해 완전히 기술된다.
다른 양태에서, 모델 구축 툴은 사용자로부터의 입력에 기초하여 재사용 가능한 파라미터적 서브구조체 모델을 생성한다.
일부 실시예에서, 모델 구축 툴은 다수의 더 간단한 기하학적 프리미티브, 또는 사용자에 의해 나타내진 더 간단한 재사용 가능한 파라미터적 서브 구조체 모델에 기초하여 재사용 가능한 파라미터적 서브구조체 모델을 생성한다. 조성은 원시 구축 블록인 것처럼 측정 모델의 요소로서 사용될 수 있는 단일의 재사용 가능한 파라미터적 서브구조체로 개별 모델의 집합을 변경한다.
도 3에 도시되어 있는 바와 같이, 9개의 기하학적 프리미티브(예를 들어, 직사각형 형상)는 5개의 독립적인 파라미터에 의해 완전히 정의된 서브구조체 모델에 완전히 통합된다. 모델 구축 툴은 이후의 사용을 위해 서브구조체를 저장한다. 내부적으로, 기판 모델은 9개의 기하학적 프리미티브를 완전히 통합하는 데 필수적인 제약을 포함한다. 이들 제약은 서브구조체 모델의 부분으로서 저장되고, 서브구조체 모델의 모든 인스턴스에 시행된다. 이 방식으로, 사용자는 사전 정의된 제약을 갖는 통상적으로 사용된 복잡한 형상의 집합을 생성할 수 있다. 서브구조체 모델은 언로딩되어 파일 내로 저장될 수 있고, 프로젝트 내로 리로딩되어 사용될 수 있고, 사용자들 사이에 공유될 수 있다.
모델 구축 툴에 의해 생성된 재사용 가능한 파라미터적 서브구조체 모델은 사용자 또는 사용자의 그룹이 재사용될 수 있는 서브구조체의 라이브러리를 생성하는 것을 가능하게 한다. 동일한 서브구조체 모델의 상이한 인스턴스를 사용하는 상이한 사용자는 동일한 수치 결과를 성취하는 것을 예측할 수 있다.
재사용 가능한 파라미터적 서브구조체 모델은 상이한 방식으로 생성될 수 있다. 일 예에서, 사용자는 하나 이상의 기하학적 프리미티브, 하나 이상의 기존의 서브구조체 모델, 또는 임의의 조합을 사용자 생성된 컴퓨터 코드에 의해 조합하여 제약하기 위해 모델 구축 툴에 지시한다. 도 6은 도 3에 도시되어 있는 모델(200)과 유사한 방식으로, 그 독립적인 파라미터(T1, T2, T3, W, H)에 의해 정의되는 사용자 생성된 컴퓨터 코드에 기초하여 조립된 재사용 가능한 파라미터적 서브구조체 모델(210)을 도시하고 있다. 그러나, 재사용 가능한 파라미터적 서브구조체 모델(210)은 더 복잡한 기하학적 구조체(U 형상)에 기초하고, 따라서 더 적은, 더 복잡한 기하학적 프리미티브의 병합이다. 그 결과, 모델(210)은 모델(200)보다 적은 정점을 포함한다. 이는 감소된 수의 이산화점에 기인하여 더 연산적으로 효율적인 측정 모델을 산출하는 더 평활한 모델 이산화를 야기한다. 일반적으로, 더 적은 기하학적 구축 블록 및 더 적은 제약을 포함하는 모델은 이산화 엔진이 더 이상 매우 다수의 기하학적 구축 블록 및 제약을 파스(parse)할 필요가 없기 때문에 더 고속의 이산화를 야기한다. 일부 실시예에서, 제1 재사용 가능한 파라미터적 모델의 이산화점은 조합된 모델로부터 반복 가능한 연산 결과를 보장하기 위해 하위의 컴퓨팅 시스템의 부동 소수점 정밀도 내에서 제2 재사용 가능한 파라미터적 모델의 이산화점과 정렬된다.
일부 다른 예에서, 사용자는 사용자가 하나 이상의 기하학적 프리미티브, 하나 이상의 기존의 서브구조체 모델, 또는 임의의 조합을 선택하게 하고, 이어서 사용자가 이들 요소를 함께 그룹화하고 원하는 독립적인 파라미터를 선택하는 것을 원하는 것을 나타낼 수 있게 하는 그래픽 사용자 인터페이스(graphical use interface: GUI)와 상호작용할 수도 있다. 이에 응답하여, 모델 구축 툴은 완전히 통합된 파라미터적 서브구조체 모델을 실현하기 위해 적절한 제약을 자동으로 생성한다. 사용자는 이어서 다른 사람에 의해 사용될 수 있는 파일 내로 새롭게 생성된 파라미터적 서브구조체 모델을 익스포트할 수 있다. 다른 예에서, 새롭게 생성된 파라미터적 서브구조체 모델은 측정 모델, 또는 또 다른 더 복잡한 파라미터적 서브구조체 모델을 구성하기 위해 사용자에 의해 선택될 수 있는 이용 가능한 구축 블록으로서 모델 구축 툴 내에 리스트될 수 있다. 재사용 가능한 파라미터적 서브구조체 모델은 다수의 사용자가 복잡한 모델의 상이한 부분 상에 협력하여 작업하고 최종 스테이지에서 이들을 함께 조립할 수 있게 한다.
도 9는 2개의 상이한 재사용 가능한 파라미터적 서브구조체 모델(231, 232)을 도시하고 있다. 일 예에서, 사용자는 사용자가 모델(231, 232)을 선택하고 모델(232)의 상위에 위치된 모델(231)과 함께 이들 요소를 그룹화하기를 원하는 것을 지정할 수 있게 하는 그래픽 사용자 인터페이스(GUI)와 상호작용할 수도 있다. 이에 응답하여, 모델 구축 툴은 완전히 통합된 파라미터적 서브구조체 모델(233)을 실현하기 위해 적절한 제약을 자동으로 생성한다. 사용자는 이어서 다른 사람들에 의해 사용될 수 있는 파일 내로 새롭게 생성된 파라미터적 서브구조체 모델을 익스포트할 수 있다.
복잡한 디바이스 모델을 조립하는 데 요구되는 구성요소의 수는 기하학적 프리미티브보다는, 2개 이상의 재사용 가능한 파라미터적 서브구조체 모델을 조합함으로써 상당히 감소된다. 더욱이, 사용자에 의해 지정되어야 하는 구성요소들 사이의 관계의 수가 또한 상당히 감소된다. 이는 초기 모델 구축 프로세스를 간단화하고, 에러 발생이 쉽지 않게 하고, 상이한 사용자들 사이에 모델의 이전을 더 용이하게 한다.
다른 추가의 양태에서, 모델 구축 툴은 이들의 디자인 내에 임베드된 특정 반도체 프로세스의 주요 특성을 포함하는 복잡한 디바이스 서브구조체의 재사용 가능한 파라미터적 모델을 생성하여 사용을 위해 이용 가능하게 한다. 더 구체적으로, 재사용 가능한 파라미터적 서브구조체 모델은 하나 이상의 프로세스 단계에 의해 생성된 웨이퍼 아티팩트를 사용자가 지정하게 할 수 있는 제약을 포함한다.
도 7a 내지 도 7d는 도 7d에 도시되어 있는 구조체를 생성하기 위한 4개의 기본 제조 프로세스 단계를 도시하고 있다. 먼저, 두께(T)의 막(22)이 도 7a에 도시되어 있는 바와 같이 기판(221) 상에 퇴적된다. 다음에, 폭(W)의 트렌치가 도 7b에 도시되어 있는 바와 같이 막층(222) 내로 에칭된다. 다음에, 재료(223, 224, 225)는 도 7c에 도시되어 있는 바와 같이 막 및 트렌치 위에 콘포멀 퇴적된다. 마지막으로, 구조체는 도 7d에 도시되어 있는 바와 같이, 높이(Tp)로 평탄화된다.
일 실시예에서, 재사용 가능한 파라미터적 모델은 모든 4개의 이들 단계를 표현하고 있다. 더욱이, 사용자는 어느 프로세스 단계를 모델링할 것인지를 선택하는 것이 가능하다. 예를 들어, 사용자가 트렌치 에칭 프로세스 단계를 먼저 모델링하기를 원하면, 사용자는 트렌치 에칭을 생성하는 데 요구되는 프로세스(즉, 막 퇴적 및 트렌치 에칭 단계)를 포함하도록 재사용 가능한 파라미터적 모델을 제어한다. 사용자는 막 내에 사용된 재료, 퇴적 단계를 정의하고, 퇴적된 막의 두께를 정의하고, 트렌치의 치수를 정의할 것이다. 사용자가 평탄화 단계를 모델링하기를 원하면, 사용자는 미리 정의된 트렌치 에칭 모델로 시작하고, 이어서 평탄화된 구조체를 생성하는 데 요구되는 프로세스(즉, 콘포멀 퇴적 및 평탄화 단계)를 포함하도록 재사용 가능한 파라미터적 모델을 제어한다. 사용자는 콘포멀 퇴적의 수를 정의할 것이고, 각각의 퇴적을 위한 재료/두께는 평탄화의 깊이를 정의할 것이다. 이 방식으로, 사용자는 재사용 가능한 파라미터적 모델에 의해 표현된 각각의 프로세스 단계를 개별적으로 제어하는 것이 가능하다. 따라서, 단일의 모델은 다수의 프로세스 단계를 측정하도록 이용될 수 있다.
일부 리소그래피 포커스/선량 응용에서, 적층된 디바이스 구조체의 레지스트 라인은 이하의 방식으로: 1) 인접한 사다리꼴의 상위 임계 치수(TCD) 및 하위 임계 치수(BCD)가 동일하도록 제약되고, 2) 개별 사다리꼴의 높이가 동일하도록 제약되고, 3) 개별 임계 치수가 사용자 정의된 포커스 및 선량 파라미터의 함수가 되도록 제약되고, 4) 개별 사다리꼴의 높이가 전술된 포커스 및 선량 파라미터의 함수가 되도록 제약되는 방식으로 제약된 적층된 사다리꼴로서 모델링된다. 전통적으로, 모든 이들 제약은 사용자에 의해 설정될 필요가 있다.
다른 추가의 양태에서, 모델 구축 툴은 측정 응용 특정 상세(예를 들어, 특정 응용으로부터 유도되는 제약, 치수 등)를 포함하는 복잡한 디바이스 서브구조체의 재사용 가능한 파라미터적 모델을 생성하여 사용을 위해 이용 가능하게 한다.
도 8은 적층된 디바이스 구조체의 재사용 가능한 파라미터적 서브구조체 모델(230)을 도시하고 있다. 본 예에서, 모델 구축 툴은 개별 CD 및 높이의 식을 포함하는 파일을 판독한다. 이 파일은 통상적으로 캘리포니아주 밀피타스(미국) 소재의 KLA-Tencor Corporation으로부터 입수 가능한 PROLITH 소프트웨어와 같은 리소그래피 시뮬레이터에 의해 생성된다. 이 응용 정보에 기초하여, 모델 구축 툴은 재사용 가능한 파라미터적 서브구조체 모델(230)의 파라미터화 및 제약을 자동으로 설정한다.
다른 예에서, 모델 구축 툴은 또한 일부 광학 계측 응용에 사용된 필드 향상 요소를 기술하는 재사용 가능한 파라미터적 서브구조체 모델을 생성하도록 채용될 수 있다. 필드 향상 요소는 그 요지가 본 명세서에 그대로 참조로서 합체되어 있는, KLA-Tencor Corporation에 양도된 미국 특허 제8,879,073호에 더 상세히 설명되어 있다. 모델 구축 툴은 각각의 유형의 필드 향상 요소 및 상이한 응용을 위한 재사용 가능한 파라미터적 서브구조체 모델을 생성하도록 채용될 수 있다.
또 다른 예에서, 모델 구축 툴은 계측 타겟 디자인 또는 오버레이 디자인 소프트웨어에 의해 생성된 계측 타겟을 기술하는 재사용 가능한 파라미터적 서브구조체 모델을 생성하도록 또한 채용될 수 있다. 일 예에서, 모델 구축 툴은 소프트웨어 시뮬레이터에 의해 생성된 그래픽 데이터베이스 시스템(graphical database system: GDS) 데이터를 수신하고, 스페이서 피치 분할의 형태학을 예측하는 재사용 가능한 파라미터적 서브구조체 모델을 자동으로 생성한다.
또 다른 양태에서, 모델 구축 툴은 특정 사용자와 민감한 지적 재산권의 공유를 제어하기 위한 보안 특징부를 포함한다. 예를 들어, 민감한 지적 재산권을 포함하는 측정 모델의 특정 양태를 공유하지 않고, 엔티티가 다른 엔티티와 측정 모델을 공유하는 것이 바람직할 수도 있다. 일부 예에서, 모델 구축 툴은 사용자가 하나 이상의 재사용 가능한 파라미터적 서브구조체 모델의 전체 또는 일부를 디스플레이로부터 은폐하여 모델이 다른 엔티티와 공유할 수 있게 한다. 일부 예에서, 모델 구축 툴은 사용자가 하나 이상의 재사용 가능한 파라미터적 서브구조체 모델의 전체 또는 일부를 생략하여 다른 엔티티와 이들 민감한 요소의 공유를 방지하게 할 수 있다. 일부 다른 예에서, 모델 구축 툴은 사용자가 하나 이상의 재사용 가능한 파라미터적 서브구조체 모델의 전체 또는 일부에 액세스하는 것을 제어하기 위한 패스워드 보호를 포함하여 인증된 엔티티로의 민감한 요소의 공유를 제한하게 할 수 있다. 이 방식으로, 재사용 가능한 파라미터적 서브구조체 모델의 특정 특징부 내에 임베드된 민감한 지적 재산권이 사용자에 의해 기밀로 유지될 수 있다.
본 명세서에 설명된 방법은 시스템(100)을 참조하여 설명되지만, 시편으로부터 반사되고, 투과되거나, 또는 회절된 광을 조명하고 검출하도록 구성된 임의의 광학 계측 시스템은 본 명세서에 설명된 예시적인 방법을 구현하도록 채용될 수도 있다. 예시적인 시스템은 각도 분해 반사계, 산란계, 반사계, 편광 타원 분석기, 분광 반사계 또는 편광 타원 분석기, 빔 프로파일 반사계, 다중 파장 2차원 빔 프로파일 반사계, 다중 파장 2차원 빔 프로파일 편광 타원 분석기, 회전 보상기 분광 편광 타원 분석기 등을 포함한다. 비한정적인 예로서, 편광 타원 분석기, 다수의 회전 보상기, 회전 편광기, 회전 분석기, 변조 요소, 다수의 변조 요소를 포함할 수도 있고, 또는 변조 요소를 포함하지 않을 수도 있다.
소스 및/또는 타겟 측정 시스템으로부터의 출력은 측정 시스템이 하나 초과의 기술을 사용하는 이러한 방식으로 구성될 수도 있다는 것이 주목된다. 실제로, 응용은 단일 툴 내에, 또는 다수의 상이한 툴을 가로질러 이용 가능한 계측 서브시스템의 임의의 조합을 채용하도록 구성될 수도 있다.
본 명세서에 설명된 방법을 구현하는 시스템이 또한 다수의 상이한 방식으로 구성될 수도 있다. 예를 들어, 광범위한 파장(가시, 자외선, 적외선 및 X선을 포함함), 입사각, 편광 상태, 및 간섭 상태가 고려될 수도 있다. 다른 예에서, 시스템은 다수의 상이한 광원(예를 들어, 직접 결합된 광원, 레이저 지속된 플라즈마 광원 등) 중 임의의 하나를 포함할 수도 있다. 다른 예에서, 시스템은 시편[예를 들어, 아포다이저(apodizer), 필터 등]에 유도된 또는 시편으로부터 집광된 광을 조정하기 위한 요소를 포함할 수도 있다.
본 명세서에 설명된 바와 같이, 용어 "임계 치수"는 구조체의 임의의 임계 치수(예를 들어, 하위 임계 치수, 중간 임계 치수, 상위 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2개 이상의 구조체 사이의 임계 치수(예를 들어, 2개의 구조체 사이의 거리), 2개 이상의 구조체 사이의 변위(예를 들어, 상위의 격자 구조체 사이의 오버레이 변위 등), 및 구조체 또는 구조체의 부분에 사용된 재료의 분산 특성값을 포함한다. 구조체는 3차원 구조체, 패터닝된 구조체, 오버레이 구조체 등을 포함할 수도 있다.
본 명세서에 설명된 바와 같이, 용어 "임계 치수 응용" 또는 "임계 치수 측정 응용"은 임의의 임계 치수 측정을 포함한다.
본 명세서에 설명된 바와 같이, 용어 "계측 시스템"은 임의의 양태에서 시편을 특징화하는 데 적어도 부분적으로 채용되는 임의의 시스템을 포함한다. 그러나, 이러한 기술 용어는 본 명세서에 설명된 바와 같은 용어 "계측 시스템"의 범주를 한정하는 것은 아니다. 게다가, 계측 시스템(100)은 패터닝된 웨이퍼 및/또는 패터닝되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 이 계측 시스템은 LED 검사 툴, 에지 검사 툴, 이면 검사 툴, 거시적 검사 툴, 또는 다중 모드 검사 툴(하나 이상의 플랫폼으로부터 데이터를 동시에 수반함), 및 임계 치수 데이터에 기초하여 시스템 파라미터의 캘리브레이션으로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴로서 구성될 수도 있다.
다양한 실시예가 시편을 가공하기 위해 사용될 수도 있는 반도체 가공 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)에 대해 본 명세서에 설명된다. 용어 "시편"은 사이트, 또는 사이트들, 웨이퍼, 레티클, 또는 당 기술 분야에 공지된 수단에 의해 가공될(예를 들어, 인쇄되거나 또는 결함을 위해 검사될) 수도 있는 임의의 다른 샘플을 칭하도록 본 명세서에 사용된다. 일부 예에서, 시편은 그 동시의 조합된 측정이 단일의 시편 측정 또는 기준 측정으로서 처리되는 하나 이상의 측정 타겟을 갖는 단일의 사이트를 포함한다. 일부 다른 예에서, 시편은 집계된 측정치와 연계된 측정 데이터가 각각의 다수의 사이트와 연계된 데이터의 통계적 집계인 사이트의 집계이다. 더욱이, 이들 다수의 사이트의 각각은 시편 또는 기준 측정과 연계된 하나 이상의 측정 타겟을 포함할 수도 있다.
본 명세서에 사용될 때, 용어 "웨이퍼"는 일반적으로 반도체 또는 비-반도체 재료로 형성된 기판을 칭한다. 예는 단결정질 실리콘, 갈륨 비소, 및 인듐 포스파이드를 포함하지만, 이들에 한정되는 것은 아니다. 이러한 기판은 반도체 제조 설비에서 통상적으로 발견되고 그리고/또는 가공될 수도 있다. 일부 경우에, 웨이퍼는 단지 기판[즉, 베어 웨이퍼(bare wafer)]을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성된 상이한 재료의 하나 이상의 층을 포함할 수도 있다. 웨이퍼 상에 형성된 하나 이상의 층은 "패터닝되거나" 또는 "패터닝되지 않을" 수도 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 특징을 갖는 복수의 다이를 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클, 또는 반도체 제조 설비에 사용을 위해 발매될 수도 있거나 발매되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클 또는 "마스크"는 일반적으로 그 위에 형성되고 패턴으로 구성된 실질적으로 불투명한 영역을 갖는 실질적으로 투명한 기판으로서 정의된다. 기판은 예를 들어, 비정질 SiO2와 같은 글래스 재료를 포함할 수도 있다. 레티클이 리소그래피 프로세스의 노광 단계 중에 레지스트 커버된 웨이퍼 위에 배치될 수도 있어 레티클 상의 패턴이 레지스터로 전사될 수도 있게 된다.
웨이퍼 상에 형성된 하나 이상의 층은 패터닝되거나 패터닝되지 않을 수도 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 특징을 각각 갖는 복수의 다이를 포함할 수도 있다. 이러한 재료의 층의 형성 및 가공은 궁극적으로 완성된 디바이스를 야기할 수도 있다. 다수의 상이한 유형의 디바이스가 웨이퍼 상에 형성될 수도 있고, 용어 웨이퍼는 본 명세서에 사용될 때, 당 기술 분야에 공지된 임의의 유형의 디바이스가 제조되는 웨이퍼를 포함하도록 의도된다.
하나 이상의 예시적인 실시예에서, 설명된 기능은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어에 구현되면, 기능은 컴퓨터 판독 가능 매체 상에 하나 이상의 명령어 또는 코드로서 저장되거나 전송될 수도 있다. 컴퓨터 판독 가능 매체는 하나의 장소로부터 다른 장소로 컴퓨터 프로그램의 전달을 용이하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체의 모두를 포함한다. 저장 매체는 범용 또는 특정 용도 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수도 있다. 예로서, 비한정적으로, 이러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 원반 저장 장치, 자기 원반 저장 장치 또는 다른 자기 저장 디바이스, 또는 명령어 또는 데이터 구조의 형태로 원하는 프로그램 코드 수단을 포함하거나 저장하는 데 사용될 수 있고 범용 또는 특정 용도 컴퓨터, 또는 범용 또는 특정 용도 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한 임의의 접속은 컴퓨터 판독 가능 매체로 적절하게 명명된다. 예를 들어, 소프트웨어가 동축 케이블, 광파이버 케이블, 트위스티드쌍, 디지털 가입자 라인(digital subscriber line: DSL), 또는 적외선 무선 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 전송되면, 동축 케이블, 광파이버 케이블, 트위스티드쌍, DSL, 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술이 매체의 정의에 포함된다. 원반(disk) 또는 디스크(disc)는 본 명세서에 사용될 때, 콤팩트 디스크(compact disc: CD), 레이저 디스크, 광학 디스크, 디지털 다기능 디스크(digital versatile disc: DVD), 플로피 원반 및 블루레이 디스크를 포함하는 데, 여기서 원반은 일반적으로 데이터를 자기식으로 재생하고, 반면에 디스크는 레이저로 광학적으로 데이터를 재생한다. 상기의 조합이 또한 컴퓨터 판독 가능 매체의 범주 내에 포함되어야 한다.
특정의 실시예가 설명의 목적으로 전술되었지만, 본 특허 문헌의 교시는 일반적인 적용 가능성을 갖고, 전술된 특정 실시예에 한정되는 것은 아니다. 이에 따라, 설명된 실시예의 다양한 특징의 다양한 수정, 적응 및 조합이 청구범위에 설명된 바와 같은 본 발명의 범주로부터 벗어나지 않고 실시될 수 있다.
100: 시스템 102: 조명기
104: 분광계 106: 조명빔
108: 집광빔 109: 편광 상태 분석기
111: 스펙트럼 110: 웨이퍼 위치설정 시스템
112: 반도체 웨이퍼 114: 구조체
116: 컴퓨팅 시스템 30: 모델 구축 툴

Claims (20)

  1. 계측 시스템으로서,
    하나 이상의 계측 타겟에 조명광량을 제공하도록 구성된 조명 소스(illumination source);
    상기 조명광량에 응답하여 상기 하나 이상의 계측 타겟으로부터 집광된 광량을 수신하도록 구성된 검출기;
    하나 이상의 컴퓨터 시스템 - 상기 하나 이상의 컴퓨터 시스템은,
    검출된 광과 연관된 측정 데이터량을 수신하고;
    상기 측정 데이터량에 대한 상기 하나 이상의 계측 타겟의 측정 모델의 피팅에 기초하여 상기 측정 모델의 하나 이상의 파라미터를 결정하도록 구성되고, 상기 측정 모델은 상기 하나 이상의 계측 타겟의 제1 서브구조체(sub-structure)의 제1 재사용 가능한 파라미터적 모델(re-usable, parametric model)을 포함함 -; 및
    컴퓨터 판독가능한 비일시적 저장 매체 상에 저장된 컴퓨터 판독가능 명령어들을 포함하는 계측 모델 빌딩 툴(metrology model building tool)
    을 포함하고, 상기 컴퓨터 판독가능 명령어들은,
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 하나 이상의 계측 타겟의 적어도 일부분을 기술하기 위해 제1 사용자에 의한 제1 재사용 가능한 파라미터적 모델의 선택의 표시(indication)를 수신하게 하기 위한 코드 - 상기 제1 재사용 가능한 파라미터적 모델은 복수의 기하학적 요소들을 포함하고, 독립적인 파라미터값들의 제1 세트에 의해 완전히 정의됨 -; 및
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 독립적인 파라미터값들의 제1 세트의 선택의 표시를 수신하게 하기 위한 코드
    를 포함하는 것인, 계측 시스템.
  2. 제1항에 있어서,
    상기 하나 이상의 계측 타겟의 측정 모델은 상기 제1 재사용 가능한 파라미터적 모델에 의해 완전히 기술되는 것인, 계측 시스템.
  3. 제1항에 있어서,
    상기 컴퓨터 판독 가능 명령어들은,
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 하나 이상의 계측 타겟의 서브구조체를 기술하기 위해, 상기 제1 사용자에 의한 제2 재사용 가능한 파라미터적 모델의 선택의 표시를 수신하게 하기 위한 코드 - 상기 제2 재사용 가능한 파라미터적 모델은 복수의 기하학적 요소들을 포함하고, 독립적인 파라미터값들의 제2 세트에 의해 완전히 정의됨 -;
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 독립적인 파라미터값들의 상기 제2 세트의 선택의 표시를 수신하게 하기 위한 코드;
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 제1 재사용 가능한 파라미터적 모델과 상기 제2 재사용 가능한 파라미터적 모델의 조합에 적어도 부분적으로 기초하여 상기 하나 이상의 계측 타켓의 제1 측정 모델을 결정하게 하기 위한 코드; 및
    상기 하나 이상의 컴퓨터 시스템으로 하여금 상기 제1 측정 모델을 메모리 내에 저장하게 하기 위한 코드
    를 더 포함하는 것인, 계측 시스템.
  4. 제1항에 있어서,
    상기 제1 재사용 가능한 파라미터적 모델을 정의하는 독립적인 파라미터값들의 상기 제1 세트의 선택은 상기 제1 사용자에 의해 행해지는 것인, 계측 시스템.
  5. 제1항에 있어서,
    상기 컴퓨터 판독 가능 명령어들은,
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 프로세스 시뮬레이션 툴에 의해 생성된 출력 파일을 수신하게 하기 위한 코드; 및
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 출력 파일로부터 독립적인 파라미터값들의 상기 제1 세트를 결정하게 하기 위한 코드
    를 더 포함하는 것인, 계측 시스템.
  6. 제1항에 있어서,
    상기 제1 서브구조체의 제1 재사용 가능한 파라미터적 모델의 복수의 이산화점들(discretization points)은, 상기 하나 이상의 컴퓨터 시스템의 부동 소수점 정밀도 내에서 제2 서브구조체의 제2 재사용 가능한 파라미터적 모델의 복수의 이산화점들과 정렬되는 것인, 계측 시스템.
  7. 제1항에 있어서,
    상기 컴퓨터 판독 가능 명령어들은,
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 제2 반도체 디바이스의 제1 서브구조체를 기술하기 위해 제2 사용자에 의한 상기 제1 재사용 가능한 파라미터적 모델의 선택의 표시를 수신하게 하기 위한 코드;
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 제2 반도체 디바이스의 제2 서브구조체를 기술하기 위해 상기 제2 사용자에 의한 제3 재사용 가능한 파라미터적 모델의 선택의 표시를 수신하게 하기 위한 코드 - 상기 제3 재사용 가능한 파라미터적 모델은 독립적인 파라미터값들의 제3 세트에 의해 완전히 정의됨 -;
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 제1 재사용 가능한 파리미터적 모델과 상기 제3 재사용 가능한 파라미터적 모델의 조합에 적어도 부분적으로 기초하여 제2 측정 모델을 결정하게 하기 위한 코드; 및
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 제2 측정 모델을 메모리에 저장하게 하기 위한 코드
    를 더 포함하는 것인, 계측 시스템.
  8. 제1항에 있어서,
    상기 컴퓨터 판독 가능 명령어들은,
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 하나 이상의 계측 타겟의 제1 측정 모델의 선택의 표시를 수신하게 하기 위한 코드;
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 제3 재사용 가능한 파라미터적 모델의 선택의 표시를 수신하게 하기 위한 코드 - 상기 제3 재사용 가능한 파라미터적 모델은 독립적인 파라미터값들의 제3 세트에 의해 완전히 정의됨 -;
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 제1 측정 모델과 상기 제3 재사용 가능한 파라미터적 모델의 조합에 적어도 부분적으로 기초하여 제2 측정 모델을 결정하게 하기 위한 코드; 및
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 제2 측정 모델을 메모리에 저장하게 하기 위한 코드
    를 더 포함하는 것인, 계측 시스템.
  9. 제1항에 있어서,
    상기 컴퓨터 판독 가능 명령어들은,
    상기 하나 이상의 컴퓨터 시스템으로 하여금, 상기 하나 이상의 계측 타겟의 서브구조체의 부분을 상기 제1 사용자에게 표시하는 것으로부터 은폐(hide)하게 하기 위한 코드를 더 포함하는 것인, 계측 시스템.
  10. 제1항에 있어서,
    상기 하나 이상의 계측 타겟의 적어도 일부분의 제1 재사용 가능한 파라미터적 모델은, 기하학적 특징부, 및 특정 측정 용례(application)에 특유한 기하학적 특징부들 사이의 상호관계를 포함하는 것인, 계측 시스템.
  11. 계측 시스템에 있어서,
    하나 이상의 계측 타겟에 조명광량을 제공하도록 구성된 조명 소스;
    상기 조명광량에 응답하여 상기 하나 이상의 계측 타겟으로부터 집광된 광량을 수신하도록 구성된 검출기;
    하나 이상의 컴퓨터 시스템 - 상기 하나 이상의 컴퓨터 시스템은,
    검출된 광과 연관된 측정 데이터량을 수신하고;
    상기 측정 데이터량에 대한 상기 하나 이상의 계측 타겟의 측정 모델의 피팅에 기초하여 상기 측정 모델의 하나 이상의 파라미터를 결정하도록 구성되고, 상기 측정 모델은 상기 하나 이상의 계측 타겟의 적어도 일부분의 제1 재사용 가능한 파라미터적 모델을 포함함 -; 및
    컴퓨터 판독가능한 비일시적 저장 매체 상에 저장된 컴퓨터 판독가능 명령어들을 포함하는 계측 모델 빌딩 툴
    을 포함하고, 상기 컴퓨터 판독가능 명령어들은,
    컴퓨터로 하여금, 사용자에 의한 복수의 원시(primitive) 기하학적 모델링 요소들의 선택의 표시를 수신하게 하기 위한 코드;
    상기 컴퓨터로 하여금, 다른 원시 기하학적 모델링 요소들에 대해 상기 복수의 원시 기하학적 모델링 요소들 각각의 원하는 위치를 나타내는 상기 사용자로부터의 표시를 수신하게 하기 위한 코드;
    상기 컴퓨터로 하여금, 상기 복수의 원시 기하학적 모델링 요소들의 원하는 파라미터화를 나타내는 상기 사용자로부터의 표시를 수신하게 하기 위한 코드;
    상기 컴퓨터로 하여금, 상기 복수의 원시 기하학적 요소들의 조합에 기초하여 상기 제1 재사용 가능한 파라미터적 모델을 결정하게 하기 위한 코드 - 상기 제1 재사용 가능한 파라미터적 모델은 상기 원하는 파라미터화와 연관된 독립적인 파라미터값들의 제1 세트에 의해 완전히 정의됨 -; 및
    상기 컴퓨터로 하여금, 상기 제1 재사용 가능한 파라미터적 모델을 메모리에 저장하게 하기 위한 코드
    를 포함하는 것인, 계측 시스템.
  12. 제11항에 있어서,
    상기 제1 재사용 가능한 파라미터적 모델의 결정은, 상기 복수의 원시 기하학적 모델링 요소들을, 독립적인 파라미터값들의 상기 제1 세트에 의해 완전히 정의되는 재사용 가능한 파라미터적 모델에 완전히 일체화하는 제약 관계들의 세트를 생성하는 것을 수반하는 것인, 계측 시스템.
  13. 제11항에 있어서,
    상기 컴퓨터 판독 가능한 명령어들은,
    상기 컴퓨터로 하여금, 상기 사용자에 의한 상기 제1 재사용 가능한 파라미터적 모델의 선택의 표시를 수신하게 하기 위한 코드;
    상기 컴퓨터로 하여금, 상기 사용자에 의한 상기 하나 이상의 계측 타겟의 또 다른 부분의 제2 재사용 가능한 파라미터적 모델의 선택의 표시를 수신하게 하기 위한 코드 - 상기 제2 재사용 가능한 파라미터적 모델은 복수의 기하학적 요소들을 포함하고 독립적인 파라미터값들의 제2 세트에 의해 완전히 정의됨 -;
    상기 컴퓨터로 하여금, 상기 제1 재사용 가능한 파라미터적 모델과 상기 제2 재사용 가능한 파라미터적 모델의 조합에 적어도 부분적으로 기초하여 상기 하나 이상의 계측 타겟의 측정 모델을 결정하게 하기 위한 코드; 및
    상기 컴퓨터로 하여금, 상기 측정 모델을 메모리에 저장하게 하기 위한 코드
    를 더 포함하는 것인, 계측 시스템.
  14. 제13항에 있어서,
    상기 컴퓨터 판독 가능한 명령어들은,
    상기 컴퓨터로 하여금, 제1 사용자로부터의 독립적인 파라미터값들의 상기 제1 세트의 표시를 수신하게 하기 위한 코드; 및
    상기 컴퓨터로 하여금, 상기 제1 사용자로부터의 독립적인 파라미터값들의 상기 제2 세트의 표시를 수신하게 하기 위한 코드
    를 더 포함하는 것인, 계측 시스템.
  15. 제13항에 있어서,
    상기 컴퓨터 판독 가능한 명령어들은,
    상기 컴퓨터로 하여금, 프로세스 시뮬레이션 툴에 의해 생성된 출력 파일을 수신하게 하기 위한 코드; 및
    상기 컴퓨터로 하여금, 상기 출력 파일로부터 독립적인 파라미터값들의 상기 제1 세트를 결정하게 하기 위한 코드
    를 더 포함하는 것인, 계측 시스템.
  16. 제13항에 있어서,
    상기 측정 모델은, 독립적인 파라미터값들의 상기 제1 세트 및 독립적인 파라미터값들의 상기 제2 세트 중 적어도 일부를 포함하는 독립적인 파라미터값들의 제3 세트에 의해 완전히 정의되는 것인, 계측 시스템.
  17. 제11항에 있어서,
    상기 컴퓨터 판독 가능 명령어들은,
    상기 컴퓨터로 하여금, 상기 하나 이상의 계측 타겟의 서브구조체의 일부를 상기 사용자에게 표시하는 것으로부터 은폐하게 하기 위한 코드를 더 포함하는 것인, 계측 시스템.
  18. 계측 시스템에 있어서,
    하나 이상의 계측 타겟에 조명광량을 제공하도록 구성된 조명 소스;
    상기 조명광량에 응답하여 상기 하나 이상의 계측 타겟으로부터 집광된 광량을 수신하도록 구성된 검출기; 및
    하나 이상의 컴퓨터 시스템
    을 포함하고, 상기 하나 이상의 컴퓨터 시스템은,
    검출된 광과 연관된 측정 데이터량을 수신하고;
    상기 측정 데이터량에 대한 상기 하나 이상의 계측 타겟의 측정 모델의 피팅에 기초하여 상기 측정 모델의 하나 이상의 파라미터를 결정하도록
    구성되고, 상기 측정 모델은 상기 하나 이상의 계측 타겟의 제1 서브구조체의 제1 재사용 가능한 파라미터적 모델을 포함하고, 상기 제1 재사용 가능한 파라미터적 모델은 복수의 기하학적 요소들을 포함하며, 독립적인 파라미터값들의 제1 세트에 의해 완전히 정의되는 것인, 계측 시스템.
  19. 제18항에 있어서,
    상기 측정 모델은 상기 하나 이상의 계측 타겟의 제2 서브구조체의 제2 재사용 가능한 파라미터적 모델을 또한 포함하고, 상기 제2 재사용 가능한 파라미터적 모델은 복수의 기하학적 요소들을 포함하고, 독립적인 파라미터값들의 제2 세트에 의해 완전히 정의되는 것인, 계측 시스템.
  20. 제19항에 있어서,
    상기 제1 서브구조체의 제1 재사용 가능한 파라미터적 모델의 복수의 이산화점들은, 상기 하나 이상의 컴퓨터 시스템의 부동 소수점 정밀도 내에서 상기 제2 서브구조체의 제2 재사용 가능한 파라미터적 모델의 복수의 이산화점들과 정렬되는 것인, 계측 시스템.
KR1020167020031A 2014-01-15 2015-01-14 재사용 가능한 서브구조체를 포함하는 반도체 디바이스 모델 KR102142178B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461927832P 2014-01-15 2014-01-15
US61/927,832 2014-01-15
US14/594,917 2015-01-12
US14/594,917 US9553033B2 (en) 2014-01-15 2015-01-12 Semiconductor device models including re-usable sub-structures
PCT/US2015/011487 WO2015109035A1 (en) 2014-01-15 2015-01-14 Semiconductor device models including re-usable sub-structures

Publications (2)

Publication Number Publication Date
KR20160108365A KR20160108365A (ko) 2016-09-19
KR102142178B1 true KR102142178B1 (ko) 2020-08-06

Family

ID=53521602

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167020031A KR102142178B1 (ko) 2014-01-15 2015-01-14 재사용 가능한 서브구조체를 포함하는 반도체 디바이스 모델

Country Status (7)

Country Link
US (1) US9553033B2 (ko)
JP (1) JP6379206B2 (ko)
KR (1) KR102142178B1 (ko)
CN (1) CN105917454B (ko)
IL (1) IL246566B (ko)
TW (1) TWI638993B (ko)
WO (1) WO2015109035A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130245985A1 (en) * 2012-03-14 2013-09-19 Kla-Tencor Corporation Calibration Of An Optical Metrology System For Critical Dimension Application Matching
US10340165B2 (en) * 2016-03-29 2019-07-02 Kla-Tencor Corporation Systems and methods for automated multi-zone detection and modeling
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10817999B2 (en) * 2017-07-18 2020-10-27 Kla Corporation Image-based overlay metrology and monitoring using through-focus imaging
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
CN111837226B (zh) * 2018-03-05 2024-03-08 科磊股份有限公司 三维半导体结构的可视化
US11036898B2 (en) * 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US12013355B2 (en) 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090037013A1 (en) 2007-05-02 2009-02-05 Mks Instruments, Inc. Automated Model Building and Model Updating
US20090306941A1 (en) 2006-05-15 2009-12-10 Michael Kotelyanskii Structure Model description and use for scatterometry-based semiconductor manufacturing process metrology
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7355728B2 (en) 2005-06-16 2008-04-08 Timbre Technologies, Inc. Optical metrology model optimization for repetitive structures
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7484198B2 (en) * 2006-02-27 2009-01-27 Synopsys, Inc. Managing integrated circuit stress using dummy diffusion regions
US7518740B2 (en) * 2006-07-10 2009-04-14 Tokyo Electron Limited Evaluating a profile model to characterize a structure to be examined using optical metrology
US7877722B2 (en) * 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
US7895548B2 (en) * 2007-10-26 2011-02-22 Synopsys, Inc. Filler cells for design optimization in a place-and-route system
GB0818308D0 (en) * 2008-10-07 2008-11-12 Helic S A Expert system-based integrated inductor synthesis and optimization
US8214771B2 (en) 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
US8381140B2 (en) * 2011-02-11 2013-02-19 Tokyo Electron Limited Wide process range library for metrology
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
JP5969915B2 (ja) * 2012-05-28 2016-08-17 株式会社日立ハイテクノロジーズ 微細パターンの断面形状測定方法及びその装置
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090306941A1 (en) 2006-05-15 2009-12-10 Michael Kotelyanskii Structure Model description and use for scatterometry-based semiconductor manufacturing process metrology
US20090037013A1 (en) 2007-05-02 2009-02-05 Mks Instruments, Inc. Automated Model Building and Model Updating
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology

Also Published As

Publication number Publication date
JP2017507479A (ja) 2017-03-16
US20150199463A1 (en) 2015-07-16
US9553033B2 (en) 2017-01-24
CN105917454B (zh) 2018-08-24
KR20160108365A (ko) 2016-09-19
TWI638993B (zh) 2018-10-21
CN105917454A (zh) 2016-08-31
IL246566B (en) 2019-03-31
WO2015109035A1 (en) 2015-07-23
JP6379206B2 (ja) 2018-08-22
TW201531694A (zh) 2015-08-16

Similar Documents

Publication Publication Date Title
KR102142178B1 (ko) 재사용 가능한 서브구조체를 포함하는 반도체 디바이스 모델
JP6783298B2 (ja) モデルベースのホットスポットモニタリング
KR102415145B1 (ko) 다중 패턴화 파라미터의 측정
KR102274476B1 (ko) 다중 처리 단계로부터의 정보로 반도체 계측
EP2979297B1 (en) Statistical model-based metrology
KR102245695B1 (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
KR102245698B1 (ko) 다중 패턴화 프로세스의 계측
TWI688829B (zh) 用於基於影像之疊對量測之信號回應計量
US8843875B2 (en) Measurement model optimization based on parameter variations across a wafer
KR102013483B1 (ko) 파라미터 추적을 위한 계측 시스템 최적화
KR20160002968A (ko) 온-디바이스 계측
KR20240060748A (ko) 스캐닝 조건부 모델에 기반한 반도체 프로파일 측정

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right