KR101992500B1 - 라이브러리 기반 임계 치수 메트롤로지를 위한 정확하고 신속한 신경망 학습 - Google Patents

라이브러리 기반 임계 치수 메트롤로지를 위한 정확하고 신속한 신경망 학습 Download PDF

Info

Publication number
KR101992500B1
KR101992500B1 KR1020137026272A KR20137026272A KR101992500B1 KR 101992500 B1 KR101992500 B1 KR 101992500B1 KR 1020137026272 A KR1020137026272 A KR 1020137026272A KR 20137026272 A KR20137026272 A KR 20137026272A KR 101992500 B1 KR101992500 B1 KR 101992500B1
Authority
KR
South Korea
Prior art keywords
pca
spectral
threshold
learning
library
Prior art date
Application number
KR1020137026272A
Other languages
English (en)
Other versions
KR20140017588A (ko
Inventor
웬 진
비 유옹
준웨이 바오
리에 콴 리
레오니드 포스라브스키
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20140017588A publication Critical patent/KR20140017588A/ko
Application granted granted Critical
Publication of KR101992500B1 publication Critical patent/KR101992500B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • Computing Systems (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Molecular Biology (AREA)
  • Artificial Intelligence (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Image Analysis (AREA)

Abstract

라이브러리 기반 임계 치수(CD) 메트롤로지를 위한 정확한 신경망 학습에 대한 접근법이 설명된다. 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습에 대한 접근법도 또한 설명된다.

Description

라이브러리 기반 임계 치수 메트롤로지를 위한 정확하고 신속한 신경망 학습{ACCURATE AND FAST NEURAL NETWORK TRAINING FOR LIBRARY-BASED CRITICAL DIMENSION(CD) METROLOGY}
본 발명의 실시예는 광학 메트롤로지 분야이며, 보다 자세하게는 라이브러리 기반 임계 치수(CD) 메트롤로지를 위한 정확하고 신속한 신경망 학습에 관한 접근법에 관한 것이다.
스캐터로메트리(scatterometry)로 일반적으로 칭해지는 광학 메트롤로지 기술은, 제조 공정 동안 작업편(workpiece)의 파라미터를 특징화하기 위한 잠재력을 제공한다. 실제로, 광은 작업편에 형성된 주기 격자로 향하고, 반사광의 스펙트럼이 측정되고 분석되어 격자 파라미터를 특징화한다. 특징화 파라미터는, 재료의 반사율과 굴절률을 달성하는 임계 치수(critical dimension, CD), 측벽 기울기(sidewall angle, SWA), 피쳐 높이(feature height, HT) 등을 포함할 수도 있다. 따라서, 격자의 특징화는, 격자와 작업편의 형성시 채용된 제조 공정은 물론, 작업편을 특징화시킬 수도 있다.
과거 수년동안, RCWA(rigorous couple wave approach) 및 유사 알고리즘이 회절 구조의 연구 및 설계에 광범위하게 사용되어 왔다. RCWA 접근법에서, 주기적 구조의 프로파일은 주어진 수의 충분히 얇은 평면의 격자 슬랩(slab)에 의하여 근사화된다. 구체적으로, RCWA는 3개의 주 동작, 즉 격자 내 필드의 푸리에 전개, 회절 신호를 특징화하는 상계수 행렬의 고유치(eigenvalue)와 고유벡터(eigenvector)의 계산, 및 경계 정합 조건으로부터 추론된 선형 시스템의 솔루션을 수반한다. RCWA는 문제점을 3개의 구별되는 공간 영역, 1) 모든 반사된 회절 차수에 걸친 서메이션(summation) 및 입사면 파동장을 지지하는 주위 영역, 2) 파동장이 각 회절 차수와 연관된 모드의 중첩으로서 처리되는 하지 비패턴층 및 격자 구조, 및 3) 전달파 필드를 포함하는 기판으로 구분한다.
RCWA 솔루션의 정확성은, 부분적으로는, 일반적으로 만족되고 있는 에너지를 보존하여, 파동장의 공간 고조파 확장에서 유지된 항(term)의 수에 의존한다. 유지된 항의 수는, 계산시 고려되는 회절 차수의 수의 함수이다. 주어진 가상 프로파일에 대한 시뮬레이션된 회절 신호의 효율적인 생성은, 회절 신호의 횡단 자기(transverse-magnetic)(TM) 및/또는 횡단 전기(transverse-electric)(TE) 성분 모두에 대하여 각 파장의 회절 차수의 최적 세트의 선택을 수반한다. 수학적으로, 더 많은 회절 차수가 선택될수록, 시뮬레이션이 더욱 정확하다. 그러나, 회절 차수의 수가 높을수록, 시뮬레이션된 회절 신호를 계산하는 데 보다 많은 계산이 요구된다. 또한, 계산 시간은 사용된 차수의 수의 비선형 함수이다.
본 발명의 실시예는, 라이브러리 기반 CD 메트롤로지를 위한 정확하고 신속한 신경망 학습에 대한 접근법을 포함한다.
실시예에서, 라이브러리 기반 CD 메트롤로지를 위한 정확한 신경망 학습의 방법은, 주성분(principal component, PC)값을 제공하기 위하여 스펙트럼 데이터 세트의 주성분 분석(principal component analysis, PCA)에 대한 임계값을 최적화하는 단계를 포함한다. 하나 이상의 신경망에 대한 학습 목표가 추정된다. 하나 이상의 신경망은, PCA에 대한 임계값을 최적화하는 단계로부터 제공된 PC값과 학습 목표 모두에 기초하여 학습된다. 스펙트럼 라이브러리가 하나 이상의 학습된 신경망에 기초하여 제공된다.
다른 실시예에서, 기계 액세스 가능한 기억 매체는, 데이터 처리 시스템이 라이브러리 기반 CD 메트롤로지를 위한 정확한 신경망 학습의 방법을 수행하게 하는 기억된 명령을 포함한다. 본 방법은, 주성분(PC)값을 제공하기 위하여 스펙트럼 데이터 세트의 주성분 분석(PCA)에 대한 임계값을 최적화하는 단계를 포함한다. 하나 이상의 신경망에 대한 학습 목표가 추정된다. 하나 이상의 신경망은, PCA에 대한 임계값을 최적화하는 단계로부터 제공된 PC값과 학습 목표 모두에 기초하여 학습된다. 스펙트럼 라이브러리가 하나 이상의 학습된 신경망에 기초하여 제공된다.
실시예에서, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습의 방법은, 제1 신경망에 대한 학습 목표를 제공하는 단계를 포함한다. 제1 신경망이 학습된다. 본 학습은, 미리 결정된 수의 뉴런으로 시작하는 단계와, 뉴런의 최적 총수가 도달될 때까지 뉴런수를 반복하여 증가시키는 단계를 포함한다. 본 학습과 뉴런의 최적 총수에 기초하여 제2 신경망이 생성된다. 제2 신경망에 기초하여 스펙트럼 라이브러리가 제공된다.
다른 실시예에서, 기계 액세스 가능한 기억 매체는, 데이터 처리 시스템이 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습의 방법을 수행하게 하는 기억된 명령을 포함한다. 본 방법은 제1 신경망에 대한 학습 목표를 제공하는 단계를 포함한다. 제1 신경망이 학습된다. 본 학습은, 미리 결정된 수의 뉴런으로 시작하는 단계와, 뉴런의 최적 총수가 도달될 때까지 뉴런수를 반복하여 증가시키는 단계를 포함한다. 본 학습과 뉴런의 최적 총수에 기초하여 제2 신경망이 생성된다. 제2 신경망에 기초하여 스펙트럼 라이브러리가 제공된다.
도 1은, 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 정확한 신경망 학습의 예시적인 일련의 동작을 나타내는 흐름도를 도시한다.
도 2a는 오프 상태에서 동적 증가 샘플 라이브러리 접근법과 정합하는 라이브러리 회귀를 나타내는 플롯이다.
도 2b는 본 발명의 실시예에 따라, 온 상태에서 동적 증가 샘플 라이브러리 접근법과 정합하는 라이브러리 회귀를 나타내는 플롯이다.
도 2c는 본 발명의 실시예에 따라, 종래 대 증가하는 라이브러리 샘플 사이즈와 비교한 3 시그마 오차 범위의 한쌍의 플롯을 포함한다.
도 3은 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습의 예시적인 일련의 동작을 나타내는 흐름도이다.
도 4a는 본 발명의 실시예에 따라, 2개 은닉층 신경망을 도시한다.
도 4b는 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습에 대한 실제 응답 표면의 매트랩(Matlab) 플롯을 도시한다.
도 4c는 본 발명의 실시예에 따라, 증분(incremental) 알고리즘과 원샷 르벤버그 마쿼트(Levenberg-Marquardt) 알고리즘의 수렴 내력을 비교하는 플롯이다.
도 4d는 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습에 대한 성능 비교를 도시하는 플롯이다.
도 4e는 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습에 대한 하나의 스펙트럼의 결과들을 비교하는 한쌍의 플롯을 포함한다.
도 4f는 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습에 대한 제2 스펙트럼의 결과들을 비교하는 한쌍의 플롯을 포함한다.
도 5는 본 발명의 실시예에 따라, 스펙트럼 정보의 라이브러리를 생성하기 위한 예시적인 신경망의 선택 요소를 도시한다.
도 6a는 본 발명의 실시예에 따라, x-y면에서 변동하는 프로파일을 갖는 주기 격자를 도시한다.
도 6b는 본 발명의 실시예에 따라, y 방향이 아니라, x 방향으로 변동하는 프로파일을 갖는 주기 격자를 도시한다.
도 7은 본 발명의 실시예에 따라, 자동 처리 및 장비 제어를 위한 구조 파라미터를 결정하고 사용하는 예시적인 일련의 동작을 나타내는 흐름도이다.
도 8은 본 발명의 실시예에 따라, 자동 처리 및 장비 제어를 위한 구조 파라미터를 결정하고 사용하기 위한 시스템의 예시적인 블록도이다.
도 9는 본 발명의 실시예에 따라, 반도체 웨이퍼의 구조 프로파일을 결정하기 위한 광학 메트롤로지의 사용을 도시하는 구조도이다.
도 10은 본 발명의 실시예에 따라, 예시적인 컴퓨터 시스템의 블록도를 도시한다.
도 11은 본 발명의 실시예에 따라, 샘플 스펙트럼으로 시작하여 파라미터화된 모델과 스펙트럼 라이브러리를 형성하기 위한 방법의 동작을 나타내는 흐름도이다.
라이브러리 기반 CD 메트롤로지를 위한 정확하고 신속한 신경망 학습에 대한 접근법을 여기에 설명한다. 다음 설명에서, 본 발명의 실시예의 완전한 이해를 제공하기 위하여, 신경망의 예와 같은 다수의 특정 상세가 나타나 있다. 본 발명의 실시예는 이들 특정 상세없이 실행될 수도 있다는 것은 당업자에게 명백할 것이다. 다른 경우에서, 본 발명의 실시예를 불필요하게 모호하게 하지 않기 위하여, 격자 구조 제조에 수반되는 동작과 같은 공지된 처리 동작은 상세히 설명되지 않는다. 또한, 도면에 도시된 다양한 실시예는 예시적인 표현이며, 반드시 일정한 비로 도시되지 않았다는 것이 이해되어야 한다.
반도체 및 관련 구조의 복잡성의 증가로, 광학 임계 치수 시뮬레이션을 위한 라이브러리 형성은, 다수의 최근 애플리케이션에 대하여 양호한 정확성을 획득한다라는 도전적인 문제에 직면한다. 예컨대, 사용자는 상이한 라이브러리를 형성하는 데 수 주일을 보낼 수도 있어, 참조 메트롤로지에 대한 양호한 라이브러리 회귀 정합 및 양호한 총 메트롤로지 불확도(total metrology uncertainty, TMU)를 달성하는 데 어려움이 있다. 본 발명의 태양은, 높은 정확성의, 그러나 작은 라이브러리 크기와 사용자를 위한 신속한 솔루션을 갖는 라이브러리를 제공할 수도 있다. 사용자는, 과잉의 상이한 라이브러리를 형성하는 데 수 주일을 보낼 필요가 없을 수도 있고, 또한 양호한 정확성을 획득할 수도 있다.
반복성 성질을 갖는 다수의 학습 방법이 라이브러리 개발 및 비교를 위하여 실행되어 왔다. 이들 방법은 르벤버그 마쿼트, 백 프로퍼게이션(back-propagation), 및 N2X 알고리즘의 변화를 포함한다. 이러한 접근법의 쟁점은, 이들이 상당히 시간 소모적일 수 있다는 것일 것이다. 뉴런수가 올바르다고 추측되면, 알고리즘은 다수회 반복하여 수렴할 것이다. 뉴런수가 너무 작으면, 알고리즘은, 알고리즘이 최대 반복수에 달할 때까지 수렴하지 않고, 정지하지 않을 것이다.
본 발명의 태양에서, 정확한 신경망 학습 접근법이 제공된다. 도 1은 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 정확한 신경망 학습에 대한 예시적인 일련의 동작을 나타내는 흐름도(100)를 도시한다.
흐름도(100)의 동작(102)을 참조하여, 방법은 스펙트럼 데이터 세트의 주성분 분석(PCA)에 대한 임계값을 최적화하는 단계를 포함한다. 실시예에서, 이 최적화하는 단계는 주성분(PC)값을 제공하는 것이다. 실시예에서, 이 최적화하는 단계는 PCA에 의하여 도입된 오차를 최소화하는 것이다. 스펙트럼 데이터 세트는, 이하에 더욱 상세히 설명되는 바와 같이, 격자 구조의 회절 측정으로부터 기인된 측정된 또는 시뮬레이션된 스펙트럼에 기초할 수도 있다.
본 발명의 실시예에 따르면, PCA 임계값은 자동으로 최적화된다. 이 최적화는 후속 신경망 학습에 PCA에 의하여 도입된 오차를 최소화할 수도 있다. 예컨대, 종래의 접근법은 통상적으로, 예컨대 대략 10-5의 크기를 갖는 PCA 도입된 오차와 함께, PCA 임계값에 대한 상수값을 이용한다. 일 실시예에서, PCA에 대한 임계값을 최적화하는 단계는, 최저 레벨 스펙트럼 도메인을 결정하는 단계를 포함한다. 이러한 일 특정 실시예에서, PCA 도입된 오차는 10-5 미만의 크기를 갖고, 예컨대 대략 10-8 내지 10-9의 크기를 갖는다.
일 실시예에서, PCA에 대한 임계값을 최적화하는 단계는, 제1 PCA 임계값을 결정하는 단계를 포함한다. 예컨대, 주어진 PCA 임계값 또는 분수(fraction number)는 t=10-5의 임계값에 설정될 수도 있다. PCA는 스펙트럼 데이터 세트에 적용된다. 예컨대, PCA는 스펙트럼 데이터 세트(S)에 적용되어, PC 값 P = T*S(여기서, T는 행렬)를 얻는다. PCA를 적용함으로써 도입된 스펙트럼 오차가 계산된다. 예컨대, △S = S - T'*P 이며, 여기서 T'는 T의 전치(transpose)이다. 다음, 스펙트럼 오차는 스펙트럼 노이즈 레벨과 비교된다. 일 실시예에서, 스펙트럼 노이즈 레벨은 광학 임계 치수(optical critical dimension, OCD) 하드웨어 사양 정보에 기초한다. 하드웨어 사양 정보는, 이하의 도 9와 연관되어 설명된 시스템과 같은 하드웨어와 연관될 수도 있다.
스펙트럼 오차를 스펙트럼 노이즈 레벨에 비교하면, 다음의 기준이 적용될 수도 있다. ε는 디폴트로서 주어진 기준 또는 스펙트럼 노이즈 레벨이고, △S < ε이면 출력 t, 그렇지 않으면 t=t/10이고, 최적화가 반복된다. 따라서, 일 실시예에서, 스펙트럼 오차가 스펙트럼 노이즈 레벨보다 작으면, 제1 PCA 임계값은 PC 값에 설정된다. 다른 실시예에서, 스펙트럼 오차가 스펙트럼 노이즈 레벨 이상이면, 제2 PCA 임계값이 결정되고, 적용하고, 계산하고, 비교하는 것이 반복된다.
실시예에서, PCA에 대한 학습 목표를 최적화하는 단계는, 뮐러(Mueller) 도메인 오차 공차를 사용하는 단계를 포함한다. 예컨대, 라이브러리 학습에서 현재 기술에서, 각 PCA에 대한 오차 목표는 10-5에 설정될 수도 있다. 이러한 값에 오차 목표의 설정에 대한 명백한 이유는 없고, PCA 10-5 오차 목표와 연관된 스펙트럼 오차 간에 어떠한 관계는 없다. 그리고, 스펙트럼으로의 PCA의 기여가 상이할 수도 있으므로, 모든 PCA를 동일한 오차 목표에 설정하는 것은 반드시 유리한 것은 아니다. 다음의 예시적인 접근법에서, 뮐러 도메인 오차 공차는 각 PCA 도메인 오차 공차로 변환되고, PCA 학습 목표로서 설정된다.
학습 전에, 신경망 학습 프로파일이 뮐러로 변환된다. 뮐러 성분(Mueller Elements, ME)으로부터, 학습 샘플 데이터에 기초하여 각 파장에 대하여 정규화가 수행된다. 다음, PCA가 정규화된 뮐러 성분(normalized Mueller Elements, NME)에 수행되어, 학습을 위한 PC 신호를 얻는다. 따라서, j번째 파장 뮐러 성분(Mij)에서의 i번째 샘플은 다음과 같이 쓸수 있다.
Figure 112013090028502-pct00001
PC# : 총 PC 번호
Std j , Mean j : j번째 파장 표준 편차 및 평균값
모든 샘플 I에 대하여, PCip에 동일 인자로 항상 곱하여 뮐러 성분을 형성한다. Mij 오차 공차가 0.001에 설정되면, p번째 PC는 다음과 같은 에러 버짓(error budget)을 가질 것이다.
Figure 112013090028502-pct00002
여기서, ETp는 p번째 주성분값의 오차 공차이다. 학습 동안, 각 PC는 그 자신의 학습 목표를 가질 것이고, 학습 오차 목표를 만족하기 위하여, 네트워크에 대하여 뉴런(neuron) 번호가 증가된다.
흐름도(100)의 동작(104)을 참조하면, 본 방법은, 하나 이상의 신경망에 대한 학습 목표를 추정하는 단계를 더 포함한다.
본 발명의 실시예에 따르면, 각 신경망에 대하여 보다 정확한 학습 목표가 사용된다. 이러한 일 실시예에서, 각 신경망에 대한 학습 목표를 추정하기 위하여, PCA와 정규화가 고려된다. 실시예에서, PCA 변환과 하드웨어 신호 노이즈 레벨에 기초하여 학습 목표가 추정된다.
흐름도(100)의 동작(106)을 참조하여, 본 방법은, 학습 목표 및 PC 값에 기초하여 하나 이상의 신경망을 학습하는 단계를 더 포함한다.
본 발명의 실시예에 따라, 과훈련(overtraining) 검출 및 제어가 수행된다. 본 접근법은, 르벤버그-마쿼트(LM) 반복 동안 뉴런 수를 증가시키고 과훈련하면서, 과훈련을 검출하고 제어하는 데 사용될 수도 있다. 실시예에서, 학습은 또한, 상기 동작(104)의 학습 목표에 기초한다. 본 학습은 하나보다 많은 최적화된 PC값에, 그리고 가능성있게는 많은 최적화된 PC값에 기초할 수도 있다. 또한, 비최적화된 PC값과 최적화된 PC값의 조합이 사용될 수도 있다.
흐름도(100)의 동작(108)을 참조하면, 본 방법은, 하나 이상의 학습된 신경망에 기초하여 스펙트럼 라이브러리를 제공하는 단계를 더 포함한다. 실시예에서, 스펙트럼 라이브러리는 고 정확성의 스펙트럼 라이브러리이다.
본 발명의 실시예에 따르면, 양호한 일반화를 갖는 고 정확성의 라이브러리가 제공된다. 이러한 일 실시예에서, 각 학습 출력 도메인에 대한 개별 오차 목표에 기초하여, 동적 증가 뉴런 번호 방법은, 학습 세트 오차 및 검증 세트 오차 방법 모두를 체크함으로써 전개되어, 높은 정확성과 양호한 일반화의 뉴런 네트 기반 라이브러리를 갖는다. 이전 뉴런 번호 반복 가중은, 학습의 속도를 올리기 위하여 현재 신경망 구조에 대한 초기 가중으로서 이용될 수도 있다.
예시적인 실시예에서, 본 방법은, CD 메트롤로지에 대하여 전체 라이브러리 학습 방법에서 몇몇 상이한 영역에서 뉴런 네트 학습을 향상시키기 위하여 개발되었다. 테스팅으로부터, 라이브러리 회귀 정합에 실질적인 개선이 달성되었다. 예컨대, 라이브러리 오차 범위(3-시그마 오차 범위와 같은)는, 이전 학습 접근법으로부터 생성된 라이브러리보다 10배보다 많이 더 작을 수 있다. 오차의 범위는, 훨씬 더 작은 학습 세트를 실행하면서, 정밀 레벨에 근접할 수도 있다. 동적 증가 샘플 라이브러리 접근법의 사용은, 개선된 수렴 행동 대 종래 방법을 제공할 수도 있고, 개선된 라이브러리를 형성하기 위하여 훨씬 더 작은 샘플 세트만을 요할 수도 있다. 동적 증가 샘플 라이브러리 접근법의 이득은, 정밀 레벨까지의 고 정확성, 보다 작은 라이브러리 크기, 및 최종 솔루션으로서 사용자가 상당히 양호한 라이브러리를 획득하는 신속한 솔루션이다.
도 2a는 오프 상태에서 동적 증가 샘플 라이브러리 접근법과의 라이브러리 회귀 정합을 나타내는 플롯(200)이다. 도 2b는, 본 발명의 실시예에 따라, 온 상태에서 동적 증가 샘플 라이브러리 접근법과의 라이브러리 회귀 정합을 나타내는 플롯(210)이다. 도 2a 및 도 2b를 참조하여, 동적 증가 샘플 라이브러리 접근법으로, 양호한 정합을 달성하는 데 온이 현저하게 더 적은 샘플(예컨대, 8000 대 30000)이 요구된다.
도 2c는, 본 발명의 실시예에 따라, 라이브러리 샘플 크기의 증가와 비교하여 3-시그마 오차 범위의 한 쌍의 플롯(220, 230)을 포함한다. 도 2c를 참조하여, 3-시그마 오차 범위는 동일한 크기에 응답하여 변한다(예컨대, 동적 증가 샘플 라이브러리 접근법 오프, 5시간, 종래 접근법; 대 동적 증가 샘플 라이브러리 접근법 온, 3시간, 본 발명의 실시예). 따라서, 동적 증가 샘플 라이브러리 접근법을 사용하여, 원하는 결과를 달성하기 위한 시간이 현저히 단축될 수도 있다.
실시예에서, 흐름도(100)를 다시 참조하여, 고 정확성의 스펙트럼 라이브러리는 시뮬레이션된 스펙트럼을 포함하고, 흐름도(100)와 연관되어 설명된 방법은, 시뮬레이션된 스펙트럼을 샘플 스펙트럼에 비교하는 동작을 더 포함한다. 일 실시예에서, 시뮬레이션된 스펙트럼은 한 세트의 공간 고조파 차수로부터 획득된다. 일 실시예에서, 샘플 스펙트럼은, 물리적 참조 샘플 또는 물리적 생산 샘플과 같은(그러나 이들에 제한되지 않은) 구조로부터 수집된다. 실시예에서, 비교는 회귀 계산을 사용함으로써 수행된다. 일 실시예에서, 하나 이상의 비미분(non-differential) 신호는 계산시 동시에 사용된다. 하나 이상의 비미분 신호는, 방위각, 입사각, 편광자/분석자 각도, 또는 추가적인 측정 목표와 같은(그러나 이들에 제한되지 않은) 것일 수도 있다.
본 발명의 다른 실시예에서, 신속한 신경망 학습 접근법이 제공된다. 도 3은, 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습에 대한 예시적인 일련의 동작을 나타내는 흐름도(300)를 도시한다. 도 4a 내지 도 4f는 도 3에 도시된 방법의 태양을 더 설명한다.
흐름도(300)의 동작(302)을 참조하면, 방법은, 제1 신경망에 대한 학습 목표를 제공하는 단계를 포함한다. 흐름도(300)의 동작(304)을 참조하여, 제1 신경망이 학습되고, 이 학습은, 미리 결정된 수의 뉴런으로 시작하는 단계와, 뉴런의 최적화 총수가 도달될 때까지 뉴런수를 반복하여 증가시키는 단계를 포함한다. 흐름도(300)의 동작(306)을 참조하여, 본 학습과 뉴런의 최적화 총수에 기초하여 제2 신경망이 생성된다. 뉴런의 최적화 총수에 도달하기 위하여, 이러한 많은 반복이 수행될 수도 있다는 것이 이해되어야 한다. 흐름도(300)의 동작(308)을 참조하여, 제2 신경망에 기초하여 공간 라이브러리가 제공된다.
실시예에서, "신속한(fast)" 학습에 관하여, 피드 포워드 신경망이 사용되어, 비선형 매핑 함수(F)를 실행하여 y
Figure 112013090028502-pct00003
F(p)이다. 비선형 매핑 함수(F)는, 주어진 프로파일과 연관시키기 위하여 스펙트럼 또는 스펙트럼들을 결정할 때, 메타 모델(meta-model)로서 사용된다. 이 결정은, 계산 시간과 비용에 관하여 비교적 빠르게 수행될 수도 있다. 일 실시예에서, 함수는 한 세트의 학습 데이터(pi, yi)를 갖는 학습 과정에서 결정된다. 신경망은 임의의 비선형 함수를 근사화하는 데 사용될 수도 있다. 예컨대, 도 4a는, 본 발명의 실시예에 따라, 2개 은닉층 신경망을 도시한다.
도 4a를 참조하여, 입력 p로부터 출력 y로의 참 매핑 함수(Ftrue(p))는, 수학식 1에 따라 수학적 방법으로 2개 은닉층 신경망(400)으로 근사화될 수 있다.
Figure 112013090028502-pct00004
여기서, G1 및 G2는 비선형 함수이다. 한 세트의 학습 데이터(pi, yi)가 주어져, F(p)가 Ftrue(p)를 가장 잘 나타내도록 하는 한 세트의 W, h, d, e, vT 및 q를 구하는 것을 학습으로 칭한다. 이 학습은, 수학식 2에 따라, 평균 제곱 오차(mean squared error)를 최소화하기 위한 최적화 문제를 푸는 것으로서 볼 수 있다.
Figure 112013090028502-pct00005
이에 따르는 질문 또는 결정은, (1)은닉층에서 얼마나 많은 수의 뉴런이 사용되어야 하는가? 및 (2) 규정된 정확성을 갖기 위하여 신경망이 어떻게 학습되어야 하는가?를 포함한다.
뉴런 수의 결정에 관하여, 상기 첫번째 질문에 답하는 2개의 방법이 있다. 제1 방법은 휴리스틱(heuristic) 접근법이다. 휴리스틱 접근법은, 사용될 뉴런의 최소수 및 최대수에 대하여, 2개 번호 세트(18, 30)를 설정하는 단계를 포함한다. 학습 데이터에 대한 주성분의 수가 25이하이면, 18개 뉴런이 사용된다. 주성분의 수가 80 이상이면, 30개 뉴런이 사용된다. 주성분의 수가 그 사이에 있으면, 선형 보간이 사용되어 적합한 뉴런 수를 결정한다. 휴리스틱 접근법에 대한 하나의 잠재적 쟁점은, 주성분의 수가 사용되어야 할 뉴런의 수와 관련이 없다는 것일 것이다.
상기 첫번째 질문에 답을 결정하기 위한 제2 방법은, 다양한 학습 방법과 연관된 방법이다. 먼저, 사용될 수 있는 뉴런의 최대수가, 예컨대 수를 Mmax로서 나타내어 추정된다. 다음, 다음의 반복 과정이 사용되어, 뉴런의 수를 결정하고 대응하는 네트워크를 훈련시킨다: m=10을 설정한 후, (1) m개 뉴런으로 네트워크를 학습시키고; 접근법이 수렴하면, 정지하고, 그렇지 않으면 (2) (m+5)가 Mmax보다 크면, 정지하고, 그렇지 않으면 (3) m을 5만큼 증분시키고 동작(1)로 간다. 그러나, 상기 방법은 상당히 시간 소모적일 수 있다.
비선형 함수를 맞추기 위해 피드 포워드 신경망에서 뉴런의 최적수의 추측은 NP 완료 문제이다(즉, 다항 시간 복잡도(polynomial-time complexity)를 갖는 알려진 솔루션을 갖지 않는 문제점의 클래스). 따라서, 본 발명의 실시예에 따라, 이하에 더욱 상세히 설명된 바와 같이, 신속한 최적화 방법은, 지정된 정확성을 제공할 것인 뉴런의 최적화 수가 결정될 때까지, 학습 동안 네트워크에서 뉴런의 수를 점진적으로 증가시키는 단계를 포함한다.
알고리즘 설명에 관하여, 실시예에서, 증분 학습 알고리즘은, 신경망을 학습시키기 위한 알고리즘을 이용하는 합성 방법이다. 일 실시예에서, 변형된 르벤버그 마쿼트 알고리즘이 사용된다. 문제점에 대한 원래의 르벤버그 마쿼트 알고리즘은 다음과 같이 간략히 설명된다: 야코비안 J = 8F/8W를 나타내고, 여기서 w는, 신경망에 대한 W, h, d, e, vT, q에서의 요소이다. 반복 i에서 J를 평가하고, δw를 구하여, 다음과 같이 된다.
Figure 112013090028502-pct00006
여기서 I는 단위 행렬(identity matrix)이고, μ는 모든 반복시 조정된 스케일링 상수이다. w를 wi +1=wi+δw로 갱신한다. 비용을 신 w'로 평가하고, 비용이 규정된 값(예컨대, 10-5)보다 작으면, 정지한다. 그렇지 않으면, 반복수가 규정된 수(예컨대, 200)보다 클 때까지 다음 반복을 계속한다. 다시 말하면, 알고리즘이 반복을 정지할 것인 가능한 경우가 2가지 있다. 첫번째는, 비용 함수가 규정된 값보다 작은 경우이다. 두번째는, 반복수가 반복의 최대수보다 큰 경우이다. 일 고찰은, 르벤버그 마쿼트 알고리즘이 처음 수십(10's)회 반복에서 비용 감소에 상당히 효과적이라는 것(평균 제곱값)이다. 그 후, 감소율은 현저히 느려진다.
대신, 실시예에서, 여기서 적용을 위한 상기 르벤버그 마쿼트 알고리즘의 변형은 정지 기준에서 행해진다. 즉, 추가의 하나의 기준이 추가된다: 비용이 'r' 연속 반복에 대하여 이전 반복의 비용과 비교하여 x%만큼 감소하지 않으면, 정지한다. 부가적인 기준은 언더 피팅(under-fitting)을 검출하기 위하여 수행된다. 다음, 증분 학습 알고리즘이 제시된다; 주어진 학습 세트(pi, yi)가 입력과 다수의 뉴런 n으로서 제공되고, 2개 은닉층 네트워크의 가중이 출력으로서 제공된다. 이로부터, 다음의 동작이 실행된다; (1) 뉴런의 최대수가 추정되고, 예컨대 Nmax로 나타낸다. (2) 뉴런의 수 n이 4이도록 설정된다. (3) 응웬-위드로우(Nguyen-Widrow) 알고리즘이 사용되어 가중(w's)을 초기화한다. (4) n< Nmax이면서, (a) 네트워크는 변형된 르벤버그 마쿼트 접근법을 사용하여 학습된다. (b) 비용이 규정된 값보다 작으면, 결정이 정지된다. (c) 비용이 'r' 연속 시도에서 이전 비용과 비교하여 x%만큼 감소되지 않으면, 결정이 정지된다. (d) 선택적으로, 검증 데이터 세트가 사용된다: 검증 데이터의 오차가 t 연속 시도동안 증가하면, 결정이 정지된다. (e) n이 n+2에 설정되고, 신 신경망은 구 신경망에서 학습된 가중을 사용함으로써 구성된다. 다음, 난수가 신 가중에 할당되고, 동작(4)의 (a)~(e)가 반복된다.
르벤버그 마쿼트의 변형은 신속한 학습 접근법에 대하여 중요할 수도 있다는 것이 이해되어야 한다. 이 변형은, 알고리즘이 감소율이 작을 때 정지하고, 대신 뉴런수를 증가시킨다는 것을 허용할 수도 있다. 즉, 변형은 언더 피팅 검출에 상당한다. 실제로, 일 실시예에서, x=10과 r=4가 양호한 선택인 것을 알 수 있다. 변형이 적절히 행해진다면, 르벤버그 마쿼트 이외의 알고리즘이 사용될 수 있다. 동작(4e)에 관하여, 동작은, 검색이, 르벤버그 마쿼트와 같은 이들 구배 기반 최적화 알고리즘에 의하여 종종 유도되는 국소 최저치로부터 벗어나는(jump out of) 것을 허용한다. 르벤버그 마쿼트 접근법은 가중에 대한 양호한 세트의 개시값을 제공한다. 상기 동작(4c 및 4d)은 보다 다수의 뉴런으로 과학습을 방지하는 방법이다.
실시예에서, 증분 알고리즘의 추가의 확장은, 생 데이터(raw data)가 학습된다는 단순성에 대하여 상정하여, 다음과 같이 실행될 수 있으며, 주성분 대신, 상이한 프로파일의 뮐러 성분을 포함한다. 추가의 확장은 다음 세트의 동작으로 설명될 수 있다: (1) 한 세트의 프로파일 Np이 주어져, 프로파일로부터 하나의 뮐러 성분으로의 비선형 매핑을 나타내기 위하여 상기 신 알고리즘을 사용하여 신경망이 학습된다. (2) Np+=δNp 접근법이 사용되어, Np 프로파일로 정의된 네트워크를 학습하고, (a) Np+=δNp 접근법이 정체되면, 뉴런의 수가 증가되어 비선형 매핑을 보다 정확하게 나타낸다. (b) Np+=δNp 접근법이 수렴되면, 현재 네트워크가 사용된다. (3) 현재 신경망 모델의 정확성이 비선형 매핑에 대하여 평가되고, (a) 원하는 정확성이 만족되면, 본 방법은 여기서 정지된다. (b) 그렇지 않으면, 프로파일의 수는 δNp만큼 증가되고, 본 방법은 다시 동작(2)로 되돌아 가는 단계를 포함한다.
도 4b는, 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습에 대한 실제 응답 표면의 매트랩 플롯(410)을 도시한다. 도 4b를 참조하여, 2개의 미지수를 갖는 함수가 사용되어, 할톤 의사 난수 생성기(Halton quasi-random number generator)를 사용하여 100개 검증 샘플과 함께 1000개 학습 샘플을 생성한다.
도 4c는, 본 발명의 실시예에 따라, 증분 알고리즘과 원샷 르벤버그 마쿼트 알고리즘의 수렴 내력을 비교하는 플롯(420)이다. 도 4c를 참조하여, 증분 학습 알고리즘의 수렴 내력은 원래의 르벤버그 마쿼트 알고리즘(본 방법은 각 은닉층에서의 뉴런의 추정수, 예컨대 12개 뉴런으로 원샷 학습을 제공하므로, 원샷 방법으로 이름이 붙혀짐)과 비교된다. 플롯(420)의 증분 학습부는 4e의 동작으로 인하여 스파이크(spike)를 나타내나, 알고리즘은 이전 레벨로 돌아가 비용 저감에 상당히 효과적이다. 원샷 방법이 정체되고, 200회 반복 내에 수렴되지 않는다. 르벤버그 마쿼트의 1회 반복의 계산 복잡성은 O(n6)이며, 여기서, n은 2개 은닉층 네트워크에서의 뉴런의 수이다. 증분 알고리즘에 대하여, 실행 시간은 뉴런의 수의 최종값의 학습에 의하여 지배된다. 따라서, 계획된 증분 알고리즘의 성능은, 증분 학습의 최종 스테이지에서의 반복수와 원샷 방법에서 사용된 반복수를 비교함으로써, 원샷 방법보다 양호한 계산 차수(order magnitude)일 수도 있다.
도 4d는 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습에 대한 성능 비교를 도시하는 플롯(430)이다. 도 4d를 참조하여, 2차원 테스팅 예에서, 정상부 치수에 대한 자유도, 바닥부 치수에 대한 자유도, 및 높이에 대한 2개 자유도인 4개 자유도가 있다. 이들 파라미터의 범위도 도시되어 있다. RCWA 시뮬레이션에 대하여 자동 절단 차수(automatic truncation order)가 사용된다. 251개 파장이 있다. 동적 증가 샘플 라이브러리 접근법이 인에이블되고, 라이브러리를 생성하기 위하여 14,000개 보다 많은 프로파일이 생성되었다.
도 4e는, 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습에 대한 하나의 스펙트럼에 대한 결과를 비교하는 한쌍의 플롯(440, 450)을 포함한다. 도 4e를 참조하여, 단순성을 위하여, 각 스테이지의 종료시 비용값만이 표시되었다. 증분 학습으로, 애플리케이션은 127회 총 반복으로 수렴되고, 뉴런의 최종수는 22였다. 지배부인 최종 스테이지에서 7회 반복만이 있었다는 것에 주목바란다. 동적 증가 샘플 라이브러리를 사용하는 학습 알고리즘으로, 본 접근법은 712회 반복으로 수렴되고, 뉴런의 최종수는 25였다. 본 접근법은 최종 스테이지에서 111회 반복을 사용했다는 것에 주목바란다.
도 4f는, 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습에 대한 제2 스펙트럼에 대한 결과를 비교하는 한쌍의 플롯(460, 470)을 포함한다. 도 4f를 참조하여, 도 4e와 동일한 테스팅 예에서 다른 스펙트럼에 대한 결과를 나타낸다. 증분 학습으로, 본 접근법은 40의 뉴런의 최종수에 대하여 240회 총 반복을 요했고, 최종 스테이지만 9회 반복을 사용했다. 종래의 학습 방법으로, 본 접근법은 1200회 반복후에 수렴하지 않았다.
보다 일반적으로, 본 발명의 적어도 일부 실시예에 관련하여, 신경망을 위하여 신 학습 방법을 알아냈다. 알고리즘의 실행 동안, 은닉층에 대한 뉴런의 최적화수가 결정된다. 알고리즘은, 특히 뉴런의 올바른 수를 추정할 수 있으면, 원샷 르벤버그 마쿼트보다 신속한 계산 차수일 수 있다. 알고리즘은 종래의 접근법보다 신속한 계산 차수일 수도 있다. 일 실시예에서, 은닉층에서의 뉴런의 최적화수가 결정된다. 일 실시예에서, 상기 접근법은 상당히 신속한 방식으로 네트워크를 학습시킨다.
실시예에서, 흐름도(300)을 다시 참조하면, 생성된 스펙트럼 라이브러리는 시뮬레이션된 스펙트럼을 포함하고, 흐름도(300)와 연관되어 설명된 방법은, 시뮬레이션된 스펙트럼을 샘플 스펙트럼에 비교하는 동작을 더 포함한다. 일 실시예에서, 시뮬레이션된 스펙트럼은, 한 세트의 공간 고조파 차수로부터 획득된다. 일 실시예에서, 샘플 스펙트럼은, 물리적 참조 샘플 또는 물리적 생산 샘플과 같은(그러나, 여기에 제한되지 않은) 구조로부터 수집된다. 실시예에서, 회귀 계산을 사용함으로써 비교가 수행된다. 일 실시예에서, 하나 이상의 비미분 신호가 계산시 동시에 사용된다. 하나 이상의 비미분 신호는, 방위각, 입사각, 편광자/분석자 각도, 또는 부가적인 측정 목표와 같은(그러나, 여기에 제한되지 않은) 것일 수도 있다.
임의의 적합한 신경망이 흐름도(100, 300)와 연관하여 설명된 하나 이상의 접근법을 수행하는 데 사용될 수도 있다. 예로서, 도 5는, 본 발명의 실시예에 따라, 스펙트럼 정보의 라이브러리를 생성하기 위한 예시적인 신경망의 선택 요소를 도시한다.
도 5를 참조하여, 신경망(500)은 백프로퍼게이션 알고리즘을 사용한다. 신경망(500)은, 입력층(502), 출력층(504), 및 입력층(502)과 출력층(504) 사이의 은닉층(506)을 포함한다. 입력층(502)와 은닉층(506)은 링크(508)를 사용하여 연결된다. 은닉층(506)과 출력층(504)은 링크(510)를 사용하여 연결된다. 그러나, 신경망(500)은, 신경망 기술에서 통상적으로 알려진 각종 구성에서 연결된 임의수의 층을 포함할 수 있다는 것이 인식되어야 한다.
도 5에 도시된 바와 같이, 입력층(502)은 하나 이상의 입력 노드(512)를 포함한다. 본 예시적인 실행에서, 입력층(502)에서의 입력 노드(512)는, 신경망(500)에 입력된 프로파일 모델의 프로파일 파라미터에 대응한다. 따라서, 입력 노드(512)의 수는, 프로파일 모델을 특징화하는 데 사용된 프로파일 파라미터의 수에 대응한다. 예컨대, 프로파일 모델이 2개 프로파일 파라미터(예컨대, 정상부 및 바닥부 임계 치수)를 사용하여 특징화되면, 입력층(502)은 2개 입력 노드(512)를 포함하고, 제1 입력 노드(512)는 제1 프로파일 파라미터(예컨대, 정상부 임계 치수)에 대응하고, 제2 입력 노드(512)는 제2 프로파일 파라미터(예컨대, 바닥부 임계 치수)에 대응한다.
신경망(500)에서, 출력층(504)은 하나 이상의 출력 노드(514)를 포함한다. 본 예시적인 실행에서, 각 출력 노드(514)는 선형 함수이다. 그러나, 각 출력 노드(514)는 각종 함수일 수 있다는 것이 인식되어야 한다. 부가적으로, 본 예시적인 실행에서, 출력층(504)에서의 출력 노드(514)는, 신경망(500)으로부터 출력된 시뮬레이션된 회절 신호의 치수에 대응한다. 따라서, 출력 노드(514)의 수는 시뮬레이션된 회절 신호를 특징화하는 데 사용된 치수의 수에 대응한다. 예컨대, 시뮬레이션된 회절 신호가, 예컨대 5개 상이한 파장에 대응하는 5개 치수를 사용하여 특징화되면, 출력층(504)은 5개 출력 노드(514)를 포함하고, 제1 출력 노드(514)는 제1 치수(예컨대, 제1 파장)에 대응하고, 제2 출력 노드(514)는 제2 치수(예컨대, 제2 파장)에 대응하는 등이다. 부가적으로, 증가된 성능에 대하여, 신경망(500)은, 시뮬레이션된 회절 신호의 분리된 성분 및/또는 시뮬레이션된 회절 신호의 성분의 치수에 기초하여 복수의 서브 네트워크로 분리될 수 있다.
신경망(500)에서, 은닉층(506)은 하나 이상의 은닉 노드(516)를 포함한다. 본 예시적인 실행에서, 각 은닉 노드(516)는 S자형 전달 함수 또는 방사형 기저 함수이다. 그러나, 각 은닉 노드(516)는 각종 함수일 수 있다는 것이 인식되어야 한다. 부가적으로, 본 예시적인 실행에서, 은닉 노드(516)의 수는 출력 노드(514)의 수에 기초하여 결정된다. 보다 자세하게는, 은닉 노드(516)의 수(m)는 미리 결정된 비(r=m/n)에 의하여 출력 노드(514)의 수(n)에 관련된다. 예컨대, r=10일 때, 각 출력 노드(514)에 대하여 10개 은닉 노드(516)가 있다. 그러나, 미리 결정된 비는 은닉 노드(516)의 수에 대한 출력 노드(514)의 수의 비일 수 있다(즉, r=n/m)라는 것이 인식되어야 한다. 부가적으로, 신경망(500)에서의 은닉 노드(516)의 수는, 미리 결정된 비에 기초하여 은닉 노드(516)의 초기수가 결정된 후, 조정될 수 있다는 것이 인식되어야 한다. 또한, 신경망(500)에서의 은닉 노드(516)의 수는, 미리 결정된 비에 기초한다기보다, 경험 및/또는 실험에 기초하여 결정될 수 있다.
상기 설명된 바와 같은 라이브러리는, 실시예에서, 2차원 또는 3차원 격자 구조의 개별 피쳐의 하나 이상의 파라미터를 포함할 수도 있다. "3차원 격자 구조"의 용어는 여기서, z 방향의 깊이 외에, 2차원으로 변하는 x-y 프로파일을 갖는 구조로 칭하는 것으로 사용된다. 예컨대, 도 6a는 본 발명의 실시예에 따라, x-y 평면에서 변하는 프로파일을 갖는 주기 격자(600)를 도시한다. 주기 격자의 프로파일은 x-y 프로파일의 함수로서 z 방향으로 변한다.
"2차원 격자 구조"의 용어는 여기서, z 방향의 깊이 외에, 1차원으로만 변하는 x-y 프로파일을 갖는 구조로 칭하는 것으로 사용된다. 예컨대, 도 6b는 본 발명의 실시예에 따라, y 방향으로가 아니라 x 방향으로 변하는 프로파일을 갖는 주기 격자(602)를 도시한다. 주기 격자의 프로파일은 x 프로파일의 함수로서 z 방향으로 변한다. 2차원 구조에 대하여 y 방향으로의 변화의 결핍은 비한정적일 필요가 없으나, 패턴 내의 임의의 균열은 긴 범위로서 간주되고, 예컨대 y 방향으로의 패턴의 임의의 균열은 x 방향으로의 패턴의 균열보다 실질적으로 더 멀리 이격되어 있다는 것이 이해되어야 한다.
개별 피쳐가 2차원 또는 3차원 격자 구조인 실시예에서, 제1 파라미터는, 개별 피쳐의 폭, 높이, 길이, 상부 코너 라운딩, 바닥 푸팅(bottom footing), 또는 측면 기울기와 같은(그러나, 여기에 한정되지 않는) 것이다. 웨이퍼 구조에서의 굴절률 및 흡광 계수(n & k)와 같은 재료의 광학 특성은 또한 광학 메트롤로지에서 사용을 위해 모델링될 수도 있다.
실시예에서, 흐름도(100, 300)의 방법으로부터 제공되는 바와 같은 스펙트럼 라이브러리의 사용에 관하여, 하나의 이러한 방법은, 스펙트럼 라이브러리에서의 시뮬레이션된 파라미터와의 일치 또는 비일치에 기초하여 프로세스 툴의 파라미터를 변경하는 단계를 포함한다. 프로세스 툴의 파라미터의 변경은, 피드백 기술, 피드 포워드 기술, 및 인 시츄(in situ) 제어 기술과 같은(그러나, 여기에 한정되지 않는) 기술을 사용함으로써 수행될 수도 있다. 실시예에서, 스펙트럼 라이브러리는 CD 메르롤로지 툴 레시피에서 기하학 구조 및 장치 구조 프로파일을 보다 정확하게 설정하는 데 사용될 수 있다. 실시예에서, 스펙트럼 라이브러리는 CD 메트롤로지 툴 검증, 진단 및 특징화의 일부로서 사용된다.
상술된 바와 같이, 스펙트럼 라이브러리의 사용은, 시뮬레이션된 스펙트럼을 샘플 스펙트럼에 비교하는 단계를 포함할 수도 있다. 일 실시예에서, 한 세트의 회절 차수는, 2 또는 3차원 격자 구조로부터 엘립소메트릭(ellipsometric) 광학 메트롤로지 시스템에 의하여 생성된 회절 신호를 나타내도록 시뮬레이션된다. 이러한 광학 메트롤로지 시스템은 도 9와 연관하여 이하에 설명된다. 그러나, 동일한 개념 및 원리가 반사 측정(reflectometric) 시스템과 같은, 다른 광학 메트롤로지 시스템에 적용된다는 것이 이해되어야 한다. 나타낸 회절 신호는, 프로파일, 치수, 또는 재료 구성과 같은(그러나, 여기에 한정되지 않는) 2 또는 3차원 격자 구조의 피쳐를 설명할 수도 있다.
계산 기반 시뮬레이션 회절 차수는, 패터닝된 반도체막 또는 포토레지스트층과 같은 패터닝된 막에 대한 프로파일 파라미터를 나타낼 수도 있고, 자동 처리 또는 장비 제어를 캘리브레이팅(calibrating)하기 위하여 사용될 수도 있다. 도 7은, 본 발명의 실시예에 따라, 자동 처리 및 장비 제어를 위한, 프로파일 파라미터와 같은 스펙트럼 파라미터를 결정하고 이용하기 위한 예시적인 일련의 동작을 타나내는 흐름도(700)를 도시한다.
흐름도(700)의 동작(702)을 참조하면, 스펙트럼 라이브러리 또는 학습된 기계 학습 시스템(machine learning system, MLS)이 한 세트의 측정된 회절 신호로부터 프로파일 파라미터를 추출하도록 전개된다. 동작(704)에서, 구조의 적어도 하나의 프로파일 파라미터는 스펙트럼 라이브러리 또는 학습된 MLS를 이용하여 결정된다. 동작(706)에서, 적어도 하나의 프로파일 파라미터는 처리 동작을 수행하도록 구성된 제조 클러스터로 전송되고, 이 처리 동작은 측정 동작(704)이 행해지기 전 또는 후에 반도체 제조 처리 흐름에서 실행될 수도 있다. 동작(708)에서, 적어도 하나의 전송된 프로파일 파라미터는, 제조 클러스터에 의하여 수행된 처리 동작에 대한 처리 변수 또는 장비 설정을 변형시키는 데 사용된다.
기계 학습 시스템 및 알고리즘의 보다 상세한 설명에 대해서는, 2003년 6월 27일 출원되며, 발명의 명칭이 "OPTICAL METROLOGY OF STRUCTURES FORMED ON SEMICONDUCTOR WAFERS USING MACHINE LEARNING SYSTEMS"인 미국 특허 제7,831,528호를 참조바라며, 그 전체가 여기서 참조용으로 사용되었다. 2차원 반복 구조에 대한 회절 차수 최적화의 설명에 대해서는, 2006년 3월 24일 출원되며, 발명의 명칭이 "OPTIMIZATION OF DIFFRACTION ORDER SELECTION FOR TWO-DIMENSIONAL STRUCTURES"인 미국 특허 제7,428,060호를 참조바라며, 그 전체가 여기서 참조용으로 사용되었다.
도 8은, 본 발명의 실시예에 따라, 자동 처리 및 장비 제어를 위하여, 프로파일 파라미터와 같은 구조 파라미터를 결정하고 이용하기 위한 시스템(800)의 예시적인 블록도이다. 시스템(800)은 제1 제조 클러스터(802)와 광학 메트롤로지 시스템(804)을 포함한다. 시스템(800)은 또한, 제2 제조 클러스터(806)를 포함한다. 제2 제조 클러스터(806)는, 제1 제조 클러스터(802)에 후속하는 것으로서 도 8에 도시되었지만, 제2 제조 클러스터(806)는 시스템(800)에서(그리고, 예컨대 제조 처리 흐름에서) 제1 제조 클러스터(802) 이전에 위치될 수 있다는 것이 인식되어야 한다.
웨이퍼에 도포된 포토레지스트층을 노광하고 현상하는 것과 같은 포토리소그래픽 처리는, 제1 제조 클러스터(802)를 사용하여 수행될 수 있다. 일 예시적인 실시예에서, 광학 메트롤로지 시스템(804)은 광학 메트롤로지 툴(808) 및 프로세서(810)를 포함한다. 광학 메트롤로지 툴(808)은 구조로부터 얻은 회절 신호를 측정하도록 구성된다. 측정된 회절 신호와 시뮬레이션된 회절 신호가 정합되면, 프로파일 파라미터의 하나 이상의 값은 시뮬레이션된 회절 신호와 연관된 프로파일 파라미터의 하나 이상의 값이도록 결정된다.
일 예시적인 실시예에서, 광학 메트롤로지 시스템(804)은 또한, 복수의 시뮬레이션된 회절 신호와, 복수의 시뮬레이션된 회절 신호와 연관된 하나 이상의 프로파일 파라미터의 복수의 값을 갖는 스펙트럼 라이브러리(812)를 포함할 수 있다. 상술된 바와 같이, 스펙트럼 라이브러리는 미리 생성될 수 있다. 메트롤로지 프로세서(810)는, 구조로부터 얻은 측정된 회절 신호를, 스펙트럼 라이브러리에서의 복수의 시뮬레이션된 회절 신호와 비교할 수 있다. 정합되는 시뮬레이션된 회절 신호를 찾으면, 스펙트럼 라이브러리에서의 정합되는 시뮬레이션된 회절 신호와 연관된 프로파일 파라미터의 하나 이상의 값은, 구조를 제작하기 위하여 웨이퍼 애플리케이션에서 사용된 프로파일 파라미터의 하나 이상의 값이도록 상정된다.
시스템(800)은 또한, 메트롤로지 프로세서(816)를 포함한다. 일 예시적인 실시예에서, 프로세서(810)는, 하나 이상의 프로파일 파라미터의 하나 이상의 값을 프로세서(816)에 전송할 수 있다. 다음, 메트롤로지 프로세서(816)는, 광학 메트롤로지 시스템(804)을 사용하여 결정된 하나 이상의 프로파일 파라미터의 하나 이상의 값에 기초하여 제1 제조 클러스터(802)의 장비 설정 또는 하나 이상의 프로세스 파라미터를 조정할 수 있다. 메트롤로지 프로세서(816)는 또한, 광학 메트롤로지 시스템(804)을 사용하여 결정된 하나 이상의 프로파일 파라미터의 하나 이상의 값에 기초하여 제2 제조 클러스터(806)의 장비 설정 또는 하나 이상의 프로세스 파라미터를 조정할 수 있다. 상술된 바와 같이, 제2 제조 클러스터(806)는 제1 제조 클러스터(802)의 전 또는 후에 웨이퍼를 처리할 수 있다. 다른 예시적인 실시예에서, 프로세서(810)는, 기계 학습 시스템(814)으로의 입력으로서 한세트의 측정된 회절 신호와, 기계 학습 시스템(814)의 예상 출력으로서 프로파일 파라미터를 이용하여, 기계 학습 시스템(814)을 학습시키도록 구성된다.
도 9는, 본 발명의 실시예에 따라, 반도체 웨이퍼 상의 구조의 프로파일을 결정하기 위한 광학 메트롤로지의 이용을 도시하는 구조도이다. 광학 메트롤로지 시스템(900)은, 웨이퍼(908)의 목표 구조(906)에서 메트롤로지 빔(904)를 투과하는 메트롤로지 빔 소스(902)를 포함한다. 메트롤로지 빔(904)은 목표 구조(906)를 향하여 입사각(θ)으로 투과된다. 회절 빔(910)은 메트롤로지 빔 수신기(912)에 의하여 측정된다. 회절 빔(914)은 프로파일 애플리케이션 서버(916)에 전송된다. 프로파일 애플리케이션 서버(916)는, 측정된 회절 빔 데이터(914)를, 목표 구조의 임계 치수와 해상도의 조합의 변화를 나타내는 시뮬레이션된 회절 빔 데이터의 스펙트럼 라이브러리(918)에 대하여 비교한다.
본 발명의 실시예에 따르면, 시뮬레이션된 회절 빔 데이터의 적어도 일부는, 2개 이상의 방위각에 대하여 결정된 차이에 기초한다. 본 발명의 다른 실시예에 따르면, 시뮬레이션된 회절 빔 데이터의 적어도 일부는 2개 이상의 입사각에 대하여 결정된 차이에 기초한다. 일 예시적인 실시예에서, 측정된 회절 빔 데이터(914)와 가장 잘 정합하는 스펙트럼 라이브러리(918) 경우가 선택된다. 회절 스펙트럼 또는 신호와 연관된 가상 프로파일의 스펙트럼 라이브러리가 개념 및 원리를 설명하는 데 빈번히 사용되어도, 본 발명은, 프로파일 추출을 위하여 사용된 회귀, 신경망, 및 유사한 방법에서와 같이, 시뮬레이션된 회절 신호와, 연관된 프로파일 파라미터의 세트를 포함하는 스펙트럼 데이터 공간에 동등하게 적용된다는 것이 이해되어야 한다. 선택된 스펙트럼 라이브러리(916) 경우의 가상 프로파일 및 연관된 임계 치수는, 목표 구조(906)의 피쳐의 실제 단면 프로파일과 임계 치수에 대응하는 것으로 상정된다. 광학 메트롤로지 시스템(900)은, 회절 빔 또는 신호를 측정하기 위하여, 리프렉토미터, 엘립소미터, 또는 다른 광학 메트롤로지 장치를 이용할 수도 있다.
스펙트럼 라이브러리(918)에 기억된 한세트의 프로파일 모델은, 한세트의 프로파일 파라미터를 사용하여 프로파일 모델을 특징화한 후, 변화하는 형상 및 치수의 프로파일 모델을 생성하기 위하여 한세트의 프로파일 파라미터를 변화시킴으로써 생성될 수 있다. 한세트의 프로파일 파라미터를 사용하는 프로파일 모델을 특징화하는 처리를 파라미터화(parameterizing)로 칭한다. 예컨대, 프로파일 모델은, 각각 그 높이와 폭을 정의하는 프로파일 파라미터(h1, w1)에 의하여 특징화될 수 있다고 상정한다. 프로파일 모델의 부가적인 형상 및 피쳐는, 프로파일 파라미터의 수를 증가시킴으로써 특징화될 수 있다. 예컨대, 프로파일 모델은, 각각 그 높이, 바닥폭, 및 정상부폭을 정의하는 프로파일 파라미터(h1, w1, w2)에 의하여 특징화될 수 있다. 프로파일 모델의 폭은 임계 치수(CD)로서 칭할 수 있다는 것에 주목바란다. 예컨대, 프로파일 파라미터(w1, w2)는, 프로파일 모델의, 각각 바닥 CD 및 정상부 CD를 정의하는 것으로서 설명될 수 있다. 각종 프로파일 파라미터는, 입사각(AOI), 피치, n & k, 하드웨어 파라미터(예컨대, 편광자 각도)를 포함하는(그러나, 여기에 한정되지 않는) 프로파일 모델을 특징화하는 데 사용될 수 있다.
상술된 바와 같이, 스펙트럼 라이브러리(918)에 기억된 한세트의 프로파일 모델은, 프로파일 모델을 특징화하는 프로파일 파라미터를 변화시킴으로써 생성될 수 있다. 예컨대, 프로파일 파라미터(h1, w1, w2)를 변화시킴으로써, 변화하는 형상 및 치수의 프로파일 모델이 생성될 수 있다. 1개, 2개, 또는 모든 3개 프로파일 파라미터가 서로에 관하여 변할 수 있다는 것에 주목바란다. 이러한 것으로서, 정합하는 시뮬레이션된 회절 신호와 연관된 프로파일 모델의 프로파일 파라미터는, 조사되고 있는 구조의 피쳐를 결정하는 데 사용될 수 있다. 예컨대, 바닥부 CD에 대응하는 프로파일 모델의 프로파일 파라미터가, 조사되고 있는 구조의 바닥부 CD를 결정하는 데 사용될 수 있다.
본 발명의 실시예는 다양한 막 스택에 적합할 수도 있다. 예컨대, 실시예에서, 막 스택은 단일층 또는 복수층을 포함한다. 또한, 실시예에서, 분석된 또는 측정된 격자 구조는 3차원 성분 및 2차원 성분 모두를 포함한다. 예컨대, 시뮬레이션된 회절 데이터에 기초한 계산의 효율성은, 모든 구조와 그 회절 데이터로의 2차원 성분에 의한 보다 간단한 기여를 이용함으로써 최적화될 수도 있다.
본 발명의 실시예의 설명을 용이하게 하기 위하여, 엘립소메트릭 광학 메트롤로지 시스템은, 상기 개념 및 원리를 설명하는 데 사용된다. 동일한 개념 및 원리가, 반사 측정 시스템과 같은 다른 광학 메트롤로지 시스템에 동일하게 적용된다는 것이 이해되어야 한다. 유사한 방식으로, 반도체 웨이퍼가 개념의 적용을 설명하기 위하여 이용될 수도 있다. 다시, 본 방법 및 프로세스는, 반복 구조를 갖는 다른 작업편(work piece)에 동일하게 적용된다. 실시예에서, 광학 스캐터로메트리는, 광학 스펙트로스코픽 엘립소메트리(spectroscopic ellipsometry, SE), 빔 프로파일 리플렉토메트리(beam profile reflectometry, BPR), 및 향상된 자외선 리플렉토메트리(enhanced ultra-violet reflectometry, eUVR)와 같은(그러나, 여기에 한정되지 않는) 기술이다.
본 발명은, 본 발명에 따른 처리를 수행하는 컴퓨터 시스템(또는 다른 전자 장치)을 프로그래밍하는 데 사용될 수도 있는 명령이 내부에 기억된 기계 판독 가능한 매체를 포함할 수도 있는 컴퓨터 프로그램 제품 또는 소프트웨어로서 제공될 수도 있다. 기계 판독 가능한 매체는 기계(예컨대, 컴퓨터)에 의하여 판독 가능한 형태로 정보를 기억하거나 전송하기 위한 임의의 메카니즘을 포함한다. 예컨대, 기계 판독 가능(예컨대, 컴퓨터 판독 가능) 매체는, 기계(예컨대, 컴퓨터) 판독 가능 기억 매체(예컨대, 리드 온리 메모리("ROM"), 랜덤 액세스 메모리("RAM"), 자기 디스크 기억 매체, 광학 기억 매체, 플래시 메모리 장치 등), 기계(예컨대, 컴퓨터) 판독 가능 전송 매체(전파 신호(예컨대, 반송파, 적외선 신호, 디지털 신호 등)의 전기, 광학, 음향 또는 다른 형태) 등을 포함한다.
도 10은, 기계가 여기서 논의된 임의의 하나 이상의 방법을 수행하도록 하기 위하여, 한세트의 명령이 실행될 수도 있는 컴퓨터 시스템(1000)의 예시적인 형태의 기계의 개략 도면을 도시한다. 대안적인 실시예에서, 기계는, LAN(Local Area Network), 인트라넷, 엑스트라넷, 또는 인터넷(Internet)으로 다른 기계에 접속(예컨데 네트워킹될 수도 있다. 기계는, 클라이언트-서버 네트워크 환경에서 서버 또는 클라이언트 기계의 자격으로 또는 P2P(peer-to-peer)(또는 분배된) 네트워크 환경에서 피어 머신으로서 동작할 수도 있다. 기계는, 퍼스널 컴퓨터(PC), 태블릿 PC, 셋탑 박스(STB), PDA(Personal Digital Assistant), 셀룰러 전화, 웹 어플라이언스(web appliance), 서버, 네트워크 라우터, 스위치 또는 브릿지, 또는 그 기계에 의하여 취해지는 동작을 특정하는 한세트의 명령(연속적인 또는 그 반대이든)을 실행할 수 있는 임의의 기계일 수도 있다. 또한, 단지 단일의 기계가 도시되었으나, "기계(machine)"이라는 용어는 또한, 여기서 논의된 임의의 하나 이상의 방법을 수행하는 한세트의(또는 복수 세트의) 명령을 개별적으로 또는 공동으로 실행하는 기계(예컨대, 컴퓨터)의 임의의 집합을 포함하는 것으로 사용될 것이다.
예시적인 컴퓨터 시스템(1000)은, 버스(1030)를 통하여 서로 통신하는, 프로세서(1002), 주메모리(1004)(예컨대, 리드 온리 메모리(ROM), 플래시 메모리, 동기 DRAM(SDRAM) 또는 램버스 DRAM(RDRAM)과 같은 동적 랜덤 액세스 메모리(DRAM) 등), 정적 메모리(1006)(예컨대, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM) 등), 및 2차 메모리(1018)(예컨대, 데이터 기억 장치)를 포함한다.
프로세서(1002)는, 마이크로프로세서, 중앙 처리 장치 등과 같은 하나 이상의 범용 처리 장치를 나타낸다. 보다 구체적으로는, 프로세서(1002)는, 복합 명령 세트 컴퓨팅(complex instruction set computing, CISC) 마이크로프로세서, 감소된 명령 세트 컴퓨팅(reduced instruction set computing, RISC) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 다른 명령 세트를 실행하는 프로세서, 또는 명령 세트의 조합을 실행하는 프로세서일 수도 있다. 프로세서(1002)는 또한, 주문형 반도체(ASIC), 필드 프로그램 가능 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 처리 장치일 수도 있다. 프로세서(1002)는 여기서 논의된 동작을 수행하기 위하여 처리 논리(processing logic)(1026)를 실행하도록 구성된다.
컴퓨터 시스템(1000)은 네트워크 인터페이스 장치(1008)를 더 포함할 수도 있다. 컴퓨터 시스템(1000)은 또한, 비디오 디스플레이 유닛(1010)(예컨대, 액정 디스플레이(LCD) 또는 음극선관(CRT)), 알파뉴메릭 입력 장치(1012)(예컨대, 키보드), 커서 제어 장치(814)(예컨대, 마우스), 및 신호 생성 장치(1016)(예컨대, 스피커)를 포함할 수도 있다.
2차 메모리(1018)는, 여기서 설명된 임의의 하나 이상의 방법 또는 기능을 구현하는 하나 이상의 세트의 명령(예컨대, 소프트웨어(1022)이 기억된 기계 액세스 가능한 기억 매체(또는 보다 구체적으로, 컴퓨터 판독 가능한 기억 매체(1031)를 포함할 수도 있다. 소프트웨어(1022)는 또한, 기계 판독 가능 기억 매체를 또한 구성하는 프로세서(1002), 주메모리(1004), 및 컴퓨터 시스템(1004)에 의하여 그 실행 동안 주메모리(1004) 내에 및/또는 프로세서(1002) 내에 완전하게 또는 적어도 부분적으로 존재할 수도 있다. 소프트웨어(1022)는 또한 네트워크 인터페이스 장치(1008)를 통하여 네트워크(1020)에 걸쳐 전송되거나 수신될 수도 있다.
기계 액세스 가능한 기억 매체(1031)가 단일 매체인 것으로 예시적인 실시예에서 도시되었으나, "기계 판독 가능한 기억 매체"라는 용어는, 하나 이상의 세트의 명령을 기억하는 단일 매체 또는 복수 매체(예컨대, 집중된(centralized) 또는 분배된 데이터베이스, 및/또는 연관된 캐시 및 서버)를 포함하도록 사용되어야 한다. "기계 판독 가능한 기억 매체"라는 용어는, 기계에 의한 실행을 위한 한세트의 명령을 기억하거나 인코팅할 수 있고, 기계가 본 발명의 임의의 하나 이상의 방법을 수행하게 하는 임의의 매체를 포함하도록 사용될 것이다. "기계 판독 가능한 기억 매체"라는 용어는 따라서, 고상(solid state) 메모리, 및 광학 및 자기 매체를 포함하도록(그러나 여기에 한정되지 않는) 사용될 것이다.
본 발명의 실시예에 따르면, 기계 액세스 가능 기억 매체는, 데이터 처리 시스템이 라이브러리 기반 CD 메트롤로지를 위한 정확한 신경망 학습의 방법을 수행하게 하는 기억된 명령을 갖는다. 본 방법은, 주성분(PC)값을 제공하기 위하여 스펙트럼 데이터 세트의 주성분 분석(PCA)에 대한 임계값을 최적화하는 단계를 포함한다. 하나 이상의 신경망에 대한 학습 목표는 PC값에 기초하여 추정된다. 하나 이상의 신경망은 학습 목표와 PC값에 기초하여 학습된다. 스펙트럼 라이브러리는 하나 이상의 학습된 신경망에 기초하여 제공된다.
실시예에서, PCA에 대한 임계값을 최적화하는 단계는, 최저 레벨 스펙트럼 도메인을 결정하는 단계를 포함한다.
실시예에서, PCA에 대한 임계값을 최적화하는 단계는, 제1 PCA 임계값을 결정하는 단계, PCA를 스펙트럼 데이터 세트에 적용하는 단계, PCA를 적용함으로써 도입된 스펙트럼 오차를 계산하는 단계, 및 스펙트럼 오차를 스펙트럼 노이즈 레벨과 비교하는 단계를 포함한다. 이러한 일 실시예에서, 스펙트럼 오차가 스펙트럼 노이즈 레벨보다 작으면, 제1 PCA 임계값이 PC값에 설정된다. 또다른 이러한 일 실시예에서, 스펙트럼 오차가 스펙트럼 노이즈 레벨 이상이면, 제2 PCA 임계값이 결정되고, 적용하는 단계, 계산하는 단계, 및 비교하는 단계가 반복된다.
실시예에서, PCA에 대한 임계값을 최적화하는 단계는, 뮐러 도메인 오차 공차를 이용하는 단계를 포함한다.
실시예에서, 고 정확성의 스펙트럼 라이브러리는 시뮬레이션된 스펙트럼을 포함하고, 본 방법은 시뮬레이션된 스펙트럼을 샘플 스펙트럼에 비교하는 단계를 더 포함한다.
본 발명의 또다른 실시예에 따르면, 기계 액세스 가능한 기억 매체는, 데이터 처리 시스템이 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습의 방법을 수행하게 하는 기억된 명령을 갖는다. 본 방법은, 제1 신경망에 대한 학습 목표를 제공하는 단계를 포함한다. 제1 신경망이 학습된다. 본 학습은, 미리 결정된 수의 뉴런으로 시작하는 단계, 및 뉴런의 최적화 총수가 도달될 때까지 뉴런의 수를 반복하여 증가시키는 단계를 포함한다. 제2 신경망은 학습 및 뉴런의 최적화 총수에 기초하여 생성된다. 스펙트럼 라이브러리는 제2 신경망에 기초하여 제공된다.
실시예에서, 뉴런의 최적화 총수가 도달될 때까지 뉴런의 수를 반복하여 증가시키는 단계는, 변형된 르벤버그 마쿼트 접근법을 사용하는 단계를 포함한다.
실시예에서, 뉴런의 수를 반복하여 증가시키는 단계는, 제1 신경망의 은닉층에서 뉴런의 수를 증가시키는 단계를 포함한다.
실시예에서, 스펙트럼 라이브러리는 시뮬레이션된 스펙트럼을 포함하고, 본 방법은 시뮬레이션된 스펙트럼을 샘플 스펙트럼에 비교하는 단계를 더 포함한다.
측정된 스펙트럼의 분석은 일반적으로, 측정된 샘플을 가장 잘 설명하는 모델의 파라미터값을 추론하기 위하여 측정된 샘플 스펙트럼을 시뮬레이션된 스펙트럼에 비교하는 단계를 수반한다. 도 11은, 본 발명의 실시예에 따라, 샘플 스펙트럼(예컨대, 하나 이상의 작업편으로부터 기원하는)로 시작하여 파라미터화된 모델과 스펙트럼 라이브러리를 형성하기 위한 방법에서의 동작들을 나타내는 흐름도(1100)이다.
동작(1101)에서, 한세트의 재료 파일은, 측정된 샘플 피쳐가 형성되는 재료(들)의 특징(예컨대, n, k값)을 특정하기 위하여 사용자에 의하여 정의된다.
동작(1102)에서, 스캐터로메트리 사용자는, 측정되는 주기 격자 피쳐에 존재하는 재료에 대응하는 재료의 스택을 어셈플하기 위하여 하나 이상의 재료 파일을 선택함으로써, 예상된 샘플 구조의 공칭 모델을 정의한다. 사용자 정의 모델은, 측정되고 있는 피쳐의 형상을 특징화하는, 두께, 임계 치수(CD), 측벽 기울기(SWA), 높이(HT), 에지 조도, 코너 라운딩 반경 등과 같은 모델 파라미터의 공칭값의 정의를 통하여 더욱 파라미터화될 수도 있다. 2D 모델(즉, 프로파일) 또는 3D 모델이 정의되는 지의 여부에 따라, 이러한 모델 파라미터를, 30개 내지 50개 또는 더 많이 갖는 것은 통상적이다.
파라미터화된 모델로부터, 주어진 세트의 격자 파라미터값에 대한 시뮬레이션된 스펙트럼은, RCWA(Rigorous Coupled Wave Analysis)와 같은 엄격한(rigorous) 회절 모델링 알고리즘을 사용하여 계산될 수도 있다. 다음, 측정된 회절 스펙트럼을 미리 정의된 정합 기준에 정합시키는 시뮬레이션된 스펙트럼에 대응하는 최종 프로파일 모델(2D에 대한)을 특징화하는 한세트의 파라미터값에 파라미터화된 모델이 수렴할 때까지 동작(1103)에서 회귀 분석이 수행된다. 정합 시뮬레이션된 회절 신호와 연관된 최종 프로파일 모델은, 모델이 생성되었던 구조의 실제 프로파일을 나타내는 것으로 추정된다.
다음, 정합 시뮬레이션된 스펙트럼 및/또는 연관된 최적화된 프로파일 모델이, 파라미터화된 최종 프로파일 모델의 값을 교란(perturb)시킴으로써 시뮬레이션된 회절 스펙트럼의 라이브러리를 생성하기 위하여 동작(1104)에서 이용될 수 있다. 다음, 시뮬레이션된 회절 스펙트럼의 결과적인 라이브러리는, 후속하여 측정된 격자 구조가 사양에 따라 제조되었는 지의 여부를 결정하기 위하여 생산 환경에서 동작하는 스캐터로메트리 측정 시스템에 의하여 채용될 수도 있다. 라이브러리 생성(1104)은, 다수의 프로파일 각각에 대한 시뮬레이션된 스펙트럼 정보를 생성하는, 신경망과 같은 기계 학습 시스템을 포함할 수도 있고, 각 프로파일은 한세트의 하나 이상의 모델링된 프로파일 파라미터를 포함한다. 라이브러리를 생성하기 위하여, 기계 학습 시스템 자체는, 스펙트럼 정보의 학습 데이터 세트에 기초하여 어떤 학습을 경험해야 할 수도 있다. 이러한 학습은 계산 집중적일 수도 있고, 및/또는 상이한 모델 및/또는 프로파일 파라미터 도메인에 대하여 반복되어야 할 수도 있다. 라이브러리의 생성의 계산 부하의 상당한 비효율성은, 학습 데이터 세트의 크기에 관하여 사용자의 결정에 의하여 도입될 수도 있다. 예컨대, 너무 큰 학습 데이터 세트의 선택은, 불충분한 크기의 학습 데이터 세트로 학습하는 것이 라이브러리를 생성하기 위하여 재학습(retraining)을 필요하게 할 수도 있으면서, 학습에 대한 불필요한 계산을 야기할 수도 있다.
여기서 설명된 일부 실시예는, 기계 학습 시스템을 학습하는 데 사용되는 학습 데이터 세트 크기의 자동 결정을 포함한다. 일반적으로, 학습 데이터 세트는, 데이터 세트 특징화 메트릭의 수렴에 기초하여 크기가 매겨지고, 최종 솔루션 오차의 추정에 더 기초할 수도 있다. 학습 데이터 세트는 증분적으로 확대되고, 수렴을 확인하기 위하여 테스트되고, 특정 실시예에서, 샘플 크기가 제공할 것인 최종 솔류션 오차를 추정한다. 증분 확장 및 테스팅은, 수렴 기준이 만족되고, 및/또는 최종 솔류션 오차의 추정이 임계값을 만족할 때까지 수행된다.
여기서 설명된 학습 매트릭스 사이징 방법은 분리된 학습을 요하지 않을 수도 있으므로, 신경망 학습에 대한 양호한 학습 데이터 샘플 세트가 신속하게, 효율적으로, 그리고 최종 솔루션 오차의 양호한 제어로 식별된다. 다음, 식별된 학습 데이터 샘플 세트로, 기계 학습 시스템은 원하는 목표 기능 정보를 생성하기 위하여 학습될 수도 있다. 일 특정 실시예에서, 기계 학습 시스템은, 스캐터로메트리 시스템으로 측정된 미지 샘플(예컨대, 회절 격자 또는 웨이퍼 주기 구조)의 파라미터를 추론하기 위하여 이용될 수도 있는 시뮬레이션된 스펙트럼 정보(예컨대, 회절 신호)의 라이브러리를 생성하기 위하여 학습된다.
상기 방법들은 본 발명의 실시예의 사상 및 범위 내에 다양한 환경 하에 적용될 수도 있다는 것이 이해되어야 한다. 예컨대, 실시예에서, 상기 설명된 방법은, 반도체, 솔라, 발광 다이오드(LED), 또는 관련된 제조 공정에서 수행된다. 실시예에서, 상술된 방법은 자립형(standalone)으로 또는 집적된 메트롤로지 툴로 사용된다. 실시예에서, 상술된 방법은 단일의 또는 복수의 측정 목표 회귀에서 사용된다.
따라서, 라이브러리 기반 CD 메트롤로지를 위한 정확하고 신속한 신경망 학습에 대한 접근법이 개시되었다. 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 정확한 신경망 학습의 방법은, 주성분(PC)값을 제공하기 위하여 스펙트럼 데이터 세트의 주성분 분석(PCA)에 대한 임계값을 최적화하는 단계를 포함한다. 본 방법은 또한, 하나 이상의 신경망에 대한 학습 목표를 추정하는 단계를 포함한다. 본 방법은 또한, PC값과 학습 목표에 기초하여 하나 이상의 신경망을 학습하는 단계를 포함한다. 본 방법은 또한, 하나 이상의 학습된 신경망에 기초하여 스펙트럼 라이브러리를 제공하는 단계를 포함한다. 일 실시예에서, PCA에 대한 임계값을 최적화하는 단계는, 최저 레벨 스펙트럼 도메인을 결정하는 단계를 포함한다. 본 발명의 실시예에 따라, 라이브러리 기반 CD 메트롤로지를 위한 신속한 신경망 학습의 방법은, 제1 신경망에 대한 학습 목표를 제공하는 단계를 포함한다. 본 방법은 또한, 제1 신경망을 학습하는 단계를 포함하고, 이 학습은 미리 결정된 수의 뉴런으로 시작하는 단계, 및 뉴런의 최적화 총수가 도달될 때까지 뉴런의 수를 반복하여 증가시키는 단계를 포함한다. 본 방법은 또한, 학습과 뉴런의 최적화 총수에 기초하여 제2 신경망을 생성하는 단계를 포함한다. 본 방법은 또한, 제2 신경망에 기초하여 스펙트럼 라이브러리를 제공하는 단계를 포함한다. 일 실시예에서, 뉴런의 최적화 총수가 도달될 때까지 뉴런의 수를 반복하여 증가시키는 단계는, 변형된 르벤버그 마쿼트 접근법을 사용하는 단계를 포함한다.

Claims (22)

  1. 라이브러리 기반 임계 치수(CD) 메트롤로지를 위한 정확한 신경망(neural network) 학습의 방법으로서,
    주성분(principal component, PC)값을 제공하기 위하여 스펙트럼 데이터 세트의 주성분 분석(principal component analysis, PCA)에 대한 임계값을 최적화하는 단계로서,
    제1 PCA 임계값을 결정하는 단계;
    상기 제1 PCA 임계값을 사용하여 상기 PCA를 상기 스펙트럼 데이터 세트에 적용하는 단계;
    상기 제1 PCA 임계값을 사용하여 상기 PCA를 적용함으로써 도입된 스펙트럼 오차를 계산하는 단계; 및
    상기 스펙트럼 오차를 스펙트럼 노이즈 레벨과 비교하는 단계를 포함하는, 상기 스펙트럼 데이터 세트의 PCA에 대한 임계값을 최적화하는 단계;
    하나 이상의 신경망에 대한 학습(training) 목표를 추정하는 단계;
    상기 학습 목표와, 상기 PCA에 대한 임계값을 최적화하는 단계로부터 제공된 상기 PC값 모두에 기초하여, 하나 이상의 신경망을 학습하는 단계; 및
    하나 이상의 학습된 신경망에 기초하여 스펙트럼 라이브러리를 제공하는 단계
    를 포함하는 정확한 신경망 학습의 방법.
  2. 제 1 항에 있어서, 상기 PCA에 대한 임계값을 최적화하는 단계는, 최저 레벨 스펙트럼 도메인을 결정하는 단계를 포함하는 것인 정확한 신경망 학습의 방법.
  3. 삭제
  4. 제 1 항에 있어서, 상기 스펙트럼 오차가 상기 스펙트럼 노이즈 레벨보다 작으면, 상기 제1 PCA 임계값을 상기 PC값으로 설정하는 단계를 더 포함하는 정확한 신경망 학습의 방법.
  5. 제 1 항에 있어서, 상기 스펙트럼 오차가 상기 스펙트럼 노이즈 레벨 이상이면,
    제2 PCA 임계값을 결정하는 단계; 및
    상기 적용하는 단계, 상기 계산하는 단계, 및 상기 비교하는 단계를 반복하는 단계
    를 더 포함하는 정확한 신경망 학습의 방법.
  6. 제 1 항에 있어서, 상기 PCA에 대한 임계값을 최적화하는 단계는,
    뮐러(Mueller) 도메인 오차 공차를 사용하는 단계를 포함하는 것인 정확한 신경망 학습의 방법.
  7. 제 1 항에 있어서, 상기 스펙트럼 라이브러리는 시뮬레이션된 스펙트럼을 포함하고, 상기 방법은,
    상기 시뮬레이션된 스펙트럼을 샘플 스펙트럼과 비교하는 단계
    를 더 포함하는 정확한 신경망 학습의 방법.
  8. 데이터 처리 시스템이 라이브러리 기반 임계 치수(CD) 메트롤로지를 위한 정확한 신경망 학습의 방법을 수행하게 하는 기억된 명령어들을 갖는 비일시적 기계 액세스 가능한 기억 매체로서, 상기 방법은,
    주성분(PC)값을 제공하기 위하여 스펙트럼 데이터 세트의 주성분 분석(PCA)에 대한 임계값을 최적화하는 단계로서,
    제1 PCA 임계값을 결정하는 단계;
    상기 제1 PCA 임계값을 사용하여 상기 PCA를 상기 스펙트럼 데이터 세트에 적용하는 단계;
    상기 제1 PCA 임계값을 사용하여 상기 PCA를 적용함으로써 도입된 스펙트럼 오차를 계산하는 단계; 및
    상기 스펙트럼 오차를 스펙트럼 노이즈 레벨과 비교하는 단계를 포함하는, 상기 스펙트럼 데이터 세트의 PCA에 대한 임계값을 최적화하는 단계;
    하나 이상의 신경망에 대한 학습 목표를 추정하는 단계;
    상기 학습 목표와, 상기 PCA에 대한 임계값을 최적화하는 단계로부터 제공된 PC값 모두에 기초하여, 상기 하나 이상의 신경망을 학습하는 단계; 및
    하나 이상의 학습된 신경망에 기초하여 스펙트럼 라이브러리를 제공하는 단계
    를 포함하는 것인 비일시적 기계 액세스 가능한 기억 매체.
  9. 제 8 항에 있어서, 상기 PCA에 대한 임계값을 최적화하는 단계는, 최저 레벨 스펙트럼 도메인을 결정하는 단계를 포함하는 것인 비일시적 기계 액세스 가능한 기억 매체.
  10. 삭제
  11. 제 8 항에 있어서, 상기 방법은, 상기 스펙트럼 오차가 상기 스펙트럼 노이즈 레벨보다 작으면, 상기 제1 PCA 임계값을 상기 PC값으로 설정하는 단계를 더 포함하는 것인 비일시적 기계 액세스 가능한 기억 매체.
  12. 제 8 항에 있어서, 상기 방법은, 상기 스펙트럼 오차가 상기 스펙트럼 노이즈 레벨 이상이면,
    제2 PCA 임계값을 결정하는 단계; 및
    상기 적용하는 단계, 상기 계산하는 단계, 및 상기 비교하는 단계를 반복하는 단계
    를 더 포함하는 것인 비일시적 기계 액세스 가능한 기억 매체.
  13. 제 8 항에 있어서, 상기 PCA에 대한 임계값을 최적화하는 단계는, 뮐러 도메인 오차 공차를 사용하는 단계를 포함하는 것인 비일시적 기계 액세스 가능한 기억 매체.
  14. 제 8 항에 있어서, 상기 스펙트럼 라이브러리는 시뮬레이션된 스펙트럼을 포함하고, 상기 방법은,
    상기 시뮬레이션된 스펙트럼을 샘플 스펙트럼과 비교하는 단계
    를 더 포함하는 것인 비일시적 기계 액세스 가능한 기억 매체.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
KR1020137026272A 2011-03-04 2012-02-28 라이브러리 기반 임계 치수 메트롤로지를 위한 정확하고 신속한 신경망 학습 KR101992500B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/041,253 US8577820B2 (en) 2011-03-04 2011-03-04 Accurate and fast neural network training for library-based critical dimension (CD) metrology
US13/041,253 2011-03-04
PCT/US2012/026927 WO2012150993A2 (en) 2011-03-04 2012-02-28 Accurate and fast neural network training for library-based critical dimension (cd) metrology

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020187033063A Division KR101958161B1 (ko) 2011-03-04 2012-02-28 라이브러리 기반 임계 치수 메트롤로지를 위한 정확하고 신속한 신경망 학습

Publications (2)

Publication Number Publication Date
KR20140017588A KR20140017588A (ko) 2014-02-11
KR101992500B1 true KR101992500B1 (ko) 2019-06-24

Family

ID=46753916

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137026272A KR101992500B1 (ko) 2011-03-04 2012-02-28 라이브러리 기반 임계 치수 메트롤로지를 위한 정확하고 신속한 신경망 학습
KR1020187033063A KR101958161B1 (ko) 2011-03-04 2012-02-28 라이브러리 기반 임계 치수 메트롤로지를 위한 정확하고 신속한 신경망 학습

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020187033063A KR101958161B1 (ko) 2011-03-04 2012-02-28 라이브러리 기반 임계 치수 메트롤로지를 위한 정확하고 신속한 신경망 학습

Country Status (7)

Country Link
US (2) US8577820B2 (ko)
EP (1) EP2681684A2 (ko)
JP (1) JP2014514533A (ko)
KR (2) KR101992500B1 (ko)
CN (2) CN107092958B (ko)
TW (1) TW201243738A (ko)
WO (1) WO2012150993A2 (ko)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
US9207938B2 (en) * 2012-08-29 2015-12-08 Hewlett-Packard Development Company, L.P. Instruction forwarding based on predication criteria
US10769320B2 (en) * 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) * 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US20140242880A1 (en) * 2013-02-26 2014-08-28 Applied Materials, Inc. Optical model with polarization direction effects for comparison to measured spectrum
US9786050B2 (en) * 2013-03-15 2017-10-10 The Board Of Trustees Of The University Of Illinois Stain-free histopathology by chemical imaging
SG10201912815TA (en) * 2013-05-07 2020-02-27 Univ Singapore Technology & Design A method and/ or system for magnetic localization
US10429320B2 (en) * 2013-06-04 2019-10-01 Kla-Tencor Corporation Method for auto-learning tool matching
TWI631636B (zh) * 2013-12-16 2018-08-01 克萊譚克公司 以模型爲基礎之量測及一製程模型的整合使用
US20150206050A1 (en) 2014-01-23 2015-07-23 Qualcomm Incorporated Configuring neural network for low spiking rate
US10648793B2 (en) * 2014-05-15 2020-05-12 Kla-Tencor Corporation Library expansion system, method, and computer program product for metrology
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US9262819B1 (en) 2014-09-26 2016-02-16 GlobalFoundries, Inc. System and method for estimating spatial characteristics of integrated circuits
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
RU2019142493A (ru) 2015-03-17 2020-01-21 Виза Интернэшнл Сервис Ассосиэйшн Проверка транзакции, осуществляемая несколькими устройствами
US10190868B2 (en) 2015-04-30 2019-01-29 Kla-Tencor Corporation Metrology system, method, and computer program product employing automatic transitioning between utilizing a library and utilizing regression for measurement processing
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
US10540588B2 (en) * 2015-06-29 2020-01-21 Microsoft Technology Licensing, Llc Deep neural network processing on hardware accelerators with stacked memory
US10878320B2 (en) 2015-07-22 2020-12-29 Qualcomm Incorporated Transfer learning in neural networks
US10380728B2 (en) 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
JP6243385B2 (ja) * 2015-10-19 2017-12-06 ファナック株式会社 モータ電流制御における補正値を学習する機械学習装置および方法ならびに該機械学習装置を備えた補正値計算装置およびモータ駆動装置
JP6193961B2 (ja) * 2015-11-30 2017-09-06 ファナック株式会社 機械の送り軸の送りの滑らかさを最適化する機械学習装置および方法ならびに該機械学習装置を備えたモータ制御装置
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
CN107203807B (zh) * 2016-03-16 2020-10-02 中国科学院计算技术研究所 神经网络加速器的片上缓存带宽均衡方法、系统及其装置
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10458912B2 (en) * 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
CN106777866B (zh) * 2016-11-14 2021-03-23 重庆科技学院 面向节能降耗的高含硫天然气净化工艺建模与优化方法
TWI755448B (zh) * 2016-11-30 2022-02-21 美商應用材料股份有限公司 使用神經網路的光譜監測
US20200003678A1 (en) * 2017-02-09 2020-01-02 Ramot At Tel-Aviv University Ltd. Method and system for characterizing a nanostructure by machine learning
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
JP6645994B2 (ja) * 2017-03-02 2020-02-14 ファナック株式会社 ゲインの最適化を学習する機械学習装置及び機械学習装置を備えた電動機制御装置並びに機械学習方法
US10984334B2 (en) * 2017-05-04 2021-04-20 Viavi Solutions Inc. Endpoint detection in manufacturing process by near infrared spectroscopy and machine learning techniques
US20190138929A1 (en) * 2017-07-07 2019-05-09 Darwinai Corporation System and method for automatic building of learning machines using learning machines
JP7065877B2 (ja) * 2017-08-31 2022-05-12 カンブリコン テクノロジーズ コーポレーション リミテッド チップ装置および関連製品
US10989652B2 (en) 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
TWI783037B (zh) * 2017-09-25 2022-11-11 美商應用材料股份有限公司 使用機器學習方式以產生製程控制參數的半導體製造
US11380594B2 (en) * 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
US11156548B2 (en) * 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US10599951B2 (en) * 2018-03-28 2020-03-24 Kla-Tencor Corp. Training a neural network for defect detection in low resolution images
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) * 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
US11199505B2 (en) 2018-08-23 2021-12-14 International Business Machines Corporation Machine learning enhanced optical-based screening for in-line wafer testing
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
JP2020148659A (ja) * 2019-03-14 2020-09-17 キオクシア株式会社 計測装置
CN110033032B (zh) * 2019-03-29 2020-12-25 中国科学院西安光学精密机械研究所 一种基于显微高光谱成像技术的组织切片分类方法
CA3082617A1 (en) * 2019-06-06 2020-12-06 Riskfuel Analytics Inc. Neural network training
US11340060B2 (en) 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
US11461645B2 (en) 2019-12-02 2022-10-04 International Business Machines Corporation Initialization of memory networks
CN110991531A (zh) * 2019-12-02 2020-04-10 中电科特种飞机系统工程有限公司 基于空对地小慢目标的训练样本库构建方法、装置及介质
WO2021140508A1 (en) * 2020-01-06 2021-07-15 Nova Measuring Instruments Ltd. Self-supervised representation learning for interpretation of ocd data
CN115427985A (zh) * 2020-02-23 2022-12-02 诺威有限公司 测量二维结构元件的阵列的局部临界尺寸均匀性
CN115428135B (zh) * 2020-04-06 2024-01-26 诺威有限公司 用于基于光谱的计量和过程控制的机器和深度学习方法
EP3961670A1 (en) * 2020-08-31 2022-03-02 FEI Company Method of examining a sample using a charged particle beam apparatus
US20220108186A1 (en) * 2020-10-02 2022-04-07 Francisco Daniel Filip Duarte Niche Ranking Method
CN112833818B (zh) * 2021-01-07 2022-11-15 南京理工大学智能计算成像研究院有限公司 一种单帧条纹投影三维面型测量方法
US20220375604A1 (en) * 2021-04-18 2022-11-24 Mary Hitchcock Memorial Hospital, For Itself And On Behalf Of Dartmouth-Hitchcock Clinic System and method for automation of surgical pathology processes using artificial intelligence
WO2023137177A1 (en) * 2022-01-13 2023-07-20 University Of Connecticut Conjoined twin network for treatment and analysis
CN114963979A (zh) * 2022-05-06 2022-08-30 武汉大学 基于深度学习的3d nand存储器层叠结构关键尺寸测量方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007528985A (ja) 2003-06-27 2007-10-18 ティンバー テクノロジーズ,インコーポレイティド 機械学習システムを用いた半導体ウェハ上に形成された構造の光学測定
US20080255801A1 (en) * 2007-04-12 2008-10-16 Tokyo Electron Limited Optical metrology using a support vector machine with simulated diffraction signal inputs
JP2009044125A (ja) 2007-04-12 2009-02-26 Tokyo Electron Ltd サポートベクトルマシンを用いて制御ツールを制御する方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5862513A (en) * 1996-11-01 1999-01-19 Western Atlas International, Inc. Systems and methods for forward modeling of well logging tool responses
US6560352B2 (en) * 1999-10-08 2003-05-06 Lumidigm, Inc. Apparatus and method of biometric identification or verification of individuals using optical spectroscopy
US6650422B2 (en) * 2001-03-26 2003-11-18 Advanced Micro Devices, Inc. Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith
US7031894B2 (en) * 2002-01-16 2006-04-18 Timbre Technologies, Inc. Generating a library of simulated-diffraction signals and hypothetical profiles of periodic gratings
US6686270B1 (en) * 2002-08-05 2004-02-03 Advanced Micro Devices, Inc. Dual damascene trench depth monitoring
US20040059695A1 (en) 2002-09-20 2004-03-25 Weimin Xiao Neural network and method of training
US7305369B2 (en) 2003-03-10 2007-12-04 Cranian Technologies, Inc Method and apparatus for producing three dimensional shapes
US7469209B2 (en) * 2003-08-14 2008-12-23 Dilithium Networks Pty Ltd. Method and apparatus for frame classification and rate determination in voice transcoders for telecommunications
NZ567815A (en) * 2005-11-15 2011-08-26 Bernadette Garner Training neural networks including selecting an output to be trained and connecting an output neuron to input neurons
US7428060B2 (en) * 2006-03-24 2008-09-23 Timbre Technologies, Inc. Optimization of diffraction order selection for two-dimensional structures
JP5186129B2 (ja) 2006-08-25 2013-04-17 大日本スクリーン製造株式会社 溝パターンの深さの測定方法および測定装置
US7372583B1 (en) 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
US7953681B2 (en) 2007-12-12 2011-05-31 Xerox Corporation System and method of forecasting print job related demand
CN101299237B (zh) * 2008-06-05 2010-12-08 北京航空航天大学 一种基于信息量维数序列的高光谱数据监督分类方法
JP5406677B2 (ja) 2009-01-26 2014-02-05 株式会社日立ハイテクノロジーズ 暗視野欠陥検査方法及び暗視野欠陥検査装置
US9435732B2 (en) 2009-06-25 2016-09-06 Yissum Research Development Of The Hebrew University Of Jerusalem Ltd. Hyperspectral identification of egg fertility and gender
CN101936877A (zh) * 2010-03-05 2011-01-05 中国农业科学院农业资源与农业区划研究所 从modis数据反演大气水汽含量方法
CN101807301B (zh) * 2010-03-17 2012-11-07 北京航空航天大学 一种基于高阶统计量的高光谱图像目标检测方法
CN101915753B (zh) * 2010-07-30 2013-05-29 浙江师范大学 基于遗传神经网络的激光诱导击穿光谱定量分析方法
US20140230545A1 (en) 2013-02-21 2014-08-21 Amphenol Corporation Sensor and method of making a sensor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007528985A (ja) 2003-06-27 2007-10-18 ティンバー テクノロジーズ,インコーポレイティド 機械学習システムを用いた半導体ウェハ上に形成された構造の光学測定
US20080255801A1 (en) * 2007-04-12 2008-10-16 Tokyo Electron Limited Optical metrology using a support vector machine with simulated diffraction signal inputs
JP2009044125A (ja) 2007-04-12 2009-02-26 Tokyo Electron Ltd サポートベクトルマシンを用いて制御ツールを制御する方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Vidya Rajagopalan,"Neural Network Based Prognosis System for Two-Dimensional Tumor-Like Growth",2005, Florida State University, pp.1-54

Also Published As

Publication number Publication date
EP2681684A2 (en) 2014-01-08
US8577820B2 (en) 2013-11-05
JP2014514533A (ja) 2014-06-19
CN103403724B (zh) 2016-11-09
CN107092958B (zh) 2020-08-11
KR20180125056A (ko) 2018-11-21
CN107092958A (zh) 2017-08-25
WO2012150993A3 (en) 2013-02-28
KR101958161B1 (ko) 2019-03-13
US20140032463A1 (en) 2014-01-30
US9607265B2 (en) 2017-03-28
US20120226644A1 (en) 2012-09-06
WO2012150993A2 (en) 2012-11-08
KR20140017588A (ko) 2014-02-11
TW201243738A (en) 2012-11-01
CN103403724A (zh) 2013-11-20

Similar Documents

Publication Publication Date Title
KR101992500B1 (ko) 라이브러리 기반 임계 치수 메트롤로지를 위한 정확하고 신속한 신경망 학습
JP6097300B2 (ja) 計量学のためのプロセス変動ベースのモデル最適化の方法
US10255385B2 (en) Model optimization approach based on spectral sensitivity
TWI631314B (zh) 利用光學臨界尺寸(ocd)計量之結構分析用於光學參數模型之最佳化方法、非暫時性之機器可存取儲存媒體及用以產生所模擬繞射信號以利用光學計量判定用以在晶圓上製造結構之晶圓塗覆的程序參數之系統
US20130158957A1 (en) Library generation with derivatives in optical metrology
KR102002180B1 (ko) 구조의 비대칭성을 결정하는 방법
KR101919628B1 (ko) 계측용 와이드 프로세스 레인지 라이브러리
US9523800B2 (en) Computation efficiency by iterative spatial harmonics order truncation
US20110276319A1 (en) Determination of material optical properties for optical metrology of structures

Legal Events

Date Code Title Description
N231 Notification of change of applicant
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant