KR101786222B1 - Interconnect structure having an etch stop layer over conductive lines - Google Patents

Interconnect structure having an etch stop layer over conductive lines Download PDF

Info

Publication number
KR101786222B1
KR101786222B1 KR1020150163931A KR20150163931A KR101786222B1 KR 101786222 B1 KR101786222 B1 KR 101786222B1 KR 1020150163931 A KR1020150163931 A KR 1020150163931A KR 20150163931 A KR20150163931 A KR 20150163931A KR 101786222 B1 KR101786222 B1 KR 101786222B1
Authority
KR
South Korea
Prior art keywords
dielectric layer
conductive line
etch stop
layer
stop layer
Prior art date
Application number
KR1020150163931A
Other languages
Korean (ko)
Other versions
KR20170001544A (en
Inventor
쳉시웅 싸이
충주 리
사우린 슈에
티엔아이 바오
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20170001544A publication Critical patent/KR20170001544A/en
Application granted granted Critical
Publication of KR101786222B1 publication Critical patent/KR101786222B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

집적 회로를 위한 다층 상호 접속 구조체가 기판 위의 제1 유전체 층 및 제1 유전체 층 위에서 부분적으로 노출되는 전도성 라인을 포함한다. 그러한 구조체는 제1 유전체 층 및 노출된 전도성 라인 모두의 위의 에칭 중단 층, 및 그러한 에칭 중단 층 위의 제2 유전체 층을 더 포함한다. 제2 유전체 층 및 에칭 중단 층은, 전도성 라인을 부분적으로 노출시키는 비아 홀을 제공한다. 그러한 구조체는 비아 홀 내에 배치되는 비아, 및 그러한 비아 위에 배치되고 비아를 통해서 전도성 라인에 커플링되는 다른 전도성 라인을 더 포함한다. 다층 상호 접속 구조체를 형성하는 방법이 또한 개시된다. 에칭 중단 층은, 오버레이(overlay) 오류로 인해서 비아 홀이 오정렬될 때, 제1 및 제2 유전체 층의 측방향 및 수직 에칭을 감소시킨다.A multilayer interconnect structure for an integrated circuit includes a first dielectric layer on the substrate and a conductive line partially exposed over the first dielectric layer. Such a structure further comprises an etch stop layer over both the first dielectric layer and the exposed conductive line, and a second dielectric layer over the etch stop layer. The second dielectric layer and etch stop layer provide via holes that partially expose the conductive lines. Such a structure further includes vias disposed in the via holes, and other conductive lines disposed over the vias and coupled to the conductive lines through the vias. A method of forming a multilayer interconnect structure is also disclosed. The etch stop layer reduces the lateral and vertical etch of the first and second dielectric layers when via holes are misaligned due to overlay errors.

Figure R1020150163931
Figure R1020150163931

Description

전도성 라인 위의 에칭 중단 층을 갖는 상호 접속 구조체{INTERCONNECT STRUCTURE HAVING AN ETCH STOP LAYER OVER CONDUCTIVE LINES}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to an interconnect structure having an etch stop layer on a conductive line. ≪ Desc / Clms Page number 1 >

본 개시는 전도성 라인 위의 에칭 중단 층을 갖는 상호 접속 구조체에 관한 것이다.The present disclosure relates to an interconnect structure having an etch stop layer over a conductive line.

반도체 집적 회로(IC) 산업이 급속한 성장을 경험하고 있다. IC 재료 및 디자인에서의 기술적인 진보가 IC의 세대들(generations)을 만들었고, 각각의 세대는 이전 세대보다 더 작고 더 복잡한 회로를 갖는다. IC 혁신의 과정 중에, 기능적 밀도(즉, 칩 면적당 상호 접속된 소자의 수)가 대체로 증대되는 한편, 기하형태적 크기(즉, 제조 프로세스를 이용하여 생성될 수 있는 가장 작은 구성요소(또는 라인))가 감소되었다. 이러한 축소(scaling down) 프로세스는 일반적으로, 생산 효율을 높이고 연관된 비용을 절감하는 것에 의해서, 장점을 제공한다. 그러한 축소는 또한 IC의 프로세싱 및 제조의 복잡성을 증가시켰고, 이러한 진보를 실현하기 위해서는, IC 프로세싱 및 제조에서의 유사한 개발을 필요로 한다. The semiconductor integrated circuit (IC) industry is experiencing rapid growth. Technological advances in IC materials and design have created generations of ICs, each with smaller and more complex circuits than previous generations. During the course of IC innovation, while the functional density (i. E., The number of interconnected devices per chip area) is generally increased, the geometric shape size (i. E., The smallest component ) Was decreased. This scaling down process generally offers advantages by increasing production efficiency and reducing associated costs. Such reduction has also increased the complexity of the processing and manufacturing of the IC and requires similar development in IC processing and fabrication to achieve this advancement.

예를 들어, 다층 상호 접속부를 이용하여 여러 가지 소자(트랜지스터, 저항기, 커패시터, 등)를 연결함으로서 IC를 형성한다. 전형적인 다층 상호 접속 구조체에서, 전도성 라인(예를 들어, 구리 와이어)이 적층형(stacked) 유전체 층 내에 놓이고 비아(via)를 통해서 하나의 층으로부터 다른 층으로 연결된다. 전형적으로, 구리 와이어 및 비아가 단일 또는 이중 다마신(damascene) 프로세스를 이용하여 제조된다. 그러한 프로세스에서, 하부의(underlying) 유전체 층이 패터닝되어 트렌치를 형성하고, 이어서 트렌치가 구리로 과다 충전되고(overfilled), 그리고 화학적-기계적 평탄화(CMP)를 이용하여 과다한 구리를 제거하며, 그에 의해서 트렌치 내의 구리 와이어를 형성한다. 후속하여, 다른 유전체 층이 하부의 유전체 층 위에 형성되고 전술한 프로세스를 반복하여 비아 및 상부 레벨 구리 와이어를 형성한다. 다층 유전체 층이 리소그래피(또는 포토리소그래피) 프로세스로 패터닝된다. 종종, 리소그래피 프로세스들 사이의 오버레이(overlay) 오류가 표적 구리 와이어에 대한 비아 오정렬을 초래할 수 있을 것이다. 오정렬된 비아가 근처의 구리 와이어와 우발적인 가교부(bridge)(단락)를 유발하여, IC 결함을 생성할 수 있거나; 하부의 유전체 층의 과다 에칭을 유발하여, IC 신뢰성 문제를 생성할 수 있을 것이다. 그러한 비아-와이어 오정렬은, IC 소형화가 계속됨에 따라 점점 더 문제가 되고 있다.For example, an IC is formed by connecting several devices (transistors, resistors, capacitors, etc.) using multilayer interconnects. In a typical multi-layer interconnect structure, a conductive line (e.g., copper wire) is placed in a stacked dielectric layer and is connected from one layer to another via a via. Typically, copper wires and vias are fabricated using a single or dual damascene process. In such a process, the underlying dielectric layer is patterned to form trenches, then the trenches are overfilled with copper, and the excess copper is removed using chemical-mechanical planarization (CMP) To form a copper wire in the trench. Subsequently, another dielectric layer is formed on the underlying dielectric layer and the process described above is repeated to form vias and top level copper wires. The multilayer dielectric layer is patterned by a lithographic (or photolithographic) process. Often, overlay errors between lithographic processes may result in via misalignment for the target copper wire. Misaligned vias can cause nearby copper wires and accidental bridges (shorts), creating IC defects; May cause excessive etching of the underlying dielectric layer, creating IC reliability problems. Such via-wire misalignment is becoming increasingly problematic as IC miniaturization continues.

하나의 예시적인 양태에서, 본 개시 내용은 소자에 관한 것이다. 그러한 소자는, 기판, 기판 위의 제1 유전체 층, 및 제1 유전체 층 내에 부분적으로 매립된 전도성 라인을 포함한다. 전도성 라인의 제1 부분이 제1 유전체 층 내에 놓이고, 전도성 라인의 제2 부분이 제1 유전체 층 위에 배치된다. 소자는 제1 유전체 층 및 전도성 라인 모두의 위에서 에칭 중단 층을 더 포함한다. 소자는 에칭 중단 층 위의 제2 유전체 층을 더 포함한다. 에칭 중단 층은 제1 및 제2 유전체 층의 재료와 상이한 유전체 재료를 포함한다. 제2 유전체 층 및 에칭 중단 층은, 전도성 라인을 부분적으로 노출시키는 개구부를 제공한다. 소자는 개구부 내에 배치되고 전도성 라인에 커플링되는 비아를 더 포함한다.In one exemplary aspect, the present disclosure is directed to a device. Such an element comprises a substrate, a first dielectric layer on the substrate, and a conductive line partially embedded in the first dielectric layer. A first portion of the conductive line is disposed within the first dielectric layer and a second portion of the conductive line is disposed over the first dielectric layer. The device further comprises an etch stop layer on top of both the first dielectric layer and the conductive line. The device further comprises a second dielectric layer over the etch stop layer. The etch stop layer includes a dielectric material that is different from the material of the first and second dielectric layers. The second dielectric layer and etch stop layer provide openings that partially expose the conductive lines. The device further includes a via disposed in the opening and coupled to the conductive line.

다른 예시적인 양태에서, 본 개시 내용은 집적 회로를 위한 다층 상호 접속 구조체를 제조하기 위한 방법에 관한 것이다. 그러한 방법은 기판, 기판 위의 제1 유전체 층, 및 제1 유전체 층 내에 놓이는 전도성 라인을 포함하는 소자를 제공하는 단계를 포함하고, 전도성 라인의 상부 표면과 제1 유전체 층의 상부 표면이 동일 평면에 있다. 방법은, 전도성 라인의 제1 부분이 제1 유전체 층 위에 위치되도록, 제1 유전체 층의 상부 표면을 리세싱(recessing)하는 단계를 더 포함한다. 방법은 제1 유전체 층 및 전도성 라인의 제1 부분 모두의 위에 에칭 중단 층을 성막시키는 단계를 더 포함한다. 방법은 에칭 중단 층 위에 제2 유전체 층을 성막시키는 단계를 더 포함한다. 방법은, 전도성 라인을 부분적으로 노출시키는 비아 홀을 형성하기 위해서, 제2 유전체 층 및 에칭 중단 층에 대해서 에칭 프로세스를 실시하는 단계를 더 포함하고, 그러한 에칭 프로세스에서의 에칭 중단 층의 에칭률이 에칭 프로세스에서의 제2 유전체 층의 에칭률보다 느리다. 방법은 비아 홀 내에 비아를 형성하는 단계를 더 포함한다.In another illustrative aspect, this disclosure is directed to a method for fabricating a multilayer interconnect structure for an integrated circuit. The method includes providing a device comprising a substrate, a first dielectric layer over the substrate, and a conductive line that lies within the first dielectric layer, wherein the upper surface of the conductive line and the upper surface of the first dielectric layer are coplanar . The method further includes recessing the upper surface of the first dielectric layer such that a first portion of the conductive line is above the first dielectric layer. The method further includes depositing an etch stop layer over both the first dielectric layer and the first portion of the conductive line. The method further comprises depositing a second dielectric layer over the etch stop layer. The method further comprises performing an etch process for the second dielectric layer and the etch stop layer to form a via hole that partially exposes the conductive lines, wherein the etch rate of the etch stop layer in such an etch process is Is slower than the etch rate of the second dielectric layer in the etching process. The method further includes forming a via in the via hole.

다른 예시적인 양태에서, 본 개시 내용은 집적 회로를 위한 다층 상호 접속 구조체를 제조하기 위한 방법에 관한 것이다. 그러한 방법은 기판, 기판 위의 제1 유전체 층, 및 제1 유전체 층 내에 놓이는 전도성 라인을 포함하는 소자를 제공하는 단계를 포함하고, 전도성 라인의 상부 표면과 제1 유전체 층의 상부 표면이 동일 평면에 있다. 방법은, 전도성 라인의 제1 부분이 제1 유전체 층의 상부 표면 위에서 노출되도록, 제1 유전체 층의 상부 표면을 리세싱하는 단계를 더 포함한다. 방법은 제1 유전체 층 및 전도성 라인의 제1 부분 위에 에칭 중단 층을 형성하는 단계를 더 포함하고, 그러한 에칭 중단 층은 컨포멀한 단면 프로파일을 갖는다. 방법은 에칭 중단 층 위에 제2 유전체 층을 성막시키는 단계를 더 포함하고, 제1 및 제2 유전체 층이 동일한 재료로 이루어진다. 방법은, 전도성 라인을 부분적으로 노출시키는 비아 홀을 형성하기 위해서, 제2 유전체 층 및 에칭 중단 층을 에칭하는 단계를 더 포함하고, 그러한 에칭 중단 층은 제2 유전체 층의 에칭률보다 더 낮은 에칭률을 갖는다. 방법은 비아 홀 내에 비아를 형성하는 단계를 더 포함한다.In another illustrative aspect, this disclosure is directed to a method for fabricating a multilayer interconnect structure for an integrated circuit. The method includes providing a device comprising a substrate, a first dielectric layer over the substrate, and a conductive line that lies within the first dielectric layer, wherein the upper surface of the conductive line and the upper surface of the first dielectric layer are coplanar . The method further includes the step of recessing the upper surface of the first dielectric layer such that a first portion of the conductive line is exposed above the upper surface of the first dielectric layer. The method further comprises forming an etch stop layer over the first portion of the first dielectric layer and the conductive line, such etch stop layer having a conformal cross-sectional profile. The method further comprises depositing a second dielectric layer over the etch stop layer, wherein the first and second dielectric layers are made of the same material. The method further includes etching the second dielectric layer and the etch stop layer to form a via hole that partially exposes the conductive line, wherein the etch stop layer is etched to a level lower than the etch rate of the second dielectric layer . The method further includes forming a via in the via hole.

첨부 도면과 함께 고려할 때, 이하의 구체적인 설명으로부터 본 개시 내용이 가장 잘 이해될 수 있을 것이다. 업계에서의 표준 관행에 따라서, 여러 가지 피쳐(feature)가 실축척으로 도시되지 않았고 설명의 목적을 위해서만 이용되었다는 것을 주목하여야 할 것이다. 사실상, 명료한 설명을 위해서, 여러 가지 피쳐의 치수가 임의적으로 확대 또는 축소될 수 있다.
도 1a 및 도 1b는, 본 개시 내용의 여러 가지 양태에 따라서 구축된, IC의 다층 상호 접속부의 상면도 및 횡단면도이다.
도 2a 및 도 2b는, 본 개시 내용의 양태를 설명하기 위해서 비아-와이어 오정렬과 함께 IC의 다층 상호 접속부를 도시한 상면도 및 횡단면도이다.
도 3은 일부 실시예에 따른, 도1a 및 도 1b의 다층 상호 접속부를 지닌 IC를 제조하는 방법의 흐름도를 도시한다.
도 4, 5, 6, 7, 8, 8a, 9, 및 10은, 일부 실시예에 따른, 도 3의 방법에 따른 IC를 위한 다층 상호 접속부를 형성하는 것에 관한 횡단면도이다.
도 11은 일부 실시예에 따른, 다층 상호 접속부를 지닌 IC를 제조하는 다른 방법의 흐름도를 도시한다.
도 12a, 12b, 13a, 13b, 14a, 14b, 15a, 15b, 16a, 및 16b는 일부 실시예에 따른, 도 11의 방법에 따른 IC를 위한 다층 상호 접속부를 형성하는 것에 관한 횡단면도이다.
BRIEF DESCRIPTION OF THE DRAWINGS The present disclosure will be best understood from the following detailed description when considered in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale and are used for illustrative purposes only. In fact, for clarity, the dimensions of various features can be arbitrarily enlarged or reduced.
1A and 1B are a top view and a cross-sectional view of a multi-layer interconnect of an IC constructed in accordance with various aspects of the present disclosure.
Figures 2a and 2b are top and cross-sectional views illustrating multilayer interconnects of an IC with via-wire misalignment to illustrate aspects of the present disclosure.
Figure 3 shows a flow diagram of a method of manufacturing an IC having multilayer interconnects of Figures 1A and 1B, in accordance with some embodiments.
Figures 4, 5, 6, 7, 8, 8a, 9, and 10 are cross-sectional views of forming a multilayer interconnect for an IC according to the method of Figure 3, in accordance with some embodiments.
11 shows a flow diagram of another method of manufacturing an IC having multilayer interconnects, in accordance with some embodiments.
12A, 12B, 13A, 13B, 14A, 14B, 15A, 15B, 16A, and 16B are cross-sectional views of forming a multilayer interconnect for an IC according to the method of FIG. 11, in accordance with some embodiments.

이하의 개시 내용은, 제공된 청구 대상의 상이한 특징들을 실시하기 위한, 많은 상이한 실시예들, 또는 예들을 제공한다. 본 개시 내용을 단순화하기 위해서, 구성요소 및 배열에 관한 구체적인 예가 이하에서 설명된다. 물론, 그러한 구체적인 예는 단지 예시적인 것이고 제한적인 것은 아니다. 예를 들어, 이하의 설명에서 제2피쳐 상에 또는 그 위에 제1 피쳐를 형성하는 것이, 제1 및 제2 피쳐들이 직접적으로 접촉되어 형성되는 실시예들을 포함할 수 있을 것이고, 또한 부가적인 피쳐들이 제1 및 제2 피쳐들 사이에 형성되어 제1 및 제2 피쳐들이 직접적으로 접촉하지 않을 수 있는 실시예들을 포함할 수 있을 것이다. 또한, 본원 개시 내용은 여러 가지 예에서 참조 번호 및/또는 문자를 반복할 수 있을 것이다. 이러한 반복이 단순함 및 명료함을 위한 것이고 그리고 그것 자체가 개시된 여러 가지 실시예들 및/또는 구성들 사이의 관계를 구술하는 것은 아니다. The following disclosure provides many different embodiments, or examples, for implementing different features of the claimed subject matter. To simplify the present disclosure, specific examples of components and arrangements are described below. Of course, such specific examples are merely illustrative and not restrictive. For example, in the following description, forming the first feature on or on the second feature may include embodiments in which the first and second features are formed in direct contact, May be formed between the first and second features such that the first and second features may not be in direct contact. In addition, the disclosure may repeat reference numerals and / or letters in various examples. Such repetition is for simplicity and clarity and does not itself dictate the relationship between the various embodiments and / or configurations disclosed.

또한, 도면들에 도시된 바와 같이, 하나의 요소 또는 피쳐의 다른 요소(들) 또는 피쳐(들)에 대한 관계를 기술하기 위한 설명의 용이성을 위해서, "아래쪽", "아래", "하부, "위", "상부" 등과 같은 공간적으로 상대적인 용어가 여기에서 사용될 수 있다. 그러한 공간적으로 상대적인 용어들은, 도면들에 도시된 배향에 더하여, 사용 또는 동작 중에 디바이스의 상이한 배향들을 포함하도록 의도된 것이다. 장치가 달리(90도 회전된 또는 다른 배향으로) 배향될 수 있을 것이고 그리고 여기에서 사용된 공간적으로 상대적인 설명이 그에 따라 유사하게 해석될 수 있을 것이다. Also, for ease of description to describe a relationship to one element or feature (s) or feature (s) of a feature, as shown in the Figures, the terms " Spatially relative terms such as " stomach ", "upper ", etc. may be used herein. Such spatially relative terms are intended to encompass different orientations of the device during use or operation, in addition to the orientations shown in the figures It will be possible for the device to be oriented differently (rotated 90 degrees or in other orientations) and the spatially relative description used herein may be similarly interpreted accordingly.

본 개시 내용은 일반적으로 반도체 소자에 관한 것이다. 보다 특히, 본 개시 내용은 집적 회로(IC)를 위한 다층 상호 접속 구조체에 관한 것이다. 본 개시 내용의 목적은 다층 상호 접속부 내에서 전도성 라인 위에 보호 층을 제공하는 것이다. 비아 홀을 형성할 때의 리소그래피 오버레이 오류로 인한 비아-와이어 오정렬의 경우에, 보호 층은 하부의 유전체 층의 측방향 및 수직 과다-에칭(over-etching)을 최소화한다. 이는 비아가 근처의 와이어와 우발적으로 단락되는 것을 효과적으로 방지한다. 이는 또한, 금속이 하부의 유전체 층 내로 확산하는 것과 연관된 전자 이동(EM) 및 시간-의존적 유전체 파괴(time-dependent dielectric breakdown)(TDDB)를 제한하는 것에 의해서 소자 신뢰성을 개선한다. The present disclosure relates generally to semiconductor devices. More particularly, this disclosure relates to a multi-layer interconnect structure for an integrated circuit (IC). It is an object of the present disclosure to provide a protective layer over a conductive line in a multilayer interconnect. In the case of via-wire misalignment due to lithography overlay errors when forming via holes, the protective layer minimizes lateral and vertical over-etching of the underlying dielectric layer. This effectively prevents the vias from accidentally short-circuiting with nearby wires. It also improves device reliability by limiting electron migration (EM) and time-dependent dielectric breakdown (TDDB) associated with diffusion of the metal into underlying dielectric layers.

도 1a는 반도체 소자(100)의 상면도를 도시하고, 도 1b는 도 1a의 "1-1" 라인을 따른 반도체 소자(100)의 횡단면도를 도시한다. 도 1a 및 도 1b를 참조하면, 반도체 소자(100)가, 본 개시 내용에 따라서 구축된, 기판(102) 및 다층 상호 접속 구조체(103)를 포함한다. 단순함을 위해서, 상호 접속 구조체(103)이 전도성 라인의 2개의 층을 가지는 것으로 도시되어 있다. 제1 층이 전도성 라인(106A 및 106B)(집합적으로, 106A/B)을 포함하고, 제2 층이 전도성 라인(116A)을 포함한다. 2개의 층이 비아(112A)를 통해서 상호 접속된다. 여러 가지 실시예에서, 상호 접속 구조체(103)가, 복잡한 IC에서 5개의, 7개의, 또는 그보다 많은 층과 같은, 2개가 넘는 전도성 라인의 층을 포함할 수 있다는 것을 주목하여야 할 것이다. 또한, 상호 접속 구조체(103)이 106A/B 층 아래에 및/또는 116A 층 위에 전도성 라인의 하나 이상의 층을 포함할 수 있을 것이다.FIG. 1A shows a top view of a semiconductor device 100, and FIG. 1B shows a cross-sectional view of a semiconductor device 100 along the line "1-1" of FIG. 1A. 1A and 1B, a semiconductor device 100 includes a substrate 102 and a multi-layer interconnect structure 103 constructed in accordance with the present disclosure. For simplicity, interconnect structure 103 is shown having two layers of conductive lines. The first layer includes conductive lines 106A and 106B (collectively, 106A / B), and the second layer includes conductive lines 116A. The two layers are interconnected via vias 112A. It should be noted that, in various embodiments, the interconnect structure 103 may include more than two layers of conductive lines, such as five, seven, or more layers in a complex IC. In addition, the interconnect structure 103 may include one or more layers of conductive lines below the 106A / B layer and / or above the 116A layer.

실시예에서, 기판(102)이 실리콘 기판(예를 들어, 웨이퍼)을 포함한다. 대안적으로, 기판(102)이 게르마늄과 같은 다른 원소 반도체; 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물, 및/또는 인듐 안티모나이드를 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP을 포함하는 합금 반도체; 또는 그 조합을 포함할 수 있다. 또 다른 대안에서, 기판(102)이 반도체 온 인슐레이터(semiconductor on insulator)(SOI)이다. 기판(102)이 p-타입 전계효과 트랜지스터(PFET), n-타입 FET(NFET), 금속-산화물 반도체 전계효과 트랜지스터(MOSFET), 상보적 금속-산화물 반도체(complementary metal-oxide semiconductor)(CMOS) 트랜지스터, 양극성 트랜지스터(bipolar transistor), 고전압 트랜지스터, 및 고주파 트랜지스터와 같은 능동(active) 소자를 포함한다. 트랜지스터가 평면형 트랜지스터 또는 FinFET과 같은 다중-게이트 트랜지스터일 수 있다. 기판(102)이 저항기, 커패시터, 및 인덕터와 같은 수동 소자를 더 포함할 수 있다.In an embodiment, the substrate 102 comprises a silicon substrate (e.g., a wafer). Alternatively, substrate 102 may comprise another elemental semiconductor such as germanium; A compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium paraben, and / or indium antimonide; An alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and / or GaInAsP; Or a combination thereof. In yet another alternative, the substrate 102 is a semiconductor on insulator (SOI). The substrate 102 may be a p-type field effect transistor (PFET), an n-type FET (NFET), a metal-oxide semiconductor field effect transistor (MOSFET), a complementary metal- Transistors, bipolar transistors, high voltage transistors, and active devices such as high frequency transistors. The transistor may be a planar transistor or a multi-gate transistor such as a FinFET. The substrate 102 may further include passive components such as resistors, capacitors, and inductors.

상호 접속 구조체(103)가 기판(102) 위에 구축되고 기판(102) 내의 여러 가지 능동 소자 및/또는 수동 소자를 연결하여 IC를 형성한다. 도시된 실시예에서, 상호 접속 구조체(103)가 제1 유전체 층(104)을 포함하고, 그러한 유전체 층은 테트라에틸오르토실리케이트(TEOS) 산화물과 같은 저유전상수(low-K) 유전체 재료, 도핑되지 않은 실리케이트 유리, 또는 보로포스포실리케이트 유리(BPSG), 융합형 실리카 유리(FSG), 포스포실리케이트 유리(PSG), 보론 도핑된 실리콘 유리(BSG)와 같은 도핑된 실리콘 산화물, 및/또는 다른 적합한 유전체 재료를 포함할 수 있다. An interconnect structure 103 is built on the substrate 102 and connects various active and / or passive components within the substrate 102 to form an IC. In the illustrated embodiment, the interconnect structure 103 comprises a first dielectric layer 104, which may be a low dielectric constant (low-K) dielectric material such as tetraethylorthosilicate (TEOS) oxide, Or a doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and / Dielectric material.

상호 접속 구조체(103)가, 부분적으로 유전체 층(104) 내에 그리고 부분적으로 유전체 층(104) 위에 놓인, 전도성 라인(106A 및 106B)을 더 포함한다. 비록 도시되지는 않았지만, 전도성 라인(106A/B)이 상호 접속 구조체(103)의 다른 하부의 층을 통해서 또는 능동 및/또는 수동 소자의 단자(예를 들어, 소스, 드레인, 및 게이트 콘택)를 통해서 기판(102) 내의 능동 및/또는 수동 소자로 커플링된다. 실시예에서, 전도성 라인(106A 및 106B) 각각이 외부 층으로서 전기 전도성 금속-확산 장벽 층 및 내부 층으로서 금속 전도체를 포함한다. 예를 들어, 장벽 층이 탄탈(Ta) 또는 탄탈 질화물(TaN)을 포함할 수 있고, 금속 전도체가 구리(Cu), 알루미늄(Al), 텅스텐(W), 코발트(Co), 또는 다른 적합한 금속일 수 있다. 실시예에서, 장벽 층이 하나 이상의 재료의 층을 포함한다.The interconnect structure 103 further includes conductive lines 106A and 106B that are partially within the dielectric layer 104 and partially over the dielectric layer 104. [ Although not shown, a conductive line 106A / B may be formed through a layer of another lower portion of the interconnect structure 103 or through a layer of active and / or passive elements (e.g., source, drain, and gate contact) Or passive elements within the substrate 102. The active and / In an embodiment, each of the conductive lines 106A and 106B includes an electrically conductive metal-diffusion barrier layer as an outer layer and a metal conductor as an inner layer. For example, the barrier layer may comprise tantalum (Ta) or tantalum nitride (TaN) and the metal conductor may comprise copper (Cu), aluminum (Al), tungsten (W), cobalt Lt; / RTI > In an embodiment, the barrier layer comprises a layer of one or more materials.

상호 접속 구조체(103)가 에칭 중단 층(108) 및 제2 유전체 층(110)을 더 포함한다. 에칭 중단 층(108)이 제1 유전체 층(104) 및 전도성 라인(106A/B) 위에 형성되고, 본 실시예에서 컨포멀한(conformal) 단면 프로파일을 갖는다. 유전체 층(110)이 에칭 중단 층(108) 위에 형성된다. 여러 가지 실시예에서, 유전체 층(110)은 테트라에틸오르토실리케이트(TEOS) 산화물과 같은 저유전상수 유전체 재료, 도핑되지 않은 실리케이트 유리, 또는 보로포스포실리케이트 유리(BPSG), 융합형 실리카 유리(FSG), 포스포실리케이트 유리(PSG), 보론 도핑된 실리콘 유리(BSG)와 같은 도핑된 실리콘 산화물, 및/또는 다른 적합한 유전체 재료를 포함한다. 유전체 층(104 및 110)이 동일한 또는 상이한 유전체 재료(들)를 포함할 수 있을 것이다. 에칭 중단 층(108)이, 유전체 층(110 및 104) 내의 재료보다 높은 밀도를 갖는 유전체 재료를 포함한다. 예를 들어, 에칭 중단 층(108)이 SiCN, SiCO, SiO2, SiN, 및 AlON으로 이루어진 그룹으로부터 선택된 재료를 포함할 수 있을 것이다. 에칭 중단 층(108)을 위한 다른 적합한 재료가 본 개시 내용에 포함된다. The interconnect structure 103 further includes an etch stop layer 108 and a second dielectric layer 110. An etch stop layer 108 is formed over the first dielectric layer 104 and the conductive lines 106A / B and has a conformal cross-sectional profile in this embodiment. A dielectric layer 110 is formed over the etch stop layer 108. In various embodiments, the dielectric layer 110 may be a low dielectric constant dielectric material such as tetraethylorthosilicate (TEOS) oxide, undoped silicate glass, or borophosphosilicate glass (BPSG), fused silica glass (FSG) , Phosphosilicate glass (PSG), doped silicon oxide such as boron doped silicon glass (BSG), and / or other suitable dielectric materials. The dielectric layers 104 and 110 may comprise the same or different dielectric material (s). The etch stop layer 108 comprises a dielectric material having a higher density than the materials in the dielectric layers 110 and 104. For example, it will have the etching stop layer 108 may comprise a material selected from the group consisting of SiCN, SiCO, SiO 2, SiN, and AlON. Other suitable materials for etch stop layer 108 are included in this disclosure.

유전체 층(110) 및 에칭 중단 층(108)이 함께 개구부를 제공하고, 그러한 개구부 내에 비아(112A)가 위치된다. 상호 접속 구조체(103)가 제3 유전체 층(114)을 더 포함하고, 그러한 제3 유전체에 전도성 라인(116A)이 놓인다. 실시예에서, 유전체 층(114 및 110)이 동일한 또는 상이한 재료를 포함할 수 있을 것이다. 비아(112A) 및 전도성 라인(116A) 각각이, 비록 상이한 재료가 이용될 수 있으나 전도성 라인(106A/B)에 대해서 전술한 바와 같이, 금속 전도체를 둘러싸는 전기 전도성 금속-확산 장벽 층을 포함한다.Dielectric layer 110 and etch stop layer 108 together provide an opening in which vias 112A are located. The interconnect structure 103 further includes a third dielectric layer 114 on which a conductive line 116A is placed. In an embodiment, the dielectric layers 114 and 110 may comprise the same or different materials. Vias 112A and conductive lines 116A each include an electrically conductive metal-diffusion barrier layer surrounding the metal conductor, as described above for conductive lines 106A / B, although different materials may be used .

실시예에서, 전도성 라인(106A/B) 및 비아(112A)가 별개의 다마신 프로세스로 형성되고, 각각의 다마신 프로세스는 각각의 유전체 층(104 및 110)의 리소그래픽 패터닝을 포함한다. 결과적으로, 비아(112A)와 전도성 라인(106A) 사이의 리소그래피 오버레이 오류가 소자(100)의 제조 중에 고려되어야 한다. In an embodiment, the conductive lines 106A / B and the vias 112A are formed in separate damascene processes, and each damascene process includes lithographic patterning of each dielectric layer 104 and 110. As a result, a lithography overlay error between the via 112A and the conductive line 106A must be taken into account during the fabrication of the device 100. [

도 1a 및 도 1b에 도시된 바와 같이, 비아(112A)가 전도성 라인(106A)과 적절하게 정렬되고, 다시 말해서, 비아와 전도성 라인의 중심선이 상면도에서 볼 때 중첩되는 상태로 비아가 전도성 라인(106A)의 상부에 위치된다. 이는 제조를 위한 이상적인 경우이다. 그러나, 리소그래피 오버레이 오류를 제거하는 것이 실질적으로 불가능함에 따라, 비아와 하부의 전도성 라인 사이의 오정렬이 일부 IC에서 또는 IC의 일부 부분에서 발생된다. 이러한 것이 도 2a 및 도 2b에 도시되어 있고, 도 2a는 소자(200)의 상면도이고, 도 2b는 도 2a의 "2-2" 라인을 따른 반도체 소자(200)의 횡단면도이다. 소자(200)는 많은 측면에서 소자(100)와 유사하다. 그러나, 소자(200)의 제조 중에, 비아와 전도성 라인의 각각의 중심선들 사이의 오정렬로서 규정되는, 오버레이 오류(E)가 비아(112A)와 전도성 라인(106A) 사이에서 발생된다. 오버레이 오류(E)가, 리소그래피 광원, 레지스트 재료, 레지스트 현상 프로세스, 에칭 프로세스, 등과 같은 리소그래피 및 에칭 프로세스에서의 변동에 의해서 유발될 수 있을 것이다. 오버레이 오류(E)가 프로세스 변동 윈도우(window; 범위) 내에 있을 수 있을 것이나, 적절하게 다루어지지 않는 경우에 소자(200)에 대해서 품질 및/또는 신뢰성 문제를 유발할 수 있을 것이다. 통상적인 다층 상호 접속 구조체에서, 에칭 중단 층(108)이 존재하지 않는다. 그 대신에, 전도성 라인(106A 및 106B)이 유전체 층(104) 내에 완전히 매립될 수 있을 것이다. 전도성 라인(106A) 위의 오정렬된 비아 홀이 하부의 유전체 층(104)의 과다 에칭을 유발할 수 있을 것이고, 그러한 유전체 층(104)의 에칭률(etching rate)은 전형적으로 크다(에칭이 용이하다). 결과적으로, 비아(112A)와 근처의 전도성 라인(예를 들어, 전도성 라인(106B)) 사이의 측방향 거리(D)가 매우 작아질 수 있고, 그 사이에서 가교연결(bridging)을 유발할 수 있을 것이다. As shown in FIGS. 1A and 1B, the vias 112A are properly aligned with the conductive lines 106A, that is, the vias are overlapped with the center lines of the conductive lines in a top view, Lt; RTI ID = 0.0 > 106A. ≪ / RTI > This is an ideal case for manufacturing. However, as it is virtually impossible to eliminate lithographic overlay errors, misalignment between the via and the underlying conductive lines occurs in some ICs or in some portions of the ICs. This is illustrated in FIGS. 2A and 2B, wherein FIG. 2A is a top view of the device 200, and FIG. 2B is a cross-sectional view of the semiconductor device 200 along the line "2-2" of FIG. 2A. The device 200 is similar in many respects to the device 100. However, during fabrication of device 200, an overlay error (E), defined as a misalignment between the respective center lines of the via and the conductive line, is generated between the via 112A and the conductive line 106A. Overlay error E may be caused by variations in lithography and etching processes such as lithographic light sources, resist materials, resist development processes, etch processes, and the like. The overlay error E may be within the process variation window, but may cause quality and / or reliability problems for the device 200 if not properly handled. In a typical multi-layer interconnect structure, there is no etch stop layer 108. Instead, the conductive lines 106A and 106B may be completely embedded in the dielectric layer 104. Misaligned via holes on the conductive lines 106A may cause excessive etching of the underlying dielectric layer 104 and the etching rate of such dielectric layer 104 is typically large ). As a result, the lateral distance D between the via 112A and the adjacent conductive line (e. G., Conductive line 106B) can be very small and can cause bridging therebetween will be.

본 실시예에서, 에칭 중단 층(108)의 두께(TH)가 오버레이 오류(E)보다 크도록 형성된다. 이는, 비아 홀의 에칭을 에칭 중단 층(108)의 측벽 내에서 이루어지도록 효과적으로 제한한다. 또한, 에칭 중단 층(108)이 유전체 층(110 및 104)보다 낮은 에칭률을 갖는다. 예를 들어, 비아 홀 에칭 프로세스 중에, 에칭 중단 층(108)의 에칭이 유전체 층(110 및 104)의 에칭보다 3배 더 느릴 수 있을 것이다. 이는, 도 2b에 도시된 바와 같이, 비아 홀이 전도성 라인(106A)과 사실상 오정렬될 때, 유전체 층(110 및 104)의 측방향 및 수직 에칭을 효과적으로 제한한다. 결과적으로, 비아(112A)와 이웃하는 전도성 라인(106B) 사이의 측방향 거리(D)가, 동일한 양의 오버레이 오류에 대해서, 통상적인 상호 접속 구조체보다 본 실시예에서 유리하게 더 멀다. 또한, 에칭 중단 층(108)이 전도성 라인(106A/B) 내의 금속 재료 위의 부가적인 확산 장벽 층으로서의 역할을 하고, 이는 전자 이동(EM) 및 시간-의존적 유전체 파괴(TDDB)를 감소시키는 것에 의해서 소자 신뢰성을 개선한다. 상호 접속 구조체(103)를 제조하는 방법이 이하에서 설명될 것이다.In this embodiment, the thickness TH of the etch stop layer 108 is formed to be larger than the overlay error E. This effectively limits the etching of the via holes to occur in the sidewalls of the etch stop layer 108. In addition, the etch stop layer 108 has an etch rate lower than the dielectric layers 110 and 104. For example, during the via hole etching process, the etch of the etch stop layer 108 may be three times slower than the etch of the dielectric layers 110 and 104. This effectively limits the lateral and vertical etch of the dielectric layers 110 and 104 when the via holes are substantially misaligned with the conductive lines 106A, as shown in FIG. 2B. As a result, the lateral distance D between the via 112A and the neighboring conductive line 106B is advantageously greater in this embodiment than a conventional interconnect structure, for the same amount of overlay error. In addition, the etch stop layer 108 serves as an additional diffusion barrier layer over the metal material in the conductive lines 106A / B, which reduces electron migration (EM) and time-dependent dielectric breakdown (TDDB) Thereby improving device reliability. A method of manufacturing the interconnect structure 103 will be described below.

도 3은, 본 개시 내용의 여러 가지 양태에 따른, 다층 상호 접속 구조체(103)를 가지는 반도체 소자(100)와 같은, 다층 상호 접속 구조체를 가지는 반도체 소자를 형성하는 방법(300)의 흐름도를 도시한다. 방법(300)은 단지 예시적인 것이고, 청구항에서 명시적으로 인용된 것을 넘어서서 본 개시 내용을 제한하기 위한 의도를 가지지 않는다. 방법의 부가적인 실시예를 위해서, 방법(300)의 이전에, 그 도중에, 그리고 그 이후에 부가적인 공정이 제공될 수 있다는 것, 그리고 설명된 공정의 일부가 대체되거나, 제거되거나, 이동될 수 있다. 제조 프로세스의 여러 단계에서의 반도체 소자(100)의 횡단면도인 도 4 내지 도 12와 함께, 방법(300)을 이하에서 설명한다.3 illustrates a flow diagram of a method 300 of forming a semiconductor device having a multi-layer interconnect structure, such as semiconductor device 100 having a multi-layer interconnect structure 103, according to various aspects of the present disclosure. do. The method 300 is illustrative only and is not intended to limit the present disclosure beyond what is explicitly recited in a claim. For additional embodiments of the method, it is contemplated that additional processes may be provided before, during, and after method 300, and that some of the processes described may be replaced, removed, or moved have. The method 300 will now be described in conjunction with FIGS. 4-12, which are cross-sectional views of the semiconductor device 100 at various stages of the manufacturing process.

공정(302)에서, 방법(300)(도 3)이 도 4에 도시된 바와 같은 소자(100)를 수용한다. 소자(100)(도 4)가 기판(102), 유전체 층(104), 및 유전체 층(104) 내에 매립된 전도성 라인(106A/B)을 포함한다. 기판(102), 유전체 층(104), 및 전도성 라인(106A/B)의 조성이 도 1a 및 도 1b와 관련하여 위에서 설명되었다. 유전체 층(104) 및 전도성 라인(106A/B)이, 이하에서 설명되는 바와 같이, 증착(deposition), 리소그래피, 에칭, 및 CMP 프로세스를 포함하는 다양한 프로세스에 의해서 기판(102) 위에 형성될 수 있다.At step 302, the method 300 (FIG. 3) receives the element 100 as shown in FIG. The device 100 (FIG. 4) includes a substrate 102, a dielectric layer 104, and a conductive line 106A / B embedded in the dielectric layer 104. The composition of the substrate 102, the dielectric layer 104, and the conductive lines 106A / B has been described above with reference to Figs. 1A and 1B. Dielectric layer 104 and conductive lines 106A / B may be formed on substrate 102 by a variety of processes including deposition, lithography, etching, and CMP processes, as described below .

실시예에서, 유전체 층(104)이 저유전상수 유전체 재료를 포함하고, 저압 CVD(LPCVD), 플라즈마-증강형 CVD(PECVD), 유동성(flowable) CVD(FCVD), 또는 다른 적합한 증착 기술과 같은 화학기상증착(CVD) 기술에 의해서 기판(102) 위에 성막된다. 예를 들어, FCVD 프로세스는 상부의 여러 트렌치를 충전하기 위해서 기판(102) 위에 유동성 재료(예를 들어, 액체 화합물)를 성막하는 단계, 및 열적 어닐링 또는 자외선 복사와 같은 적절한 기술에 의해서 유동성 재료를 고체 재료로 변환시키는 단계를 포함한다. 이어서, 유전체 층(104)이 평면형 상부 표면을 가지도록 CMP 프로세스에 의해서 평탄화되거나 달리 리세싱된다(recessed). In an embodiment, dielectric layer 104 includes a low dielectric constant dielectric material and is chemically stable, such as low pressure CVD (LPCVD), plasma enhanced chemical vapor deposition (PECVD), flowable CVD (FCVD) Is deposited on the substrate 102 by vapor deposition (CVD) techniques. For example, the FCVD process may include depositing a flowable material (e.g., a liquid compound) over the substrate 102 to fill the various trenches at the top, and depositing the flowable material < RTI ID = 0.0 > Into a solid material. The dielectric layer 104 is then planarized or otherwise recessed by a CMP process to have a planar top surface.

후속하여, 유전체 층(104)이 하나 이상의 리소그래피 및 에칭 프로세스로 패터닝되어 트렌치를 내부에 형성한다. 리소그래피 프로세스가 유전체 층(104) 위에 놓이는 포토레지스트(또는 레지스트) 층을 형성하는 단계, 레지스트를 패턴으로 노광시키는 단계, 노광후 베이크(bake) 프로세스를 실시하는 단계, 및 레지스트를 현상하여 레지스트를 포함하는 마스킹 요소를 형성하는 단계를 포함할 수 있다. 이어서, 마스킹 요소를 이용하여 트렌치를 유전체 층(104) 내로 에칭한다. 에칭 프로세스가 건식 에칭, 습식 에칭, 및/또는 다른 적절한 프로세스를 포함할 수 있다.Subsequently, the dielectric layer 104 is patterned with one or more lithographic and etch processes to form trenches therein. The lithographic process may include forming a photoresist (or resist) layer overlying the dielectric layer 104, exposing the resist to a pattern, performing a post-exposure bake process, and developing the resist to include a resist To form a masking element. The trenches are then etched into the dielectric layer 104 using masking elements. The etching process may include dry etching, wet etching, and / or other suitable processes.

그 후에, 전기 전도성 장벽/접착 층 및 금속(예를 들어, 구리) 전도체 층이, 스퍼터링, CVD, 및 전해 또는 무전해 도금과 같은 기술 중 하나 이상에 의해서, 패터닝된 유전체 층(104) 상에 성막된다. 장벽 층 및 금속 전도체 층이 유전체 층(104) 내의 트렌치를 과다 충전한다. 그 후에, CMP 프로세스를 실시하여 소자(100)의 상부 표면을 평탄화시키고 그에 따라 유전체 층(104) 위의 과다 장벽 및 금속 재료를 제거한다. 트렌치 내의 장벽 및 금속 재료가 남아서, 전도성 라인(106A/B)을 형성한다. CMP 프로세스의 결과로서, 유전체 층(104)의 상부 표면(104') 및 전도성 라인(106A/B)의 상부 표면(106')이 동일 평면으로 된다. Thereafter, an electrically conductive barrier / adhesive layer and a metal (e.g., copper) conductor layer are deposited over the patterned dielectric layer 104 by one or more of techniques such as sputtering, CVD, and electrolytic or electroless plating Lt; / RTI > The barrier and metal conductor layers overfill the trenches in the dielectric layer 104. Thereafter, a CMP process is performed to planarize the top surface of the device 100, thereby removing the excess barrier and metal material on the dielectric layer 104. Barriers and metal materials remain in the trenches, forming conductive lines 106A / B. As a result of the CMP process, the top surface 104 'of the dielectric layer 104 and the top surface 106' of the conductive lines 106A / B are coplanar.

공정(304)에서, 방법(300)(도 3)이 유전체 층(104)을 리세싱하여, 전도성 라인(106A/B)을 부분적으로 노출시킨다. 도 5를 참조하면, 유전체 층(104)이 리세싱되고, 전도성 라인(106A/B)의 제1 부분이 "z" 방향으로 상부 표면(104') 위로 높이(H)를 가지도록 노출된다. 전도성 라인(106A/B)의 나머지 부분이 유전체 층(104) 내에 여전히 매립된다. 실시예에서, 전도성 라인(106A/B)이 구리를 포함하고, 공정(304)은 유전체 층(104)의 리세싱을 위해서 조정된 반응성 이온 에칭(RIE) 프로세스를 포함한다. 전도성 라인(106A/B)이 RIE 프로세스에서 실질적으로 변화되지 않고 유지된다. 그러나, 전도성 라인의 상부 표면과 측벽 표면 사이의 전도성 라인(106A/B)의 에지가 에칭 프로세스 중에 라운딩되기 시작한다. 높이(H)는, 에칭 중단 층(108)(도 2b)이 얼마나 큰 수직 보호를 제공할 것인지를 결정하는 인자 중 하나이다. 만약 높이(H)가 너무 낮다면, 오정렬된 비아 홀이 에칭 중단 층(108)을 침투하고 유전체 층(104) 내로 도달할 수 있을 것이다. RIE 프로세스에서 유전체 층(104)의 에칭 시간 및 에칭률을 제어하는 것에 의해서, 바람직한 높이(H)가 얻어질 수 있다. 실시예에서, 높이(H)가 약 1 나노미터(nm) 내지 약 7 nm의 범위 이내가 되도록 제어된다. At step 304, the method 300 (FIG. 3) recesses the dielectric layer 104 to partially expose the conductive lines 106A / B. Referring to FIG. 5, dielectric layer 104 is recessed and exposed such that a first portion of conductive line 106A / B has a height H above the top surface 104 'in the "z" direction. The remaining portion of the conductive line 106A / B is still embedded in the dielectric layer 104. [ In an embodiment, the conductive lines 106A / B comprise copper and the process 304 comprises a reactive ion etching (RIE) process adapted for the recessing of the dielectric layer 104. The conductive lines 106A / B remain substantially unchanged in the RIE process. However, the edge of the conductive line 106A / B between the top surface of the conductive line and the sidewall surface begins to be rounded during the etching process. The height H is one of the factors that determine how large the vertical protection etch stop layer 108 (FIG. 2B) will provide. If the height H is too low, misaligned via holes will penetrate the etch stop layer 108 and reach into the dielectric layer 104. By controlling the etch time and etch rate of the dielectric layer 104 in the RIE process, the desired height H can be obtained. In an embodiment, the height H is controlled to be within a range of about 1 nanometer (nm) to about 7 nm.

공정(306)에서, 방법(300)(도 3)이 에칭 중단 층(108)을 유전체 층(104) 위에 성막시키고 전도성 라인(106A/B)을 노출시킨다. 도 6을 참조하면, 본 실시예에서, 에칭 중단 층(108)이 "x-z" 평면 내에서 컨포멀한 단면 프로파일을 가지도록 성막되고 전도성 라인(106A/B)의 상부 표면 및 측벽 표면 모두를 커버한다. 본 실시예에서, 전도성 라인(106A/B)의 라운드형 에지는 에칭 중단 층(108)의 컨포멀한 성막을 돕는다. 실시예에서, 에칭 중단 층(108)이 원자층 증착(ALD) 기술을 이용하여 성막된다. 또한, 에칭 중단 층(108)이 측벽 두께(TH)를 가지도록 성막된다. 측벽 두께(TH)가 제조 프로세스에 의해서 허용되는 최대 리소그래피 오버레이 오류보다 더 두껍도록 제어된다. 이는, 오정렬된 비아 홀이 과다하게 측방향으로("x" 방향으로) 에칭되는 것을 효과적으로 방지한다. 예에서, 두께(TH)가 약 1 nm 내지 약 7 nm의 범위이다. 대안적인 실시예에서, 에칭 중단 층(108)이 "x-z" 평면 내에서 컨포멀한 단면 프로파일을 가지지 않으나, 그 측벽 두께(TH)가 제조 프로세스에 의해서 허용되는 최대 리소그래피 오버레이 오류보다 여전히 더 두껍도록 형성된다. 여러 실시예에서, 에칭 중단 층(108)이, 유전체 층(104)보다 높은 밀도를 가지는 재료를 포함한다. 하나의 예에서, 유전체 층(104)이 다공성 탄소-도핑된 실리콘 이산화물을 포함하고, 에칭 중단 층(108)이 도핑되지 않은 실리콘 이산화물을 포함한다. 여러 실시예에서, 에칭 중단 층(108)이 SiCN, SiCO, SiO2, SiN, 및 AlON과 같은 재료를 포함할 수 있을 것이다. At step 306, the method 300 (FIG. 3) deposits the etch stop layer 108 over the dielectric layer 104 and exposes the conductive lines 106A / B. Referring to Figure 6, in this embodiment, the etch stop layer 108 is deposited to have a conformal cross-sectional profile in the " xz "plane and both the top and sidewall surfaces of the conductive lines 106A / do. In this embodiment, the rounded edges of the conductive lines 106A / B help conformal deposition of the etch stop layer 108. In an embodiment, an etch stop layer 108 is deposited using atomic layer deposition (ALD) techniques. Also, the etching stop layer 108 is formed so as to have a sidewall thickness TH. The sidewall thickness TH is controlled to be thicker than the maximum lithography overlay error allowed by the manufacturing process. This effectively prevents misaligned via holes from being excessively etched laterally (in the "x" direction). In the example, the thickness (TH) ranges from about 1 nm to about 7 nm. In an alternative embodiment, the etch stop layer 108 does not have a conformal cross-sectional profile in the "xz" plane, but its sidewall thickness TH is still thicker than the maximum lithographic overlay error allowed by the manufacturing process . In various embodiments, the etch stop layer 108 comprises a material having a higher density than the dielectric layer 104. In one example, the dielectric layer 104 comprises porous carbon-doped silicon dioxide and the etch stop layer 108 comprises undoped silicon dioxide. In various embodiments, there will be a etch stop layer 108 may comprise a material such as SiCN, SiCO, SiO 2, SiN, and AlON.

공정(308)에서, 방법(300)(도 3)이 에칭 중단 층(108) 위에 제2 유전체 층(110)을 성막시킨다. 도 7을 참조하면, 유전체 층(110)이 유전체 층(104)과 동일한 재료를 이용할 수 있다. 대안적으로, 유전체 층(110)이 상이한 저유전상수 재료를 이용할 수 있다. 여러 가지 실시예에서, 유전체 층(110)은 테트라에틸오르토실리케이트(TEOS) 산화물과 같은 유전체 재료, 도핑되지 않은 실리케이트 유리, 또는 보로포스포실리케이트 유리(BPSG), 융합형 실리카 유리(FSG), 포스포실리케이트 유리(PSG), 보론 도핑된 실리콘 유리(BSG)와 같은 도핑된 실리콘 산화물, 및/또는 다른 적합한 유전체 재료를 포함한다. 유전체 층(110)이 LPCVD, PECVD, 및 FCVD와 같은 화학기상증착(CVD)을 이용하여 형성될 수 있을 것이다. 유전체 층(110)의 상부 표면이 평탄화된다.At step 308, a method 300 (FIG. 3) deposits a second dielectric layer 110 over the etch stop layer 108. Referring to FIG. 7, the dielectric layer 110 may use the same material as the dielectric layer 104. Alternatively, the dielectric layer 110 may utilize different low dielectric constant materials. In various embodiments, the dielectric layer 110 may be formed from a dielectric material such as tetraethylorthosilicate (TEOS) oxide, undoped silicate glass, or borophosphosilicate glass (BPSG), fused silica glass (FSG) Doped silicon oxide such as for example polysilicon glass (PSG), boron doped silicon glass (BSG), and / or other suitable dielectric materials. The dielectric layer 110 may be formed using chemical vapor deposition (CVD), such as LPCVD, PECVD, and FCVD. The upper surface of the dielectric layer 110 is planarized.

공정(310)에서, 방법(300)(도 3)이 적어도 유전체 층(110) 및 에칭 중단 층(108)을 통해서 비아 홀(111)을 에칭하여 전도성 라인(106A)을 부분적으로 노출시킨다. 실시예에서, 비아 홀(111)이, 이하에서 별개로 설명되는, 단일 다마신 프로세스(도 8 내지 도 10에 도시됨) 또는 이중 다마신 프로세스(도 11 내지 도 16b에 도시됨)의 일부로서 에칭될 수 있을 것이다. At step 310, the method 300 (FIG. 3) etches the via hole 111 through at least the dielectric layer 110 and the etch stop layer 108 to partially expose the conductive line 106A. In an embodiment, the via hole 111 is formed as part of a single damascene process (shown in Figures 8 to 10) or a dual damascene process (shown in Figures 11 to 16b), which will be described separately hereinbelow Etched.

도 8을 참조하면, 유전체 층(110) 및 에칭 중단 층(108)을 통해서 에칭된 비아 홀(111)을 가지는 소자(100)가 도시되어 있다. 비아 홀(111)은 전도성 라인(106A)의 상부 표면의 일부를 노출시키나, 전도성 라인(106A)의 측벽 표면은 노출시키지 않는다. 본 실시예에서, 비아 홀(111)이 하나 이상의 리소그래피 및 에칭 프로세스에 의해서 형성된다. 리소그래피 프로세스가 유전체 층(110) 위에 놓이는 레지스트 층을 형성하는 단계, 레지스트를 패턴으로 노광시키는 단계, 노광후 베이크 프로세스를 실시하는 단계, 및 레지스트를 현상하여 레지스트를 포함하는 마스킹 요소를 형성하는 단계를 포함할 수 있을 것이다. 이어서, 마스킹 요소를 이용하여, 전도성 라인(106)이 노출될 때까지, 트렌치를 유전체 층(110) 및 에칭 중단 층(108) 내로 에칭한다. 에칭 프로세스가 건식 에칭, 습식 에칭, 및/또는 다른 적절한 프로세스를 포함할 수 있다. Referring to FIG. 8, there is shown a device 100 having a via hole 111 etched through a dielectric layer 110 and an etch stop layer 108. The via hole 111 exposes a part of the upper surface of the conductive line 106A, but does not expose the side wall surface of the conductive line 106A. In this embodiment, the via hole 111 is formed by one or more lithography and etching processes. The lithographic process may include forming a resist layer overlying the dielectric layer 110, exposing the resist to a pattern, performing a post-exposure bake process, and developing the resist to form a masking element comprising the resist . The trenches are then etched into the dielectric layer 110 and etch stop layer 108 using a masking element until the conductive lines 106 are exposed. The etching process may include dry etching, wet etching, and / or other suitable processes.

실시예에서, 유전체 층(104)을 패터닝하기 위한 리소그래피 프로세스 및 유전체 층(110)을 패터닝하기 위한 리소그래피 프로세스가 2개의 별개의 마스크(또는 포토마스크)를 이용한다. 전도성 라인(106A)이 이전의 프로세스에 의해서 이용된 하나의 마스크 내의 트렌치로서 표시되고, 비아 홀(111)은 이후의 프로세스에 의해서 이용되는 다른 마스크 내의 다른 트렌치로서 표시된다. 프로세스 변동(variation)으로 인해서, 특정 오정렬(또는 오버레이 오류)이 비아 홀(111)과 전도성 라인(106A) 사이에 존재할 수 있을 것이다. 도 8a에 도시된 바와 같이, 비아 홀(111A)이 오버레이 오류(E)로 인해서 전도성 라인(106A)과 적절하게 정렬되지 않는다. 결과적으로, 비아 홀(111A)이 전도성 라인(106A)의 상부 표면을 노출시킬 뿐만 아니라, 전도성 라인(106A)의 측벽 표면의 일부를 또한 노출시킨다. 에칭 중단 층(108)이 없는 상태에서, 그러한 오정렬은 적어도 2개의 부정적인 영향을 생성할 수 있을 것이다. 하나의 부정적인 영향은, 에칭 프로세스가, 그 큰 에칭률로 인해서, 측방향으로("x" 방향을 따라) 유전체 층(110)을 과다하게 에칭할 수 있다는 것이다. 이는 형성될 비아와 이웃하는 전도성 라인(106B) 사이의 거리(D)를 바람직하지 못하게 감소시킬 수 있고, 그에 따라 가교연결 결함(전기적 단락)을 유발할 수 있다. 다른 부정적인 영향은, 에칭 프로세스가, 그 큰 에칭률로 인해서, 수직방향으로("z" 방향을 따라) 유전체 층(104)을 과다하게 에칭할 수 있다는 것이다. 이는, 유전체 층(104) 내로의 금속 확산을 유발하여, IC에 대한 장시간의 신뢰성 문제를 유발할 수 있을 것이다. 본 실시예에서, 에칭 중단 층(108)이 유전체 층(110 및 104)보다 작은 에칭률을 가지고, 이는 비아 홀(111A)의 측방향 및 수직 에칭을 감소시킨다. 또한, 에칭 중단 층(108)의 측벽 두께(TH)가 오버레이 오류(E)보다 크도록 형성된다. 이는, 비아 홀(111A)의 바닥 부분이 에칭 중단 층(108) 및 전도성 라인(106A) 내에서 한정되도록 보장한다. 또한, 전도성 라인(106A/B) 위의 에칭 중단 층(108)이 가교연결, EM, 및 TDDB 결함에 대한 부가적인 보호부로서 작용한다. 요약하면, 에칭 중단 층(108)의 존재는, 비아와 하부의 전도성 라인 사이의 특정량의 오버레이 오류와 연관된 결함 및 신뢰성 문제을 방지한다. 이는, 기존의 다층 상호 접속 구조체보다 우수한, 본 개시 내용에 의해서 제공되는 장점 중 하나이다.In an embodiment, a lithographic process for patterning the dielectric layer 104 and a lithographic process for patterning the dielectric layer 110 use two separate masks (or photomasks). The conductive line 106A is represented as a trench in one mask used by a previous process and the via hole 111 is represented as another trench in another mask used by a subsequent process. Due to process variations, a specific misalignment (or overlay error) may exist between the via hole 111 and the conductive line 106A. As shown in Fig. 8A, the via hole 111A is not properly aligned with the conductive line 106A due to the overlay error E. As a result, the via hole 111A not only exposes the upper surface of the conductive line 106A, but also exposes a part of the side wall surface of the conductive line 106A. In the absence of the etch stop layer 108, such misalignment may create at least two negative effects. One negative effect is that the etch process can excessively etch the dielectric layer 110 laterally (along the "x" direction) due to its large etch rate. This can undesirably reduce the distance D between the via to be formed and the neighboring conductive line 106B, thereby causing cross-linking defects (electrical shorts). Another negative effect is that the etch process can over-etch the dielectric layer 104 in the vertical direction (along the "z" direction) due to its large etch rate. This may cause metal diffusion into the dielectric layer 104, resulting in a long-term reliability problem for the IC. In this embodiment, the etch stop layer 108 has a lower etch rate than the dielectric layers 110 and 104, which reduces the lateral and vertical etch of the via hole 111A. Also, the sidewall thickness TH of the etch stop layer 108 is formed to be larger than the overlay error E. This ensures that the bottom portion of the via hole 111A is confined within the etch stop layer 108 and the conductive line 106A. In addition, the etch stop layer 108 over the conductive lines 106A / B serves as an additional protection against cross-linking, EM, and TDDB defects. In summary, the presence of the etch stop layer 108 prevents defects and reliability problems associated with a certain amount of overlay error between the via and underlying conductive lines. This is one of the advantages provided by the present disclosure, which is superior to conventional multilayer interconnect structures.

공정(312)에서, 방법(300)(도 3)은 도 8의 비아 홀(111) 내에 비아(112A)를 형성한다. 도 9를 참조하면, 비아(112A)가 하나 이상의 장벽 층 및 금속 전도체 층을 포함한다. 하나의 예에서, 장벽 층(들)이 탄탈(Ta) 또는 탄탈 질화물(TaN)을 포함할 수 있고, 금속 전도체가 구리(Cu), 알루미늄(Al), 텅스텐(W), 코발트(Co), 또는 다른 적합한 금속을 포함할 수 있다. 장벽 층이 CVD, 물리기상증착(PVD), 또는 ALD 기술에 의해서 형성될 수 있고, 금속 전도체가 스퍼터링, CVD, 또는 전기도금 기술에 의해서 형성될 수 있다. 장벽 층 및 금속 전도체가 상기의 성막 방법을 이용하여 비아 홀(111)을 과다 충전한다. 후속하여, CMP 프로세스를 실시하여 유전체 층(110)의 상부 표면 위의 과다 재료를 제거하며, 그에 따라 나머지 장벽 층 및 금속 전도체를 비아(112A)로서 남긴다.At step 312, the method 300 (FIG. 3) forms a via 112A in the via hole 111 of FIG. Referring to FIG. 9, vias 112A include at least one barrier layer and a metal conductor layer. In one example, the barrier layer (s) may comprise tantalum (Ta) or tantalum nitride (TaN) and the metal conductor may comprise copper (Cu), aluminum (Al), tungsten (W), cobalt Or other suitable metals. The barrier layer may be formed by CVD, physical vapor deposition (PVD), or ALD techniques, and metal conductors may be formed by sputtering, CVD, or electroplating techniques. The barrier layer and the metal conductor over fill the via hole 111 using the above-described film forming method. Subsequently, a CMP process is performed to remove excess material on the top surface of dielectric layer 110, thereby leaving the remaining barrier layer and metal conductor as vias 112A.

공정(314)에서, 방법(300)(도 3)이, 비아(112A)를 통해서 전도성 라인(106A)에 커플링된, 다른 전도성 라인, 즉 전도성 라인(116A)을 형성한다. 도 10을 참조하면, 유전체 층(114)이 유전체 층(110) 위에 형성되고, 전도성 라인(116A)이 유전체 층(114) 내에 놓이고 비아(112A)를 통해서 전도성 라인(106A)으로 전기적으로 커플링된다. 유전체 층(114)이 유전체 층(110 및 104)과 동일한 또는 상이한 유전체 재료를 포함할 수 있을 것이다. 여러 실시예에서, 전도성 라인(116A)의 조성이 전도성 라인(106A)과 실질적으로 동일하다. 실시예에서, 공정(314)은 저유전상수 유전체 층(114)을 유전체 층(110) 위에 성막시키는 것, 유전체 층(114)을 에칭하여 그 내부에 트렌치를 형성하는 것, 트렌치를 전기 전도성 장벽/접착 층 및 금속 전도체로 과다 충전하는 것, 그리고 과다 장벽 층 및 금속 전도체를 제거하기 위해서 소자(100)의 상부 표면을 평탄화하는 것을 포함한다. 방법(300)은, 예를 들어, 상호 접속 구조체(103)의 부가적인 전도성 층을 제조하는 것에 의해서 소자(100)의 제조를 완료하기 위한 추가적인 단계로 진행할 수 있을 것이다. At step 314, the method 300 (FIG. 3) forms another conductive line, or conductive line 116A, coupled to the conductive line 106A through the via 112A. 10, a dielectric layer 114 is formed over the dielectric layer 110 and a conductive line 116A is placed in the dielectric layer 114 and electrically coupled to the conductive line 106A through the via 112A. . The dielectric layer 114 may comprise dielectric materials that are the same or different than the dielectric layers 110 and 104. In various embodiments, the composition of the conductive line 116A is substantially the same as the conductive line 106A. In an embodiment, process 314 includes depositing a low dielectric constant dielectric layer 114 over the dielectric layer 110, etching the dielectric layer 114 to form a trench therein, depositing the trench in an electrically conductive barrier / Overfilling the adhesive layer and the metal conductor, and planarizing the upper surface of the device 100 to remove the excess barrier layer and the metal conductor. The method 300 may proceed to an additional step for completing the fabrication of the device 100, for example, by fabricating an additional conductive layer of the interconnect structure 103.

도 11 내지 도 16b는 이중 다마신 프로세스를 이용한 비아(112A) 및 전도성 라인(116A)의 형성을 도시한다. 도 11을 참조하면, 본 개시 내용의 여러 가지 양태에 따른, 다층 상호 접속 구조체(103)을 가지는 반도체 소자(100)와 같은, 다층 상호 접속 구조체를 가지는 반도체 소자를 형성하는 방법(400)이 도시되어 있다. 방법(400)이 방법(300)(도 3)의 실시예로서 보여질 수 있을 것이고, 그러한 방법(400)은 공정(308)로부터 진행되고 이중 다마신 프로세스에서 비아(112A) 및 전도성 라인(116A)을 형성한다. 방법(400)이 도 12a 내지 16b와 함께 이하에서 간단하게 설명된다. 특히 도 12a, 13a, 14a, 15a, 및 16a는 여러 가지 제조 단계 중의 반도체 소자(100)의 횡단면도를 도시하는 한편, 도 12b, 13b, 14b, 15b, 및 16b는 각각의 제조 단계 중의 반도체 소자(200)의 횡단면도를 도시한다. 소자(100 및 200)가 동일한 IC의 상이한 부분일 수 있거나 상이한 IC들의 부분들일 수 있을 것이다. 소자가 설명의 목적을 위해서 나란히 배치된다. Figures 11 to 16B illustrate the formation of vias 112A and conductive lines 116A using a dual damascene process. Referring to Figure 11, a method 400 of forming a semiconductor device having a multi-layer interconnect structure, such as semiconductor device 100 having a multi-layer interconnect structure 103, according to various aspects of the present disclosure, . The method 400 may be viewed as an embodiment of the method 300 (FIG. 3), and the method 400 proceeds from the process 308 and in the dual damascene process, the via 112A and the conductive line 116A ). The method 400 is briefly described below in conjunction with Figures 12A-16B. 12a, 13a, 14a, 15a, and 16a illustrate a cross-sectional view of semiconductor device 100 during various fabrication steps, while Figures 12b, 13b, 14b, 15b, 200). ≪ / RTI > Devices 100 and 200 may be different portions of the same IC or portions of different ICs. The devices are arranged side by side for purposes of illustration.

공정(402)에서, 방법(400)이 제2 유전체 층(110) 내에 트랙 트렌치(track trench)(113)를 에칭한다. 도 12a 및 도 12b를 참조하면, 트랙 트렌치(113)는 전도성 라인(116A)을 위한 자리유지부(placeholder)이다. In step 402, the method 400 etches a track trench 113 in the second dielectric layer 110. [ 12A and 12B, the track trench 113 is a placeholder for the conductive line 116A.

공정(404)에서, 방법(400)은 트랙 트렌치(113) 위에 비아 트렌치(111)를 형성하기 위한 리소그래피 프로세스를 실시한다. 도 13a 및 도 13b를 참조하면, 3개의 재료의 층(3-층 리소그래피)을 이용하는 예시적인 리소그래피 프로세스가 도시되어 있다. 3개의 층이: 바닥 층(BL)(118), 중간 층(ML)(120), 및 레지스트(122)이다. BL(118)이 트랙 트렌치(113)(도 12a/12b)를 충전하고, ML(120)이 BL(118) 위에 형성되며, 그리고 레지스트(122)가 ML(120) 위에 형성되고 포토리소그래피 프로세스에 의해서 추가적으로 패터닝되어 비아 트렌치(111)를 내부에 제공한다. 도 13a에 도시된 바와 같이, 소자(100)의 제조에서, 비아 트렌치(111)가 전도성 라인(106A)과 적절하게 정렬된다. 도 13b에 도시된 바와 같이, 소자(200)의 제조에서, 리소그래피 오버레이 오류(E)로 인해서, 비아 트렌치(111)가 전도성 라인(106A)과 오정렬된다.At step 404, the method 400 performs a lithography process to form a via trench 111 over the track trench 113. [ Referring to Figures 13A and 13B, an exemplary lithographic process using a layer of three materials (three-layer lithography) is shown. Three layers are: a bottom layer (BL) 118, an intermediate layer (ML) 120, and a resist 122. The ML 120 is formed over the BL 118 and the resist 122 is formed over the ML 120 and the photolithography process is completed To provide a via trench 111 therein. 13A, in the fabrication of the device 100, the via trench 111 is properly aligned with the conductive line 106A. 13B, due to the lithographic overlay error E, in the fabrication of the device 200, the via trench 111 is misaligned with the conductive line 106A.

공정(310)의 실시예인 공정(406)에서, 방법(400)은 비아 트렌치(111)를 다양한 하부의 층으로 연장시키기 위해서 하나 이상의 에칭 프로세스를 실시한다. 도 14a 및 도 14b를 참조하면, BL(118), 제2 유전체 층(110), 및 에칭 중단 층(108)이 에칭되어 전도성 라인(106A)을 부분적으로 노출시킨다. 도 13a 및 도 13b의 레지스트(122) 및 ML(120)이 제거되었다.In step 406, which is an embodiment of process 310, the method 400 performs one or more etch processes to extend the via trench 111 into the various underlying layers. 14A and 14B, the BL 118, the second dielectric layer 110, and the etch stop layer 108 are etched to partially expose the conductive line 106A. The resist 122 and the ML 120 of Figs. 13A and 13B have been removed.

공정(408)에서, 방법(400)이 BL(118)을 제거하여 트랙 트렌치(113)를 노출시킨다. 도 15a 및 도 15b를 참조하면, 트랙 트렌치(113) 및 비아 홀(111)이 형성되고, 전도성 라인(106A)이 소자(100 및 200)의 각각에서 부분적으로 노출된다. 소자(100)에서, 비아 홀(111)이 전도성 라인(106A)과 적절하게 정렬되고, 전도성 라인(106A)의 상부 표면의 일부만이 노출된다. 소자(200)에서, 비아 홀(111)이 전도성 라인(106A)과 오정렬되고, 결과적으로, 전도성 라인(106A)의 상부 표면의 일부 및 측벽 표면의 일부가 노출된다. 에칭 중단 층(108)의 존재로 인해서, 비아 홀(111A)의 바닥 부분이 에칭 중단 층(108) 및 전도성 라인(106A) 내에서 유리하게 한정된다.At step 408, the method 400 removes the BL 118 to expose the track trench 113. 15A and 15B, a track trench 113 and a via hole 111 are formed, and a conductive line 106A is partially exposed at each of the elements 100 and 200. As shown in FIG. In the device 100, the via hole 111 is properly aligned with the conductive line 106A, and only a part of the upper surface of the conductive line 106A is exposed. In the device 200, the via hole 111 is misaligned with the conductive line 106A, and consequently, a part of the upper surface of the conductive line 106A and a part of the side wall surface are exposed. Due to the presence of the etch stop layer 108, the bottom portion of the via hole 111A is advantageously defined within the etch stop layer 108 and the conductive line 106A.

공정(410)에서, 도 16a 및 도 16b에서 도시된 바와 같이, 방법(400)이 비아 홀(111) 및 트랙 트렌치(113)를 적절한 재료(들)로 충전하여 비아(112A) 및 전도성 라인(116A)을 형성한다. 후속하여, CMP 프로세스를 실시하여 과다 재료(들)를 제거하고 소자(100 및 200)의 상부 표면을 평탄화한다. 공정(410)이 공정(312 및 314)의 조합으로서 보여질 수 있을 것이다. 16A and 16B, the method 400 is completed by filling the via hole 111 and the track trench 113 with the appropriate material (s) to form a via 112A and a conductive line (s) 116A. Subsequently, a CMP process is performed to remove excess material (s) and planarize the top surface of devices 100 and 200. Process 410 may be viewed as a combination of processes 312 and 314.

방법(400)은, 예를 들어, 상호 접속 구조체(103)의 부가적인 전도성 층을 제조하는 것에 의해서 소자(100 및 200)의 제조를 완료하기 위한 추가적인 단계로 진행할 수 있을 것이다. The method 400 may proceed to an additional step for completing the fabrication of the devices 100 and 200, for example, by making additional conductive layers of the interconnect structure 103.

비록 제한하기 위한 의도는 없지만, 본 개시 내용의 하나 이상의 실시예가 반도체 소자 및 그 형성 방법에 대한 많은 장점을 제공한다. 예를 들어, 본 개시 내용의 실시예는 다층 상호 접속 구조체 내의 전도성 라인 위의 보호 층으로서 에칭 중단 층을 제공한다. 에칭 중단 층은, 오버레이 오류로 인한 비아-와이어 오정렬이 있을 때, 하부의 유전체 층의 부정적인 측방향 및 수직 에칭을 제한한다. 실시예에서, 에칭 중단 층의 측벽 두께가 제조 프로세스에 의해서 허용되는 최대 오버레이 오류보다 더 두껍도록 형성된다. 이는, 비아-와이어 오정렬과 연관된 가교연결 결함 및 EM/TDDB 문제를 효과적으로 제거한다. Although not intending to be limiting, one or more embodiments of the present disclosure provide many advantages over semiconductor devices and methods of forming them. For example, an embodiment of the present disclosure provides an etch stop layer as a passivation layer over a conductive line in a multilayer interconnect structure. The etch stop layer limits the negative lateral and vertical etch of the underlying dielectric layer when there is via-wire misalignment due to overlay errors. In an embodiment, the sidewall thickness of the etch stop layer is formed to be thicker than the maximum overlay error tolerated by the fabrication process. This effectively eliminates bridging connection defects and EM / TDDB problems associated with via-wire misalignment.

하나의 예시적인 양태에서, 본 개시 내용은 소자에 관한 것이다. 그러한 소자는, 기판, 기판 위의 제1 유전체 층, 및 제1 유전체 층 내에 부분적으로 매립된 전도성 라인을 포함한다. 전도성 라인의 제1 부분이 제1 유전체 층 내에 놓이고, 전도성 라인의 제2 부분이 제1 유전체 층 위에 배치된다. 소자는 제1 유전체 층 및 전도성 라인 모두의 위에서 에칭 중단 층을 더 포함한다. 소자는 에칭 중단 층 위의 제2 유전체 층을 더 포함한다. 에칭 중단 층은 제1 및 제2 유전체 층의 재료와 상이한 유전체 재료를 포함한다. 제2 유전체 층 및 에칭 중단 층은, 전도성 라인을 부분적으로 노출시키는 개구부를 제공한다. 소자는 개구부 내에 배치되고 전도성 라인에 커플링되는 비아를 더 포함한다.In one exemplary aspect, the present disclosure is directed to a device. Such an element comprises a substrate, a first dielectric layer on the substrate, and a conductive line partially embedded in the first dielectric layer. A first portion of the conductive line is disposed within the first dielectric layer and a second portion of the conductive line is disposed over the first dielectric layer. The device further comprises an etch stop layer on top of both the first dielectric layer and the conductive line. The device further comprises a second dielectric layer over the etch stop layer. The etch stop layer includes a dielectric material that is different from the material of the first and second dielectric layers. The second dielectric layer and etch stop layer provide openings that partially expose the conductive lines. The device further includes a via disposed in the opening and coupled to the conductive line.

다른 예시적인 양태에서, 본 개시 내용은 집적 회로를 위한 다층 상호 접속 구조체를 제조하기 위한 방법에 관한 것이다. 그러한 방법은 기판, 기판 위의 제1 유전체 층, 및 제1 유전체 층 내에 놓이는 전도성 라인을 포함하는 소자를 제공하는 단계를 포함하고, 전도성 라인의 상부 표면과 제1 유전체 층의 상부 표면이 동일 평면에 있다. 방법은, 전도성 라인의 제1 부분이 제1 유전체 층 위에 위치되도록, 제1 유전체 층의 상부 표면을 리세싱하는 단계를 더 포함한다. 방법은 제1 유전체 층 및 전도성 라인의 제1 부분 모두의 위에 에칭 중단 층을 성막시키는 단계를 더 포함한다. 방법은 에칭 중단 층 위에 제2 유전체 층을 성막시키는 단계를 더 포함한다. 방법은, 전도성 라인을 부분적으로 노출시키는 비아 홀을 형성하기 위해서, 제2 유전체 층 및 에칭 중단 층에 대해서 에칭 프로세스를 실시하는 단계를 더 포함하고, 그러한 에칭 프로세스에서의 에칭 중단 층의 에칭률이 에칭 프로세스에서의 제2 유전체 층의 에칭률보다 느리다. 방법은 비아 홀 내에 비아를 형성하는 단계를 더 포함한다.In another illustrative aspect, this disclosure is directed to a method for fabricating a multilayer interconnect structure for an integrated circuit. The method includes providing a device comprising a substrate, a first dielectric layer over the substrate, and a conductive line that lies within the first dielectric layer, wherein the upper surface of the conductive line and the upper surface of the first dielectric layer are coplanar . The method further includes the step of recessing the upper surface of the first dielectric layer such that a first portion of the conductive line is above the first dielectric layer. The method further includes depositing an etch stop layer over both the first dielectric layer and the first portion of the conductive line. The method further comprises depositing a second dielectric layer over the etch stop layer. The method further comprises performing an etch process for the second dielectric layer and the etch stop layer to form a via hole that partially exposes the conductive lines, wherein the etch rate of the etch stop layer in such an etch process is Is slower than the etch rate of the second dielectric layer in the etching process. The method further includes forming a via in the via hole.

다른 예시적인 양태에서, 본 개시 내용은 집적 회로를 위한 다층 상호 접속 구조체를 제조하기 위한 방법에 관한 것이다. 그러한 방법은 기판, 기판 위의 제1 유전체 층, 및 제1 유전체 층 내에 놓이는 전도성 라인을 포함하는 소자를 제공하는 단계를 포함하고, 전도성 라인의 상부 표면과 제1 유전체 층의 상부 표면이 동일 평면에 있다. 방법은, 전도성 라인의 제1 부분이 제1 유전체 층의 상부 표면 위에서 노출되도록, 제1 유전체 층의 상부 표면을 리세싱하는 단계를 더 포함한다. 방법은 제1 유전체 층 및 전도성 라인의 제1 부분 위에 에칭 중단 층을 형성하는 단계를 더 포함하고, 그러한 에칭 중단 층은 컨포멀한 단면 프로파일을 갖는다. 방법은 에칭 중단 층 위에 제2 유전체 층을 성막시키는 단계를 더 포함하고, 제1 및 제2 유전체 층이 동일한 재료로 이루어진다. 방법은, 전도성 라인을 부분적으로 노출시키는 비아 홀을 형성하기 위해서, 제2 유전체 층 및 에칭 중단 층을 에칭하는 단계를 더 포함하고, 그러한 에칭 중단 층은 제2 유전체 층의 에칭률보다 더 낮은 에칭률을 갖는다. 방법은 비아 홀 내에 비아를 형성하는 단계를 더 포함한다.In another illustrative aspect, this disclosure is directed to a method for fabricating a multilayer interconnect structure for an integrated circuit. The method includes providing a device comprising a substrate, a first dielectric layer over the substrate, and a conductive line that lies within the first dielectric layer, wherein the upper surface of the conductive line and the upper surface of the first dielectric layer are coplanar . The method further includes the step of recessing the upper surface of the first dielectric layer such that a first portion of the conductive line is exposed above the upper surface of the first dielectric layer. The method further comprises forming an etch stop layer over the first portion of the first dielectric layer and the conductive line, such etch stop layer having a conformal cross-sectional profile. The method further comprises depositing a second dielectric layer over the etch stop layer, wherein the first and second dielectric layers are made of the same material. The method further includes etching the second dielectric layer and the etch stop layer to form a via hole that partially exposes the conductive line, wherein the etch stop layer is etched to a level lower than the etch rate of the second dielectric layer . The method further includes forming a via in the via hole.

당업자가 본 개시 내용의 양태를 보다 잘 이해할 수 있도록, 전술한 내용이 몇몇 실시예의 피쳐를 개괄하였다. 당업자들이 본원에서 소개된 실시예와 동일한 목적을 달성하고 및/또는 동일한 장점을 성취하기 위해서 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기본으로서 본 개시 내용을 용이하게 이용할 수 있다는 것을, 당업자는 이해하여야 한다. 또한, 당업자는, 그러한 균등한 구성이 본원 개시 내용의 사상 및 범위를 벗어나지 않는다는 것을, 그리고 본원 개시 내용의 사상 및 범위를 벗어나지 않고도 당업자가 여러 가지 변화, 치환, 대안을 안출할 수 있다는 것을 이해하여야 한다.In order that those skilled in the art will be better able to understand aspects of the disclosure, the foregoing has outlined features of some embodiments. Those skilled in the art will readily appreciate that the present disclosure can readily be used as a basis for designing or modifying other processes and structures to achieve the same purpose and / or to achieve the same advantages as the embodiments disclosed herein do. It will also be understood by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the disclosure and that those skilled in the art will be able to make various alterations, permutations, and alternatives without departing from the spirit and scope of the disclosure do.

Claims (10)

소자로서,
기판;
상기 기판 위의 제1 유전체 층;
전도성 라인으로서, 상기 전도성 라인의 제1 부분이 제1 유전체 층 내에 놓이고, 상기 전도성 라인의 제2 부분이 제1 유전체 층 위에 배치되는, 전도성 라인;
상기 제1 유전체 층 및 전도성 라인 양자 모두의 위의 에칭 중단 층;
상기 에칭 중단 층 위의 제2 유전체 층으로서, 상기 에칭 중단 층이 제1 유전체 층 및 제2 유전체 층의 재료와 상이한 유전체 재료를 포함하고, 상기 제2 유전체 층 및 에칭 중단 층이 전도성 라인을 부분적으로 노출시키는 개구부를 제공하는, 제2 유전체 층; 및
상기 개구부 내에 배치되고 전도성 라인에 커플링되는 비아를 포함하고,
상기 에칭 중단 층의 두께는, 상기 전도성 라인의 중심선과 상기 비아의 중심선 사이의 거리인 오버레이 오류보다 큰 것인, 소자.
As a device,
Board;
A first dielectric layer over the substrate;
A conductive line in which a first portion of the conductive line is disposed within a first dielectric layer and a second portion of the conductive line is disposed over a first dielectric layer;
An etch stop layer over both the first dielectric layer and the conductive line;
Wherein the etch stop layer comprises a dielectric material that is different from the material of the first dielectric layer and the second dielectric layer and wherein the second dielectric layer and the etch stop layer comprise a conductive line partially The second dielectric layer providing an opening for exposing the second dielectric layer to the second dielectric layer; And
A via disposed in the opening and coupled to the conductive line,
Wherein the thickness of the etch stop layer is greater than an overlay error that is a distance between a centerline of the conductive line and a centerline of the via.
제1항에 있어서, 상기 비아는 전도성 라인의 상부 표면 위에 또는 상기 전도성 라인의 상부 표면 및 측벽 표면 양자 모두의 위에 배치되는 것인 소자.2. The device of claim 1, wherein the via is disposed on an upper surface of the conductive line or on both the upper surface and the sidewall surface of the conductive line. 제1항에 있어서, 상기 비아 위에 배치되고 이 비아를 통해서 전도성 라인에 커플링되는 다른 전도성 라인을 더 포함하는 소자.2. The device of claim 1, further comprising another conductive line disposed over the vias and coupled to the conductive lines through the vias. 제1항에 있어서, 상기 전도성 라인의 제2 부분은 전도성 라인의 상부 표면과 측벽 표면 사이에서 라운드형 에지를 갖는 것인 소자.2. The device of claim 1, wherein the second portion of the conductive line has a rounded edge between the top surface of the conductive line and the sidewall surface. 제1항에 있어서, 상기 제1 유전체 층 및 제2 유전체 층은 동일한 저유전상수(low-K) 유전체 재료를 포함하는 것인 소자.2. The device of claim 1, wherein the first dielectric layer and the second dielectric layer comprise the same low dielectric constant (low-K) dielectric material. 제1항에 있어서, 상기 에칭 중단 층은 제1 유전체 층 및 제2 유전체 층 양자 모두보다 높은 밀도를 갖는 것인 소자.2. The device of claim 1 wherein the etch stop layer has a higher density both in the first dielectric layer and the second dielectric layer. 제1항에 있어서, 상기 제1 유전체 층 및 제2 유전체 층 각각은 저유전상수 유전체 재료를 포함하고, 상기 에칭 중단 층은 SiCN, SiCO, SiO2, SiN, 및 AlON으로부터 선택된 재료를 포함하는 것인 소자.Article of claim 1, wherein each of the first dielectric layer and second dielectric layer is the etch stop layer, and includes a low dielectric constant dielectric material comprises a material selected from the SiCN, SiCO, SiO 2, SiN, and AlON device. 제1항에 있어서, 상기 에칭 중단 층은 컨포멀한(conformal) 단면 프로파일을 갖는 것인 소자.2. The device of claim 1, wherein the etch stop layer has a conformal cross-sectional profile. 집적 회로를 위한 다층 상호 접속 구조체를 제조하기 위한 방법으로서,
기판; 상기 기판 위의 제1 유전체 층; 및 상기 제1 유전체 층 내에 놓이는 전도성 라인으로서, 상기 전도성 라인의 상부 표면과 제1 유전체 층의 상부 표면이 동일 평면에 있는, 전도성 라인을 포함하는 소자를 마련하는 단계;
상기 전도성 라인의 제1 부분이 제1 유전체 층 위에 위치되도록, 상기 제1 유전체 층의 상부 표면을 리세싱(recessing)하는 단계;
상기 제1 유전체 층 및 전도성 라인의 제1 부분 양자 모두의 위에 에칭 중단 층을 성막하는 단계;
상기 에칭 중단 층 위에 제2 유전체 층을 성막하는 단계;
상기 전도성 라인을 부분적으로 노출시키는 비아 홀을 형성하기 위해서, 상기 제2 유전체 층 및 에칭 중단 층에 대해서 에칭 프로세스를 실시하는 단계로서, 상기 에칭 프로세스에서의 에칭 중단 층의 에칭률이 에칭 프로세스에서의 제2 유전체 층의 에칭률보다 낮은, 에칭 프로세스를 실시하는 단계; 및
상기 비아 홀 내에 비아를 형성하는 단계를 포함하고,
상기 에칭 중단 층의 두께는, 상기 전도성 라인의 중심선과 상기 비아의 중심선 사이의 거리인 오버레이 오류보다 큰 것인,
집적 회로를 위한 다층 상호 접속 구조체를 제조하기 위한 방법.
A method for fabricating a multilayer interconnect structure for an integrated circuit,
Board; A first dielectric layer over the substrate; And providing a conductive line within the first dielectric layer, the conductive line having an upper surface of the conductive line and a top surface of the first dielectric layer being coplanar;
Recessing an upper surface of the first dielectric layer such that a first portion of the conductive line is positioned over the first dielectric layer;
Depositing an etch stop layer over both the first dielectric layer and the first portion of the conductive line;
Depositing a second dielectric layer over the etch stop layer;
Applying an etch process to the second dielectric layer and the etch stop layer to form via holes that partially expose the conductive lines, wherein the etch rate of the etch stop layer in the etch process is greater than the etch rate of the etch stop layer in the etch process. Performing an etching process that is lower than an etch rate of the second dielectric layer; And
And forming a via in the via hole,
Wherein the thickness of the etch stop layer is greater than an overlay error that is a distance between a centerline of the conductive line and a centerline of the via.
A method for fabricating a multilayer interconnect structure for an integrated circuit.
집적 회로를 위한 다층 상호 접속 구조체를 제조하기 위한 방법으로서,
기판; 상기 기판 위의 제1 유전체 층; 및 상기 제1 유전체 층 내에 놓이는 전도성 라인으로서, 상기 전도성 라인의 상부 표면과 제1 유전체 층의 상부 표면이 동일 평면에 있는, 전도성 라인을 포함하는 소자를 마련하는 단계;
상기 전도성 라인의 제1 부분이 제1 유전체 층의 상부 표면 위에서 노출되도록, 상기 제1 유전체 층의 상부 표면을 리세싱하는 단계;
상기 제1 유전체 층 및 전도성 라인의 제1 부분 위에, 컨포멀한 단면 프로파일을 갖는 에칭 중단 층을 형성하는 단계;
상기 에칭 중단 층 위에, 상기 제1 유전체 층의 재료와 동일한 재료로 이루어지는 제2 유전체 층을 성막하는 단계;
상기 전도성 라인을 부분적으로 노출시키는 비아 홀을 형성하기 위해서, 상기 제2 유전체 층 및 에칭 중단 층을 에칭하는 단계로서, 상기 에칭 중단 층이 제2 유전체 층의 에칭률보다 더 낮은 에칭률을 갖는, 제2 유전체 층 및 에칭 중단 층을 에칭하는 단계; 및
상기 비아 홀 내에 비아를 형성하는 단계를 포함하고,
상기 에칭 중단 층의 두께는, 상기 전도성 라인의 중심선과 상기 비아의 중심선 사이의 거리인 오버레이 오류보다 큰 것인,
집적 회로를 위한 다층 상호 접속 구조체를 제조하기 위한 방법.
A method for fabricating a multilayer interconnect structure for an integrated circuit,
Board; A first dielectric layer over the substrate; And providing a conductive line within the first dielectric layer, the conductive line having an upper surface of the conductive line and a top surface of the first dielectric layer being coplanar;
Recessing an upper surface of the first dielectric layer such that a first portion of the conductive line is exposed above the upper surface of the first dielectric layer;
Forming an etch stop layer having a conformal cross-sectional profile over the first portion of the first dielectric layer and the conductive line;
Depositing on the etch stop layer a second dielectric layer of the same material as the first dielectric layer;
Etching the second dielectric layer and the etch stop layer to form a via hole that partially exposes the conductive line, wherein the etch stop layer has an etch rate that is lower than an etch rate of the second dielectric layer, Etching the second dielectric layer and the etch stop layer; And
And forming a via in the via hole,
Wherein the thickness of the etch stop layer is greater than an overlay error that is a distance between a centerline of the conductive line and a centerline of the via.
A method for fabricating a multilayer interconnect structure for an integrated circuit.
KR1020150163931A 2015-06-26 2015-11-23 Interconnect structure having an etch stop layer over conductive lines KR101786222B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/751,543 US9685368B2 (en) 2015-06-26 2015-06-26 Interconnect structure having an etch stop layer over conductive lines
US14/751,543 2015-06-26

Publications (2)

Publication Number Publication Date
KR20170001544A KR20170001544A (en) 2017-01-04
KR101786222B1 true KR101786222B1 (en) 2017-10-17

Family

ID=57601135

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150163931A KR101786222B1 (en) 2015-06-26 2015-11-23 Interconnect structure having an etch stop layer over conductive lines

Country Status (4)

Country Link
US (4) US9685368B2 (en)
KR (1) KR101786222B1 (en)
CN (1) CN106298733B (en)
TW (1) TWI605561B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7867317B2 (en) 2007-11-16 2011-01-11 Korea Institute of Geoscience and Minderal Resources Pre-treatment process for liberation of metals from waste printed circuit boards using organic solution

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10020224B2 (en) * 2015-12-28 2018-07-10 Globalfoundries Inc. Self-aligned via forming to conductive line and related wiring structure
KR20180088187A (en) * 2017-01-26 2018-08-03 삼성전자주식회사 Semiconductor device having resistor structure
US10157774B1 (en) * 2017-07-25 2018-12-18 Globalfoundries Inc. Contact scheme for landing on different contact area levels
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US11652036B2 (en) * 2018-04-02 2023-05-16 Santa Clara Via-trace structures
US10600732B1 (en) 2018-09-05 2020-03-24 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10978337B2 (en) * 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
US10943983B2 (en) * 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
TWI680557B (en) * 2018-11-23 2019-12-21 南亞科技股份有限公司 Semiconductor package structure and method for preparing the same
US20200286777A1 (en) * 2019-03-04 2020-09-10 Nanya Technology Corporation Interconnect structure and method for preparing the same
CN111863709B (en) * 2019-04-29 2024-03-22 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
TWI801614B (en) * 2019-06-21 2023-05-11 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
KR20210003328A (en) * 2019-07-01 2021-01-12 삼성전자주식회사 Semiconductor device
US11532547B2 (en) * 2019-08-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures with low-aspect-ratio contact vias
CN112838048A (en) * 2019-11-22 2021-05-25 联华电子股份有限公司 Interconnection structure and manufacturing method thereof
US11373947B2 (en) * 2020-02-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures of semiconductor device
US11211291B2 (en) * 2020-04-03 2021-12-28 International Business Machines Corporation Via formation with robust hardmask removal
US11189568B2 (en) * 2020-04-29 2021-11-30 International Business Machines Corporation Top via interconnect having a line with a reduced bottom dimension
US11302641B2 (en) 2020-06-11 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned cavity strucutre
US11688782B2 (en) * 2021-03-25 2023-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11605558B2 (en) 2021-03-26 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit interconnect structure having discontinuous barrier layer and air gap
US11652054B2 (en) 2021-04-21 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric on wire structure to increase processing window for overlying via
US11776895B2 (en) * 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11842966B2 (en) 2021-06-23 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip with inter-wire cavities
US20230064448A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having dielectric-on-dielectric structure and method for forming the semiconductor structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020179960A1 (en) * 2001-05-29 2002-12-05 Kang Man-Sug Semiconductor memory device having a floating gate and method of manufacturing the same
JP2003023161A (en) 2001-04-16 2003-01-24 Semiconductor Energy Lab Co Ltd Semiconductor device and method for manufacturing the device
JP2003526944A (en) 2000-03-13 2003-09-09 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for manufacturing semiconductor device
US20070205507A1 (en) 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
JP5702183B2 (en) 2010-02-25 2015-04-15 三星電子株式会社Samsung Electronics Co.,Ltd. Capacitor having electrode structure, manufacturing method thereof, and semiconductor device including electrode structure

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6297110B1 (en) * 1994-07-29 2001-10-02 Stmicroelectronics, Inc. Method of forming a contact in an integrated circuit
US5757077A (en) * 1995-02-03 1998-05-26 National Semiconductor Corporation Integrated circuits with borderless vias
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6350672B1 (en) * 1997-07-28 2002-02-26 United Microelectronics Corp. Interconnect structure with gas dielectric compatible with unlanded vias
US5942801A (en) * 1997-12-18 1999-08-24 Advanced Micro Devices, Inc. Borderless vias with HSQ gap filled metal patterns having high etching resistance
US6483153B1 (en) * 1999-10-14 2002-11-19 Advanced Micro Devices, Inc. Method to improve LDD corner control with an in-situ film for local interconnect processing
US6537902B1 (en) * 2000-01-24 2003-03-25 Oki Electric Industry Co, Ltd. Method of forming a via hole in a semiconductor device
US6531407B1 (en) * 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US20030038371A1 (en) * 2001-08-22 2003-02-27 Chen-Chiu Hsue Method of forming a metallic interconnect structure with a metallic spacer
KR100840715B1 (en) * 2002-05-28 2008-06-23 삼성전자주식회사 Back light assembly and liquid crystal display having the same
KR100500448B1 (en) 2003-02-06 2005-07-14 삼성전자주식회사 Method of fabricating a semiconductor integrated circuit using a selective disposable spacer technique and semiconductor integrated circuit fabricated thereby
KR100587635B1 (en) * 2003-06-10 2006-06-07 주식회사 하이닉스반도체 Method for fabrication of semiconductor device
JPWO2005034234A1 (en) * 2003-10-02 2006-12-14 富士通株式会社 Semiconductor device and manufacturing method thereof
KR100585115B1 (en) 2003-12-10 2006-05-30 삼성전자주식회사 Semiconductor device having metal-insulator-metal capacitor and method for fabricating same
KR100534100B1 (en) 2003-12-15 2005-12-06 삼성전자주식회사 Methods of fabricating a semiconductor device by exposing upper sidewall of contact plug to form a charge storage electrode
US7176119B2 (en) 2004-09-20 2007-02-13 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
US7312512B2 (en) 2005-09-28 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure with polygon cell structures
JP4762118B2 (en) 2006-11-17 2011-08-31 株式会社東芝 Nonvolatile semiconductor memory device
US7820552B2 (en) 2007-03-13 2010-10-26 International Business Machines Corporation Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US7968431B2 (en) 2008-07-15 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion region routing for narrow scribe-line devices
JP2010258213A (en) * 2009-04-24 2010-11-11 Renesas Electronics Corp Semiconductor device and method of manufacturing semiconductor device
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
DE102009043628B4 (en) 2009-09-30 2011-12-01 Globalfoundries Dresden Module One Llc & Co. Kg Improved filling conditions in an exchange gate method by carrying out a sacrificial filler based polishing process
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
DE102009046245B4 (en) 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Production of Metallgateelektrodenstrukturen with a separate removal of Platzhaltermaterialien in transistors of different conductivity
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8679909B2 (en) 2012-06-08 2014-03-25 Globalfoundries Singapore Pte. Ltd. Recessing and capping of gate structures with varying metal compositions
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
JP5928207B2 (en) * 2012-07-11 2016-06-01 富士ゼロックス株式会社 Image forming system
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8772938B2 (en) * 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9312222B2 (en) * 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning approach for improved via landing profile
US9576892B2 (en) 2013-09-09 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming same
US9337195B2 (en) 2013-12-18 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9478636B2 (en) 2014-05-16 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device including source/drain contact having height below gate stack
US10134861B2 (en) 2014-10-08 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9466722B2 (en) * 2014-12-29 2016-10-11 Stmicroelectronics, Inc. Large area contacts for small transistors
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003526944A (en) 2000-03-13 2003-09-09 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for manufacturing semiconductor device
JP2003023161A (en) 2001-04-16 2003-01-24 Semiconductor Energy Lab Co Ltd Semiconductor device and method for manufacturing the device
US20020179960A1 (en) * 2001-05-29 2002-12-05 Kang Man-Sug Semiconductor memory device having a floating gate and method of manufacturing the same
US20070205507A1 (en) 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
JP5702183B2 (en) 2010-02-25 2015-04-15 三星電子株式会社Samsung Electronics Co.,Ltd. Capacitor having electrode structure, manufacturing method thereof, and semiconductor device including electrode structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7867317B2 (en) 2007-11-16 2011-01-11 Korea Institute of Geoscience and Minderal Resources Pre-treatment process for liberation of metals from waste printed circuit boards using organic solution

Also Published As

Publication number Publication date
TW201701441A (en) 2017-01-01
CN106298733B (en) 2019-07-30
KR20170001544A (en) 2017-01-04
US20190287848A1 (en) 2019-09-19
US20160379871A1 (en) 2016-12-29
US10312139B2 (en) 2019-06-04
US9685368B2 (en) 2017-06-20
US10861742B2 (en) 2020-12-08
TWI605561B (en) 2017-11-11
US20170287775A1 (en) 2017-10-05
CN106298733A (en) 2017-01-04
US20210035856A1 (en) 2021-02-04
US11569124B2 (en) 2023-01-31

Similar Documents

Publication Publication Date Title
KR101786222B1 (en) Interconnect structure having an etch stop layer over conductive lines
US11854962B2 (en) Via structure and methods thereof
US9793212B2 (en) Interconnect structures and methods of forming same
TWI536520B (en) Semiconductor device and method
US11594419B2 (en) Reduction of line wiggling
US8907497B2 (en) Semiconductor device with self-aligned interconnects and blocking portions
KR101735912B1 (en) Semiconductor device having air gap structures and method of fabricating thereof
TWI588901B (en) Self-aligned via process flow
TW201742227A (en) Methods of forming semiconductor structures
US20150371939A1 (en) Combination Interconnect Structure and Methods of Forming Same
KR102024971B1 (en) Method for interconnect scheme
US10714344B2 (en) Mask formation by selectively removing portions of a layer that have not been implanted
KR101959669B1 (en) Method of forming conductive features
CN112151497B (en) Semiconductor structure and method for forming semiconductor structure
US11967550B2 (en) Semiconductor structure with via extending across adjacent conductive lines and method of forming the same
CN111261579A (en) Electronic component and method for manufacturing the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant