KR101773044B1 - Nonvolatile memory device, memory module and system having the same, and method of fabricating the same - Google Patents

Nonvolatile memory device, memory module and system having the same, and method of fabricating the same Download PDF

Info

Publication number
KR101773044B1
KR101773044B1 KR1020100080886A KR20100080886A KR101773044B1 KR 101773044 B1 KR101773044 B1 KR 101773044B1 KR 1020100080886 A KR1020100080886 A KR 1020100080886A KR 20100080886 A KR20100080886 A KR 20100080886A KR 101773044 B1 KR101773044 B1 KR 101773044B1
Authority
KR
South Korea
Prior art keywords
layer
insulating layer
channel
sacrificial
forming
Prior art date
Application number
KR1020100080886A
Other languages
Korean (ko)
Other versions
KR20110128717A (en
Inventor
이재구
박영우
유병관
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US13/100,488 priority Critical patent/US8455940B2/en
Priority to JP2011114566A priority patent/JP5858643B2/en
Priority to CN201110145035.0A priority patent/CN102263065B/en
Publication of KR20110128717A publication Critical patent/KR20110128717A/en
Priority to US13/896,819 priority patent/US8877626B2/en
Priority to US14/529,940 priority patent/US20150060993A1/en
Application granted granted Critical
Publication of KR101773044B1 publication Critical patent/KR101773044B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

주름(striation) 현상이 개선된 비휘발성 메모리 소자 및 그 제조 방법이 제공된다. 이를 위해 본 발명은, 기판, 기판으로부터 돌출 연장되는 채널 층, 채널 층을 둘러싸고, 도그본 형상을 가지는 일단을 가진 게이트 도전층, 채널 층과 게이트 도전층 사이에 위치하는 게이트 절연층, 및 채널층과 이격되면서 게이트 도전층의 상하에 위치하는 제 1 절연층을 포함하는 비휘발성 메모리 소자를 개시한다.A nonvolatile memory device improved in striation phenomenon and a method of manufacturing the same are provided. A channel layer extending from the substrate; a gate conductive layer surrounding the channel layer and having one end having a dog bone shape; a gate insulating layer positioned between the channel layer and the gate conductive layer; And a first insulating layer located above and below the gate conductive layer while being spaced apart from the gate conductive layer.

Description

비휘발성 메모리 소자 및 이의 제조 방법과, 이를 포함하는 메모리 모듈 및 시스템{Nonvolatile memory device, memory module and system having the same, and method of fabricating the same}BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a nonvolatile memory device, a method of fabricating the same, and a memory module and system including the same.

본 발명은 비휘발성 메모리 소자 및 이의 제조 방법에 관한 것으로, 더욱 상세하게는 채널 층의 주름(striation) 현상이 개선된 수직형의 비휘발성 메모리 소자 및 이의 제조 방법과, 이를 포함하는 메모리 모듈 및 시스템에 관한 것이다.The present invention relates to a nonvolatile memory device and a method of manufacturing the same, and more particularly, to a vertical nonvolatile memory device having improved channel layer striation, a method of manufacturing the same, a memory module and a system .

최근 비휘발성 메모리 소자를 형성하는데 있어서, 각 단위 칩 내에 포함되는 셀 트랜지스터들을 수직 방향으로 적층시킴으로써 집적도를 향상시키는 방법들이 연구되고 있다. 특히, 플래시 메모리 소자의 경우 셀 트랜지스터들을 수직으로 적층시킴으로써 소자를 고도로 집적화시킬 수 있다.Recently, in forming a nonvolatile memory device, methods for improving the degree of integration by stacking cell transistors included in each unit chip in the vertical direction have been studied. Particularly, in the case of a flash memory device, cell transistors can be vertically stacked to highly integrate the devices.

본 발명이 해결하고자 하는 기술적 과제는, 채널 층의 주름(striation) 현상이 개선된 비휘발성 메모리 소자 및 이의 제조 방법을 제공하는 것이다.SUMMARY OF THE INVENTION The present invention provides a nonvolatile memory device having improved channel layer striation and a method of manufacturing the same.

본 발명의 일 태양에 의한 비휘발성 메모리 소자가 제공된다. 상기 비휘발성 메모리 소자는, 기판, 상기 기판으로부터 돌출 연장되는 채널 층, 상기 채널 층을 둘러싸는 게이트 도전층, 상기 채널 층과 상기 게이트 도전층 사이에 위치하는 게이트 절연층, 및 상기 채널 층과 이격되면서 상기 게이트 도전층의 상하에 위치하는 제 1 절연층을 포함하고, 상기 게이트 절연층은 상기 게이트 도전층과 상기 제 1 절연층 사이로 연장될 수 있다.A nonvolatile memory device according to an aspect of the present invention is provided. The nonvolatile memory device includes a substrate, a channel layer protruding from the substrate, a gate conductive layer surrounding the channel layer, a gate insulating layer positioned between the channel layer and the gate conductive layer, The gate insulating layer may extend between the gate conductive layer and the first insulating layer. The gate insulating layer may extend between the gate conductive layer and the first insulating layer.

상기 비휘발성 메모리 소자의 일 예에 의하면, 상기 채널 층의 상부와 직접 접촉하는 제 2 절연층을 더 포함할 수 있다. 이 경우, 상기 제 2 절연층은 상기 제 1 절연층과 상기 채널 층 사이의 영역에 개재될 수도 있다.According to an example of the nonvolatile memory device, the nonvolatile memory device may further include a second insulation layer directly contacting the upper portion of the channel layer. In this case, the second insulating layer may be interposed between the first insulating layer and the channel layer.

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 기판과 수직한 방향에서, 상기 제 1 절연층의 두께는 상기 제 2 절연층의 두께보다 더 클 수 있다.According to another example of the nonvolatile memory device, the thickness of the first insulating layer may be greater than the thickness of the second insulating layer in a direction perpendicular to the substrate.

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 제 2 절연층은 상기 채널 층을 둘러 쌀 수 있다.According to another example of the nonvolatile memory device, the second insulating layer may surround the channel layer.

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 비휘발성 메모리 소자는 상기 기판으로부터 돌출 연장되는 분리용 절연층, 및 상기 기판으로부터 돌출 연장되며, 상기 채널 층과 상기 분리용 절연층 사이에 위치하는 지지용 절연층을 더 포함할 수 있다.According to another example of the nonvolatile memory device, the nonvolatile memory element includes a separating insulating layer that protrudes from the substrate, and a supporting member that is extended from the substrate and is located between the channel layer and the separating insulating layer. And an insulating layer for the insulating layer.

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 게이트 절연층은 상기 제 1 절연층과 상기 채널 층 사이에 더 형성될 수 있다.According to another example of the nonvolatile memory device, the gate insulating layer may be further formed between the first insulating layer and the channel layer.

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 비휘발성 메모리 소자는 상기 제 1 절연층과 상기 채널 층 사이에 위치하는 에어갭을 더 포함할 수 있다.According to another example of the non-volatile memory device, the non-volatile memory device may further include an air gap positioned between the first insulating layer and the channel layer.

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 게이트 절연층은 상기 채널 층의 측벽 상에 순차 적층되는 터널링 절연층, 전하 저장층, 및 블록킹 절연층을 포함할 수 있다.According to another example of the nonvolatile memory device, the gate insulating layer may include a tunneling insulating layer, a charge storage layer, and a blocking insulating layer that are sequentially stacked on the sidewalls of the channel layer.

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 채널 층은 필라형(pillar-type) 채널 층일 수 있다. 한편, 상기 채널 층은 마카로니형(macaroni-type) 채널 층일 수도 있고, 이 경우 상기 비휘발성 메모리 소자는 상기 마카로니형 채널 층 내부를 채우는 절연층을 더 포함할 수 있다.According to another example of the non-volatile memory device, the channel layer may be a pillar-type channel layer. Meanwhile, the channel layer may be a macaroni-type channel layer. In this case, the non-volatile memory device may further include an insulating layer filling the inside of the macrononi-type channel layer.

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 채널 층은 기판의 방향으로 테이퍼된(tapered) 하부 채널 층 및 상기 하부 채널 층의 방향으로 테이퍼된(tapered) 상부 채널 층을 포함할 수 있다. 나아가, 상기 하부 채널 층 및 상기 상부 채널 층은 연속적으로 연결된 일체(single body)일 수도 있다.According to another example of the nonvolatile memory device, the channel layer may include a lower channel layer tapered in the direction of the substrate and an upper channel layer tapered in the direction of the lower channel layer. Further, the lower channel layer and the upper channel layer may be a single body continuously connected.

본 발명의 다른 태양에 의한 비휘발성 메모리 소자가 제공된다. 상기 비휘발성 메모리 소자는, 기판, 상기 기판으로부터 돌출 연장되는 채널 층, 상기 채널 층을 둘러싸는 게이트 도전층, 상기 채널 층과 상기 게이트 도전층 사이에 위치하는 게이트 절연층, 상기 채널 층과 이격되면서 상기 게이트 도전층의 상하에 위치하는 제 1 절연층, 상기 기판으로부터 돌출 연장되며, 상기 복수개의 제 1 절연층과 서로 연결된 분리용 절연층, 및 상기 기판으로부터 돌출 연장되며, 상기 채널 층과 상기 분리용 절연층 사이에 위치하는 지지용 절연층을 더 포함할 수 있다.A nonvolatile memory device according to another aspect of the present invention is provided. The nonvolatile memory device includes a substrate, a channel layer protruding from the substrate, a gate conductive layer surrounding the channel layer, a gate insulating layer positioned between the channel layer and the gate conductive layer, A first insulating layer located above and below the gate conductive layer, a separating insulating layer extending from the substrate and connected to the plurality of first insulating layers, and a second insulating layer protruding from the substrate, And a supporting insulating layer disposed between the insulating layers.

상기 비휘발성 메모리 소자의 일 예에 의하면, 상기 게이트 절연층은 상기 게이트 도전층과 상기 제 1 절연층 사이로 연장될 수 있다.According to an example of the nonvolatile memory device, the gate insulating layer may extend between the gate conductive layer and the first insulating layer.

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 게이트 절연층과 상기 채널 층 사이에 형성된 게이트 분리 절연층을 더 포함하고, 상기 게이트 분리 절연층은 상기 게이트 도전층과 상기 제 1 절연층 사이로 연장될 수 있다.According to another example of the nonvolatile memory device, the device further comprises a gate insulating layer formed between the gate insulating layer and the channel layer, and the gate insulating layer is extended between the gate conductive layer and the first insulating layer .

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 분리용 절연층은 상기 채널 층과 상기 지지용 절연층 사이에 위치할 수 있다.According to another example of the nonvolatile memory device, the separating insulating layer may be located between the channel layer and the supporting insulating layer.

상기 비휘발성 메모리 소자의 다른 예에 의하면, 평면도로 바라본 상기 채널 층들은 지그재그로 배치될 수 있다. 이 경우 평면도로 바라본 상기 지지용 절연층들은 상기 채널 층과 상기 분리용 절연층 사이의 공간에 역-지그재그로 배치될 수 있다.According to another example of the nonvolatile memory device, the channel layers viewed in a plan view may be arranged in a zigzag manner. In this case, the supporting insulating layers viewed in a plan view may be arranged in a reverse-zigzag manner in a space between the channel layer and the separating insulating layer.

본 발명의 일 태양에 의한 메모리 모듈이 제공된다. 상기 메모리 모듈은 비휘발성 메모리 소자를 포함하고, 상기 비휘발성 메모리 소자는, 기판, 상기 기판으로부터 돌출 연장되는 채널 층, 상기 채널 층을 둘러싸는 게이트 도전층, 상기 채널 층과 상기 게이트 도전층 사이에 위치하는 게이트 절연층, 및 상기 채널 층과 이격되면서 상기 게이트 도전층의 상하에 위치하는 제 1 절연층을 포함하고, 상기 게이트 절연층은 상기 게이트 도전층과 상기 제 1 절연층 사이로 연장될 수 있다.A memory module according to an aspect of the present invention is provided. Wherein the memory module comprises a non-volatile memory element, the non-volatile memory element comprising: a substrate; a channel layer protruding from the substrate; a gate conductive layer surrounding the channel layer; And a first insulating layer located above and below the gate conductive layer, the gate insulating layer being spaced apart from the channel layer, the gate insulating layer extending between the gate conductive layer and the first insulating layer .

본 발명의 일 태양에 의한 시스템이 제공된다. 상기 시스템은 외부로 데이터를 전송하거나 외부로부터 데이터를 전송받는 시스템이다. 상기 시스템은, 비휘발성 메모리 소자를 포함하며, 상기 데이터를 저장하도록 구성된 메모리 콤포넌트, 상기 데이터를 입력 또는 출력하도록 구성된 입/출력 장치, 및 상기 메모리 콤포넌트 및 상기 입/출력 장치를 제어하도록 구성된 컨트롤러를 포함할 수 있다. 또한 상기 비휘발성 메모리 소자는, 기판, 상기 기판으로부터 돌출 연장되는 채널 층, 상기 채널 층을 둘러싸는 게이트 도전층, 상기 채널 층과 상기 게이트 도전층 사이에 위치하는 게이트 절연층, 및 상기 채널 층과 이격되면서 상기 게이트 도전층의 상하에 위치하는 제 1 절연층을 포함하고, 상기 게이트 절연층은 상기 게이트 도전층과 상기 제 1 절연층 사이로 연장될 수 있다.A system according to an aspect of the present invention is provided. The system is a system that transmits data to the outside or receives data from the outside. The system includes a non-volatile memory device, a memory component configured to store the data, an input / output device configured to input or output the data, and a controller configured to control the memory component and the input / output device . The nonvolatile memory device also includes a substrate, a channel layer protruding from the substrate, a gate conductive layer surrounding the channel layer, a gate insulating layer positioned between the channel layer and the gate conductive layer, And a first insulating layer located above and below the gate conductive layer, the gate insulating layer extending between the gate conductive layer and the first insulating layer.

상기 시스템의 일 예에 의하면, 상기 시스템은, PDA(personal digital assistant), 휴대용 컴퓨터(portable computer), 웹 타블렛(web tablet), 무선 폰(wireless phone), 모바일 폰(mobile phone), 디지털 뮤직 플레이어(digital music player), 메모리 카드(memory card), 네비게이션(navigation), 휴대용 멀티미디어 재생기(portable multimedia player, PMP), 고상 디스크(solid state disk; SSD), 또는 가전 제품(household appliances)일 수 있다.According to one example of the system, the system may be a personal digital assistant (PDA), a portable computer, a web tablet, a wireless phone, a mobile phone, a digital music player such as a digital music player, a memory card, navigation, a portable multimedia player (PMP), a solid state disk (SSD), or household appliances.

본 발명의 일 태양에 의한 비휘발성 메모리 소자의 제조 방법이 제공된다. 상기 비휘발성 메모리 소자의 제조 방법은, 기판 상에 복수개의 희생 절연층들 및 복수개의 제 1 절연층들을 교대로 적층하는 단계, 상기 희생 절연층들 및 상기 제 1 절연층들을 식각하여 복수개의 채널 홀들을 형성하는 단계, 상기 채널 홀들 각각의 측벽에 희생 스페이서들을 형성하는 단계, 상기 희생 스페이서들과 접촉하는 채널 층을 형성하는 단계, 상기 희생 절연층들, 및 상기 제 1 절연층들을 식각하여 복수개의 워드라인 리세스들을 형성하는 단계, 상기 채널 층들의 측벽이 노출되도록 상기 희생 절연층들 및 상기 희생 스페이서들을 식각하는 단계. 상기 채널 층의 측벽 상에 게이트 절연층을 형성하는 단계, 및 상기 게이트 절연층 상에 게이트 도전층을 형성하는 단계를 포함할 수 있다.A method of manufacturing a nonvolatile memory device according to an aspect of the present invention is provided. The method for fabricating a nonvolatile memory device includes: alternately laminating a plurality of sacrificial insulating layers and a plurality of first insulating layers on a substrate; etching the sacrificial insulating layers and the first insulating layers to form a plurality of channels Forming sacrificial spacers on the sidewalls of each of the channel holes, forming a channel layer in contact with the sacrificial spacers, etching the sacrificial insulating layers and the first insulating layers to form a plurality of sacrificial spacers Etching the sacrificial insulation layers and the sacrificial spacers such that the sidewalls of the channel layers are exposed. Forming a gate insulating layer on the sidewalls of the channel layer, and forming a gate conductive layer on the gate insulating layer.

상기 비휘발성 메모리 소자의 제조 방법의 일 예에 의하면, 상기 비휘발성 메모리 소자의 제조 방법은, 상기 채널 층을 형성하는 단계와 상기 희생 스페이서들의 상부 일부를 식각하는 단계 사이에, 상기 희생 절연층들 및 상기 제 1 절연층들을 식각하여 더미 홀을 형성하는 단계 및 상기 더미 홀을 채우는 지지용 절연층을 형성하는 단계를 더 포함할 수 있다.According to an example of the method of manufacturing the nonvolatile memory device, the method of manufacturing the nonvolatile memory device may further include, between the step of forming the channel layer and the step of etching the upper part of the sacrificial spacers, Forming a dummy hole by etching the first insulating layers, and forming an insulating layer for supporting the dummy hole.

상기 비휘발성 메모리 소자의 제조 방법의 다른 예에 의하면, 상기 비휘발성 메모리 소자의 제조 방법은 상기 채널 층을 형성하는 단계 이후에, 상기 채널 층 상에 제 2 절연층을 형성하는 단계를 더 포함할 수 있다.According to another example of the method for fabricating the nonvolatile memory device, the method for fabricating the nonvolatile memory device may further include forming a second insulating layer on the channel layer after forming the channel layer .

상기 비휘발성 메모리 소자의 제조 방법의 다른 예에 의하면, 상기 제 2 절연층을 형성하는 단계는, 상기 채널 층의 상부 측벽이 노출되도록, 상기 희생 스페이서들의 상부 일부를 식각하는 단계, 및 상기 채널 층의 상면 및 상기 채널 층의 상부 측벽과 접촉하는 제 2 절연층을 형성하는 단계를 포함할 수 있다.According to another example of the method of manufacturing the nonvolatile memory device, the step of forming the second insulating layer includes etching the upper portion of the sacrificial spacers so that the upper sidewall of the channel layer is exposed, And a second insulating layer in contact with the top sidewalls of the channel layer.

본 발명의 다른 태양에 의한 비휘발성 메모리 소자가 제공된다. 상기 비휘발성 메모리 소자는, 기판, 상기 기판 상에 적층된 하부 게이트 도전층들, 상기 하부 게이트 도전층들 상에 적층된 상부 게이트 도전층들, 상기 하부 및 상부 게이트 도전층들을 관통하는 채널 층, 상기 하부 및 상부 게이트 도전층들과 상기 채널 층 사이에 개재되는 게이트 절연층, 및 상기 하부 게이트 도전층들과 상기 상부 게이트 도전층들 사이에 형성된 마스크 층을 포함할 수 있다.A nonvolatile memory device according to another aspect of the present invention is provided. The nonvolatile memory device includes a substrate, lower gate conductive layers stacked on the substrate, upper gate conductive layers stacked on the lower gate conductive layers, a channel layer passing through the lower and upper gate conductive layers, A gate insulating layer interposed between the lower and upper gate conductive layers and the channel layer, and a mask layer formed between the lower gate conductive layers and the upper gate conductive layers.

상기 비휘발성 메모리 소자의 일 예에 의하면, 상기 마스크 층은 실리콘(Si) 또는 실리콘 저머늄(SiGe)을 포함할 수 있다.According to an example of the non-volatile memory device, the mask layer may include silicon (Si) or silicon germanium (SiGe).

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 비휘발성 메모리 소자는 상기 기판의 바로 위에 정지층(stopping layer)을 더 포함할 수 있다. 이 경우 상기 정지층은 알루미늄 옥사이드(Al2O3), 탄탈륨 나이트라이드(TaN), 또는 실리콘 카바이드(SiC)를 포함할 수 있다.According to another example of the non-volatile memory device, the non-volatile memory device may further include a stopping layer directly above the substrate. In this case, the stop layer may comprise aluminum oxide (Al2O3), tantalum nitride (TaN), or silicon carbide (SiC).

상기 비휘발성 메모리 소자의 다른 예에 의하면, 상기 채널 층은 기판의 방향으로 테이퍼된(tapered) 하부 채널 층 및 상기 하부 채널 층의 방향으로 테이퍼된(tapered) 상부 채널 층을 포함할 수 있다. 이 경우 상기 하부 채널 층 및 상기 상부 채널 층은 연속적으로 연결된 일체(single body)일 수 있다.According to another example of the nonvolatile memory device, the channel layer may include a lower channel layer tapered in the direction of the substrate and an upper channel layer tapered in the direction of the lower channel layer. In this case, the lower channel layer and the upper channel layer may be a single body continuously connected.

본 발명의 다른 태양에 의한 비휘발성 메모리 소자의 제조 방법이 제공된다. 상기 비휘발성 메모리 소자의 제조 방법은, 기판 상에 복수개의 하부 희생 절연층들 및 복수개의 하부 절연층들을 교대로 적층하는 단계, 상기 하부 희생 절연층들 및 상기 하부 절연층들을 식각하여 적어도 하나의 하부 채널 홀을 형성하는 단계, 상기 하부 채널 홀을 폐쇄(closing)하는 단계, 상기 하부 채널 홀 상에 복수개의 상부 희생 절연층들 및 복수개의 상부 절연층들을 교대로 적층하는 단계, 상기 상부 희생 절연층들 및 상기 상부 절연층들을 식각하여 적어도 하나의 하부 상부 채널 홀을 형성하는 단계, 상기 하부 채널 홀을 오프닝(opening)하는 단계, 및 상기 하부 채널 홀 및 상기 상부 채널 홀들 각각 채우는 하부 채널 층 및 상부 채널 층을 동시에 형성하는 단계를 포함할 수 있다.A method of manufacturing a nonvolatile memory device according to another aspect of the present invention is provided. The method of fabricating the nonvolatile memory device includes: alternately laminating a plurality of lower sacrificial insulating layers and a plurality of lower insulating layers on a substrate; etching the lower sacrificial insulating layers and the lower insulating layers to form at least one Forming a lower channel hole, closing the lower channel hole, alternately laminating a plurality of upper sacrificial insulating layers and a plurality of upper insulating layers on the lower channel hole, Forming at least one lower upper channel hole by etching layers and the upper insulating layers, opening the lower channel hole, and etching the lower channel layer and the lower channel layer to fill the lower channel hole and the upper channel hole, respectively. And forming an upper channel layer at the same time.

상기 비휘발성 메모리 소자의 제조 방법의 일 예에 의하면, 상기 하부 채널 홀을 폐쇄하는 단계는, 상기 하부 채널 홀을 채우는 폐쇄 절연층을 형성하는 단계를 포함할 수 있다.According to an example of the method of fabricating the nonvolatile memory device, the step of closing the lower channel hole may include forming a closed insulating layer filling the lower channel hole.

상기 비휘발성 메모리 소자의 제조 방법의 다른 예에 의하면, 상기 하부 채널 홀을 폐쇄하는 단계는, 상기 하부 채널 홀을 채우는 상기 폐쇄 절연층을 형성하는 단계 이전에, 상기 하부 채널 홀의 측벽 상에 희생 스페이서를 형성하는 단계를 더 포함할 수 있다. 이 경우 상기 하부 채널 홀을 오프닝하는 단계는, 상기 하부 채널 홀에 채워진 상기 절연층을 식각하여 상기 기판을 노출시키는 단계를 포함할 수 있다.According to another example of the method of manufacturing the nonvolatile memory device, the step of closing the lower channel hole may include forming a sacrificial spacer on the sidewall of the lower channel hole before forming the closed insulating layer filling the lower channel hole, And forming the second electrode layer. In this case, the step of opening the lower channel hole may include exposing the substrate by etching the insulating layer filled in the lower channel hole.

상기 비휘발성 메모리 소자의 제조 방법의 다른 예에 의하면, 상기 하부 채널 홀을 폐쇄하는 단계는, 상기 하부 채널 홀의 상부 측벽에 형성된 마스크 층(mask layer)의 선택적 성장(selective growth) 공정을 이용하여 상기 하부 채널 홀을 폐쇄하 단계를 포함할 수 있다.According to another example of the manufacturing method of the nonvolatile memory device, the step of closing the lower channel hole may include a step of selectively growing the lower channel hole using a selective growth process of a mask layer formed on an upper sidewall of the lower channel hole, And closing the lower channel hole.

상기 비휘발성 메모리 소자의 제조 방법의 다른 예에 의하면, 상기 하부 채널 홀을 폐쇄하는 단계에 의해, 상기 마스크 층과 상기 기판 사이에 에어갭(airgap)이 형성될 수 있다.According to another example of the method of manufacturing the nonvolatile memory device, an air gap may be formed between the mask layer and the substrate by closing the lower channel hole.

상기 비휘발성 메모리 소자의 제조 방법의 다른 예에 의하면, 상기 마스크 층은 실리콘(Si) 또는 실리콘 저머늄(SiGe)을 포함하고, 상기 하부 채널 홀은 상기 마스크 층의 선택적 에피택셜 성장(selective epitaxial growth) 공정을 이용하여 폐쇄될 수 있다.According to another embodiment of the nonvolatile memory device, the mask layer includes silicon (Si) or silicon germanium (SiGe), and the lower channel hole is formed by selective epitaxial growth of the mask layer ) Process. ≪ / RTI >

상기 비휘발성 메모리 소자의 제조 방법의 다른 예에 의하면, 상기 하부 채널 홀을 패쇄하는 단계는, 상기 마스크 층을 산화(oxidation)시키는 단계를 더 포함할 수 있다.According to another example of the method of fabricating the non-volatile memory device, the step of closing the lower channel hole may further include oxidizing the mask layer.

상기 비휘발성 메모리 소자의 제조 방법의 다른 예에 의하면, 상기 하부 채널 홀을 오프닝하는 단계는, 상기 마스크 층을 식각하여 상기 기판을 노출시키는 단계를 포함할 수 있다.According to another example of the method of manufacturing the nonvolatile memory device, the step of opening the lower channel hole may include exposing the substrate by etching the mask layer.

상기 비휘발성 메모리 소자의 제조 방법의 다른 예에 의하면, 상기 비휘발성 메모리 소자는 상기 기판의 바로 위에 정지층(stopping layer)을 더 포함하며, 상기 정지층은 상기 선택적 에피택셜 성장 공정 동안 상기 기판 이 성장되는 것을 방지하도록 구성될 수 있다.According to another example of the method of manufacturing the non-volatile memory device, the non-volatile memory device further includes a stopping layer directly on the substrate, and the stop layer is formed on the substrate during the selective epitaxial growth process. To prevent growth.

본 발명의 실시예들에 따른 비휘발성 메모리 소자 및 이의 제조 방법은, 희생층 내에서 채널 층이 형성되므로, 이중막을 이용한 공정에 의해 형성된 채널 층의 주름 현상을 방지할 수 있다.Since the channel layer is formed in the sacrificial layer, the non-volatile memory device and the method of fabricating the same according to the embodiments of the present invention can prevent the channel layer formed by the process using the double layer from being wrinkled.

또한, 본 발명의 실시예들에 따른 비휘발성 메모리 소자 및 이의 제조 방법은, 마카로니(macaroni) 타입이 아닌 필라(pillar) 타입의 채널 층이 형성되므로, 워드 라인 내 컨트롤 게이트와 플로팅 게이트 사이의 커플링 비(coupling ratio)가 증가될 수 있고, 따라서 프로그램/소거(program/erase) 특성이 개선될 수 있다.The nonvolatile memory device and the method of fabricating the same according to embodiments of the present invention are not limited to a macaroni type but a pillar type channel layer is formed. Therefore, a couple between the control gate and the floating gate in the word line The coupling ratio can be increased, and thus the program / erase characteristic can be improved.

나아가, 본 발명의 실시예들에 따른 비휘발성 메모리 소자 및 이의 제조 방법은, 복수개의 채널 층을 적층함으로써 메모리 소자의 높은 집적도를 달성할 수 있다. 또한 일체화된 채널 층이 형성되므로 메모리 셀들 사이의 전기적 특성이 개선될 수 있다.Further, in the nonvolatile memory device and the manufacturing method thereof according to the embodiments of the present invention, a high degree of integration of the memory device can be achieved by stacking a plurality of channel layers. Further, since the integrated channel layer is formed, the electrical characteristics between the memory cells can be improved.

도 1은 본 발명의 기술적 사상에 의한 일부 실시예들에 따른 비휘발성 메모리 소자를 개략적으로 나타낸 평면도이다.
도 2는 도 1의 A-A'에 따른 단면도이고, 도 3은 도 1의 B-B'에 따른 단면도이다.
도 4는 본 발명의 기술적 사상에 의한 다른 실시예에 따른 비휘발성 메모리 소자를 개략적으로 나타낸 단면도이다.
도 5 내지 도 14a 및 도 14b는 본 발명의 기술적 사상에 의한 일부 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 나타낸 단면도들이다.
도 15 내지 도 23은 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 나타낸 단면도들이다.
도 23 내지 도 29는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 나타낸 단면도들이다.
도 30 내지 도 47은 본 발명의 기술적 사상에 의한 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 나타낸 사시도들이다.
도 48 내지 도 61은 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 도시한 사시도들이다.
도 62 내지 도 73은 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 도시한 사시도들이다.
도 74는 본 발명의 기술적 사상에 따른 비휘발성 메모리 소자의 일 실시예에 대한 메모리 셀 어레이의 등가회로도이다.
도 75는 본 발명의 기술적 사상에 따른 비휘발성 메모리 소자를 도시하는 단면도이다.
도 76은 본 발명의 기술적 사상에 의한 실시예들에 따른 비휘발성 메모리 소자를 포함하는 카드를 보여주는 개략도이다.
도 77은 본 발명의 기술적 사상에 의한 실시예들에 따른 비휘발성 메모리 소자를 포함하는 시스템을 보여주는 개략도이다.
1 is a plan view schematically illustrating a nonvolatile memory device according to some embodiments of the present invention.
2 is a cross-sectional view taken along the line A-A 'of FIG. 1, and FIG. 3 is a cross-sectional view taken along the line B-B' of FIG.
4 is a cross-sectional view schematically illustrating a nonvolatile memory device according to another embodiment of the present invention.
FIGS. 5 to 14A and 14B are cross-sectional views illustrating a method of manufacturing a non-volatile memory device according to some embodiments of the present invention.
FIGS. 15 to 23 are cross-sectional views showing a method of manufacturing a non-volatile memory device according to another embodiment of the present invention.
FIGS. 23 to 29 are cross-sectional views illustrating a method of manufacturing a non-volatile memory device according to another embodiment of the present invention.
30 to 47 are perspective views illustrating a method of manufacturing a nonvolatile memory device according to embodiments of the present invention.
FIGS. 48 to 61 are perspective views illustrating a method of manufacturing a nonvolatile memory device according to another embodiment of the present invention.
62 to 73 are perspective views illustrating a method of manufacturing a nonvolatile memory device according to still another embodiment of the present invention.
74 is an equivalent circuit diagram of a memory cell array according to an embodiment of a nonvolatile memory device according to the technical idea of the present invention.
75 is a cross-sectional view showing a nonvolatile memory device according to the technical idea of the present invention.
76 is a schematic diagram showing a card including a nonvolatile memory element according to embodiments of the present invention;
77 is a schematic diagram showing a system including a nonvolatile memory device according to embodiments of the present invention;

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것이며, 아래의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시예들로 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하며 당업자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다. Embodiments of the present invention are provided to more fully describe the present invention to those skilled in the art, and the following embodiments may be modified in various other forms, The present invention is not limited to the following embodiments. Rather, these embodiments are provided so that this disclosure will be more thorough and complete, and will fully convey the concept of the invention to those skilled in the art.

본 명세서에서 사용된 용어는 특정 실시예를 설명하기 위하여 사용되며, 본 발명을 제한하기 위한 것이 아니다. 본 명세서에서 사용된 바와 같이 단수 형태는 문맥상 다른 경우를 분명히 지적하는 것이 아니라면, 복수의 형태를 포함할 수 있다. 또한, 본 명세서에서 사용되는 경우 “포함한다(comprise)” 및/또는 “포함하는(comprising)”은 언급한 형상들, 숫자, 단계, 동작, 부재, 요소 및/또는 이들 그룹의 존재를 특정하는 것이며, 하나 이상의 다른 형상, 숫자, 동작, 부재, 요소 및/또는 그룹들의 존재 또는 부가를 배제하는 것이 아니다. 본 명세서에서 사용된 바와 같이, 용어 “및/또는”은 해당 열거된 항목 중 어느 하나 및 하나 이상의 모든 조합을 포함한다. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms "a", "an," and "the" include plural forms unless the context clearly dictates otherwise. Also, " comprise " and / or " comprising " when used herein should be interpreted as specifying the presence of stated shapes, numbers, steps, operations, elements, elements, and / And does not preclude the presence or addition of one or more other features, integers, operations, elements, elements, and / or groups. As used herein, the term " and / or " includes any and all combinations of one or more of the listed items.

본 명세서에서 제 1, 제 2 등의 용어가 다양한 부재, 영역 및/또는 부위들을 설명하기 위하여 사용되지만, 이들 부재, 부품, 영역, 층들 및/또는 부위들은 이들 용어에 의해 한정되어서는 안됨은 자명하다. 이들 용어는 특정 순서나 상하, 또는 우열의 의미하지 않으며, 하나의 부재, 영역 또는 부위를 다른 부재, 영역 또는 부위와 구별하기 위하여만 사용된다. 따라서, 이하 상술할 제 1 부재, 영역 또는 부위는 본 발명의 가르침으로부터 벗어나지 않고서도 제 2 부재, 영역 또는 부위를 지칭할 수 있다. Although the terms first, second, etc. are used herein to describe various elements, regions and / or regions, it should be understood that these elements, components, regions, layers and / Do. These terms are not intended to be in any particular order, up or down, or top-down, and are used only to distinguish one member, region or region from another member, region or region. Thus, the first member, region or region described below may refer to a second member, region or region without departing from the teachings of the present invention.

이하, 본 발명의 실시예들은 본 발명의 이상적인 실시예들을 개략적으로 도시하는 도면들을 참조하여 설명한다. 도면들에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예는 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조상 초래되는 형상의 변화를 포함하여야 한다. Hereinafter, embodiments of the present invention will be described with reference to the drawings schematically showing ideal embodiments of the present invention. In the figures, for example, variations in the shape shown may be expected, depending on manufacturing techniques and / or tolerances. Accordingly, embodiments of the present invention should not be construed as limited to any particular shape of the regions illustrated herein, including, for example, variations in shape resulting from manufacturing.

도 1은 본 발명의 기술적 사상에 의한 일부 실시예들에 따른 비휘발성 메모리 소자를 개략적으로 나타낸 평면도이다. 도 2는 도 1의 A-A'에 따른 단면도이고, 도 3은 도 1의 B-B'에 따른 단면도이다.1 is a plan view schematically illustrating a nonvolatile memory device according to some embodiments of the present invention. 2 is a cross-sectional view taken along the line A-A 'of FIG. 1, and FIG. 3 is a cross-sectional view taken along the line B-B' of FIG.

도 1 내지 도 3을 참조하면, 비휘발성 메모리 소자는 기판(50), 채널 층들(110), 지지용 절연층들(120), 게이트 도전층들(130), 게이트 절연층들(140), 에어갭들(150), 분리용 절연층들(200), 제 1 절연층(160), 제 2 절연층(170) 및 비트라인 도전층(180)을 포함할 수 있다.1 to 3, a non-volatile memory device includes a substrate 50, channel layers 110, supporting insulating layers 120, gate conductive layers 130, gate insulating layers 140, The first insulating layer 160, the second insulating layer 170, and the bit line conductive layer 180. The first insulating layer 160 may be formed of an insulating material.

도 1을 참조하면, 채널 층들(110)은 지그재그로 배치될 수 있다. 또한 지그재그로 배치된 채널 층들(110)은 지지용 절연층(120)을 둘러쌀 수 있다. 더욱 구체적으로, 채널 층들(110) 및 지지용 절연층들(120)은 분리용 절연층들(200) 사이에 배치될 수 있고, 분리용 절연층들(200) 사이의 채널 층들(110)은 지그재그로 배치될 수 있다. 지지용 절연층(120)은 지그재그로 배치된 채널 층(110)과 분리용 절연층(200) 사이의 빈 공간에 배치될 수 있다. 즉 지지용 절연층들(120) 각각은 분리용 절연층(200)과 채널 층들(110)에 의해 둘러싸일 수 있고, 따라서 분리용 절연층들(200) 사이의 지지용 절연층들(120)은 역-지그재그로 배치될 수 있다.Referring to FIG. 1, the channel layers 110 may be arranged in a zigzag manner. In addition, zigzagged channel layers 110 may surround the supporting insulating layer 120. More specifically, the channel layers 110 and the supporting insulating layers 120 may be disposed between the separating insulating layers 200, and the channel layers 110 between the separating insulating layers 200 It can be arranged in a zigzag manner. The supporting insulating layer 120 may be disposed in an empty space between the channel layer 110 and the separating insulating layer 200 arranged in a zigzag pattern. That is, each of the supporting insulating layers 120 may be surrounded by the separating insulating layer 200 and the channel layers 110, so that the insulating layers 120 for supporting between the insulating layers 200 for separation, Can be arranged in reverse-zigzag.

도 2 및 도 3을 참조하면, 기판(50)은 반도체 물질, 예컨대 IV족 반도체, III-V족 화합물 반도체, 또는 II-VI족 산화물 반도체를 포함할 수 있다. 예를 들어, IV족 반도체는 실리콘, 게르마늄 또는 실리콘-게르마늄을 포함할 수 있다. 기판(50)은 벌크 웨이퍼, 에피택셜층, 실리콘-온-절연체(silicon-on-insulator, SOI) 층, 및/또는 반도체-온-절연체(semiconductor-on-insulator, SEOI) 층 등을 포함할 수 있다.2 and 3, the substrate 50 may comprise a semiconductor material, such as a Group IV semiconductor, a Group III-V compound semiconductor, or a Group II-VI oxide semiconductor. For example, a Group IV semiconductor may comprise silicon, germanium or silicon-germanium. The substrate 50 may include a bulk wafer, an epitaxial layer, a silicon-on-insulator (SOI) layer, and / or a semiconductor-on-insulator .

채널 층(110)은 기판(50)으로부터 수직한 방향으로 돌출 연장될 수 있다. 예를 들어, 채널 층들(110)은 다결정 또는 단결정 구조의 에피택셜층으로 형성될 수 있다. 또한, 채널 층들(110)은 실리콘 물질, 또는 실리콘-게르마늄 물질을 포함할 수 있다. 비록 도면의 경우 채널 층(110)이 필라형(pillar-type) 채널 층으로 도시되어 있으나, 본 발명은 이에 한정되는 것은 아니다. 즉, 채널 층(110)은 마카로니형(macaroni-type) 채널 층일 수 있고, 이 경우 비휘발성 메모리 소자는 상기 마카로니형 채널 층 내부를 채우는 필라 절연층(미도시)을 더 포함할 수 있다. 상기 마카로니형 채널 층의 구조에 대해서는 도 75에서 후술하기로 한다.The channel layer 110 may extend from the substrate 50 in a vertical direction. For example, the channel layers 110 may be formed of an epitaxial layer of polycrystalline or single crystal structure. In addition, the channel layers 110 may comprise a silicon material, or a silicon-germanium material. Although the channel layer 110 is shown as a pillar-type channel layer in the drawing, the present invention is not limited thereto. In other words, the channel layer 110 may be a macaroni-type channel layer. In this case, the nonvolatile memory device may further include a pillar insulating layer (not shown) filling the inside of the macaroni-type channel layer. The structure of the macron-type channel layer will be described later with reference to FIG.

게이트 도전층들(130)은 채널 층(110)의 측면에 적층될 수 있다. 더욱 구체적으로, 제 1 절연층(160)과 게이트 도전층들(130)은 채널 층(110)의 측면에 교대로 적층되며, 채널을 둘러싸는 구조일 수 있다. 게이트 도전층들(130)은 폴리실리콘(polysilicon), 알루미늄(Al), 루테늄(Ru), 탄탈 질화물(TaN), 티타늄 질화물(TiN), 텅스텐(W), 텅스텐 질화물(WN), 하프늄 질화물(HfN) 및 텅스텐 실리사이드(WSi)로 이루어지는 군에서 선택되는 어느 하나 또는 이들의 조합을 포함할 수 있다.The gate conductive layers 130 may be stacked on the side surfaces of the channel layer 110. More specifically, the first insulating layer 160 and the gate conductive layers 130 may be alternately stacked on the side of the channel layer 110, and may be a structure surrounding the channel. The gate conductive layers 130 may be formed of polysilicon, aluminum, ruthenium, tantalum nitride (TaN), titanium nitride (TiN), tungsten (W), tungsten nitride (WN), hafnium nitride HfN) and tungsten silicide (WSi), or a combination thereof.

제 1 절연층(160)은 채널 층(110)과 이격되며, 게이트 도전층(130)의 상하에 위치할 수 있다. 더욱 구체적으로, 제 1 절연층(160)은 게이트 도전층들(130) 사이 및 게이트 도전층들(130) 상에 위치할 수 있다. 또한, 제 1 절연층들(160) 중 최상위의 제 1 절연층(160)의 두께는 나머지 제 1 절연층의 두께보다 더 클 수 있다. 나아가, 제 1 절연층들(160) 중 최하위의 제 1 절연층(160)의 두께는 나머지 제 1 절연층의 두께보다 더 클 수 있다.The first insulating layer 160 is spaced apart from the channel layer 110 and may be located above and below the gate conductive layer 130. More specifically, the first insulating layer 160 may be located between the gate conductive layers 130 and on the gate conductive layers 130. [ In addition, the thickness of the first insulating layer 160 at the uppermost one of the first insulating layers 160 may be greater than the thickness of the remaining first insulating layers. Further, the thickness of the first insulating layer 160, which is the lowest one of the first insulating layers 160, may be greater than the thickness of the remaining first insulating layers 160.

제 2 절연층(170)은 채널 층(110)의 상부와 직접 접촉할 수 있다. 더욱 구체적으로, 제 2 절연층(170)은 제 1 절연층(160)과 채널 층(110) 사이의 영역에 직접 개재될 수 있다. 예를 들어, 제 2 절연층(170)은 제 1 절연층들(160) 중 최상위의 제 1 절연층(160)과 채널 층(110) 사이에 위치할 수 있다. 또한 제 2 절연층(170)은 게이트 절연층(140)과 비트라인 도전층(180) 사이에 위치할 수 있다. 제 1 절연층(160)과 제 2 절연층(170)은 실질적으로 동일한 식각선택비를 가질 수 있다. 제 1 절연층(160)의 두께는 제 2 절연층(170)의 두께보다 더 클 수 있다. 더욱 구체적으로, 기판(50)과 수직하는 방향에서, 제 2 절연층(170)의 두께는 제 1 절연층(160)의 두께보다 더 작을 수 있다. 또한 도 1과 같이 평면도로 제 2 절연층(170)을 바라보는 경우, 제 2 절연층(170)은 채널 층(110)을 둘러싸는 링(ring) 구조일 수 있다.The second insulating layer 170 may be in direct contact with the top of the channel layer 110. More specifically, the second insulating layer 170 may be directly interposed between the first insulating layer 160 and the channel layer 110. For example, the second insulating layer 170 may be positioned between the first insulating layer 160 and the channel layer 110 of the first insulating layers 160. The second insulating layer 170 may be positioned between the gate insulating layer 140 and the bit line conductive layer 180. The first insulating layer 160 and the second insulating layer 170 may have substantially the same etch selectivity. The thickness of the first insulating layer 160 may be greater than the thickness of the second insulating layer 170. More specifically, in a direction perpendicular to the substrate 50, the thickness of the second insulating layer 170 may be smaller than the thickness of the first insulating layer 160. 1, the second insulating layer 170 may have a ring structure that surrounds the channel layer 110. In this case,

게이트 절연층들(140)은 게이트 도전층들(130)과 채널 층(110) 사이에 위치할 수 있다. 더욱 구체적으로, 게이트 절연층들(140) 각각은 게이트 도전층(130)을 둘러싸는 형태로 형성될 수 있다. 따라서 게이트 절연층들(140) 각각은 게이트 도전층(130)과 제 1 절연층(160) 사이 및 게이트 도전층들(130)과 채널 층(110) 사이에 위치할 수 있다. 또한, 게이트 절연층(140)은 채널 층(110)의 측면을 둘러싸도록 형성될 수 있다.The gate insulating layers 140 may be positioned between the gate conductive layers 130 and the channel layer 110. More specifically, each of the gate insulating layers 140 may be formed to surround the gate conductive layer 130. Each of the gate insulating layers 140 may be located between the gate conductive layer 130 and the first insulating layer 160 and between the gate conductive layers 130 and the channel layer 110. [ In addition, the gate insulating layer 140 may be formed to surround the side surface of the channel layer 110.

게이트 절연층(140)은 채널 층(110)의 측면에서 적층되는 복수개의 게이트 절연층들(142, 144, 146)을 포함할 수 있다. 예를 들어, 게이트 절연층(140)은 채널 층(110)으로부터 터널링 절연층(142), 전하 저장층(144), 블록킹 절연층(146)이 차례로 적층된 구조일 수 있다. 터널링 절연층(142), 전하 저장층(144), 및 블록킹 절연층(146)은 스토리지 매체를 구성한다.The gate insulating layer 140 may include a plurality of gate insulating layers 142, 144, and 146 stacked on the side of the channel layer 110. For example, the gate insulating layer 140 may have a structure in which a tunneling insulating layer 142, a charge storage layer 144, and a blocking insulating layer 146 are sequentially stacked from the channel layer 110. The tunneling insulating layer 142, the charge storage layer 144, and the blocking insulating layer 146 constitute a storage medium.

터널링 절연층(142), 전하 저장층(144), 및 블록킹 절연층(146)은 각각 실리콘 산화층(SiO2), 실리콘 산질화층(SiON), 실리콘 질화층(Si3N4), 알루미늄 산화층(Al2O3), 알루미늄 질화층(AlN), 하프늄 산화층(HfO2), 하프늄 실리콘 산화층(HfSiO), 하프늄 실리콘 산질화층(HfSiON), 하프늄 산질화층(HfON), 하프늄 알루미늄 산화층(HfAlO), 지르코늄 산화층(ZrO2), 탄탈륨 산화층(Ta2O3), 하프늄 탄탈륨 산화층(HfTaxOy), 란탄 산화층(LaO), 란탄 알루미늄 산화층 (LaAlO), 란탄 하프늄 산화층(LaHfO) 및 하프늄 알루미늄 산화층(HfAlO)으로 이루어지는 군에서 선택되는 어느 하나, 또는 이들의 조합을 포함할 수 있다. 예를 들어, 상기 터널링 절연층(142)은 실리콘 산화층을 포함하고, 상기 전하 저장층(144)은 실리콘 질화층을 포함하며, 상기 블록킹 절연층(146)은 금속 산화층을 포함할 수 있다.The tunneling insulating layer 142, the charge storage layer 144 and the blocking insulating layer 146 are each formed of a silicon oxide layer (SiO 2 ), a silicon oxynitride layer (SiON), a silicon nitride layer (Si 3 N 4 ) (Al 2 O 3 ), an aluminum nitride layer (AlN), a hafnium oxide layer (HfO 2 ), a hafnium silicon oxide layer (HfSiO), a hafnium silicon oxynitride layer (HfSiON), a hafnium oxynitride layer (HfON) ), zirconium oxide (ZrO 2), tantalum oxide (Ta 2 O 3), hafnium tantalum oxide (HfTa x O y), lanthanum oxide (LaO), lanthanum aluminum oxide (LaAlO), lanthanum hafnium oxide (LaHfO) and hafnium aluminum And an oxide layer (HfAlO), or a combination thereof. For example, the tunneling insulating layer 142 includes a silicon oxide layer, the charge storage layer 144 includes a silicon nitride layer, and the blocking insulating layer 146 may include a metal oxide layer.

기판(50)과 수직한 방향에서, 에어갭들(150)은 복수개의 게이트 도전층들(130) 사이 또는 게이트 도전층들(130) 중 최상위의 게이트 도전층(130)과 제 2 절연층(170) 사이에 위치할 수 있다. 비휘발성 메모리 소자의 제조 공정 당시 스텝 커버리지(step coverage)가 좋지 않은 게이트 절연층(140)을 증착시킴으로써 이러한 에어갭들(150)이 형성될 수 있다. 기판(50)과 평행한 방향에서, 에어갭들(150)은 제 1 절연층들(160)과 채널 층(110) 사이에 위치할 수 있다. 또한, 에어갭들(150)과 채널 층(110) 및/또는 에어갭들(150)과 제 1 절연층(160) 사이에 게이트 절연층(140)이 형성될 수 있다.In the direction perpendicular to the substrate 50, the air gaps 150 are formed between the gate conductive layers 130 and between the gate conductive layers 130 and 130, 170). These air gaps 150 can be formed by depositing a gate insulating layer 140 having poor step coverage at the time of manufacturing the non-volatile memory device. In a direction parallel to the substrate 50, air gaps 150 may be located between the first insulating layers 160 and the channel layer 110. A gate insulating layer 140 may be formed between the air gaps 150 and the channel layer 110 and / or between the air gaps 150 and the first insulating layer 160.

분리용 절연층(200)은 채널 층들(110) 사이에 위치하며, 기판(50)과 수직한 방향으로 돌출 연장될 수 있다. 분리용 절연층(200)은 제 1 절연층(160)과 연결될 수 있다. 비트라인 도전층(180)은 채널 층(110) 상에 형성될 수 있고, 기판(50)과 평행한 방향으로 연장될 수 있다. 비트라인 도전층(180)은 제 1 절연층(160), 제 2 절연층(170), 및 분리용 절연층(200)과 접촉할 수 있다.The separating insulating layer 200 is located between the channel layers 110 and may extend and extend in a direction perpendicular to the substrate 50. The separating insulating layer 200 may be connected to the first insulating layer 160. The bit line conductive layer 180 may be formed on the channel layer 110 and extend in a direction parallel to the substrate 50. The bit line conductive layer 180 may be in contact with the first insulating layer 160, the second insulating layer 170, and the isolation insulating layer 200.

지지용 절연층(120)은 채널 층(110)과 분리용 절연층(200) 사이에 위치하며, 기판(50)과 수직한 방향으로 돌출 연장될 수 있다. 지지용 절연층(120)은 제 1 절연층(160)과 연결될 수 있다. 더욱 구체적으로, 지지용 절연층(120)과 분리용 절연층(200) 사이에는 제 1 절연층(160)만이 개재될 수 있다. 비트라인 도전층(180)은 제 1 절연층(160), 제 2 절연층(170), 분리용 절연층(200), 및 지지용 절연층(120)과 접촉할 수 있다. 지지용 절연층(120)과 제 1 절연층(160)은 실질적으로 동일한 식각선택비를 가질 수 있다.The supporting insulating layer 120 is located between the channel layer 110 and the insulating layer 200 for separation and may extend and extend in a direction perpendicular to the substrate 50. The supporting insulating layer 120 may be connected to the first insulating layer 160. More specifically, only the first insulating layer 160 may be interposed between the supporting insulating layer 120 and the separating insulating layer 200. The bit line conductive layer 180 may be in contact with the first insulating layer 160, the second insulating layer 170, the insulating layer 200 for separation, and the insulating layer 120 for supporting. The supporting insulating layer 120 and the first insulating layer 160 may have substantially the same etch selectivity.

도 4는 본 발명의 기술적 사상에 의한 다른 실시예에 따른 비휘발성 메모리 소자를 개략적으로 나타낸 단면도이다. 이 실시예에 따른 비휘발성 메모리 소자는 전술한 도 2의 비휘발성 메모리 소자를 일부 변형한 것이다. 이하 중복되는 설명은 생략하기로 한다.4 is a cross-sectional view schematically illustrating a nonvolatile memory device according to another embodiment of the present invention. The nonvolatile memory device according to this embodiment is a modification of the nonvolatile memory device of FIG. 2 described above. The following description will not be repeated.

도 4를 참조하면, 게이트 절연층(140)은 제 2 절연층(170)과 기판(50) 사이에서 기판(50)과 수직한 방향으로 연장될 수 있다. 따라서 게이트 절연층(140)은 게이트 도전체(130)와 채널 층(110) 사이뿐만 아니라, 제 1 절연층들(160)과 채널 층(110) 사이에서도 형성될 수 있다. 더욱 구체적으로, 게이트 절연층(140)은 채널 층(110)을 둘러싸는 링(ring) 구조로 형성될 수 있다.Referring to FIG. 4, the gate insulating layer 140 may extend in a direction perpendicular to the substrate 50 between the second insulating layer 170 and the substrate 50. The gate insulating layer 140 may be formed not only between the gate conductor 130 and the channel layer 110 but also between the first insulating layers 160 and the channel layer 110. [ More specifically, the gate insulating layer 140 may be formed in a ring structure surrounding the channel layer 110. [

비휘발성 메모리 소자는 게이트 도전층(130)을 둘러싸는 게이트 분리 절연층(145)을 더 포함할 수 있다. 게이트 분리 절연층(145)은 게이트 도전층(130)과 채널 층(110) 사이에 형성될 수 있다. 또한, 게이트 분리 절연층(145)은 게이트 도전층(130)과 제 1 절연층(160) 사이로 연장되어 형성될 수 있다. The non-volatile memory device may further include a gate isolation insulating layer 145 surrounding the gate conductive layer 130. The gate insulating layer 145 may be formed between the gate conductive layer 130 and the channel layer 110. The gate insulating layer 145 may extend between the gate conductive layer 130 and the first insulating layer 160.

게이트 분리 절연층(145)은 산화 알루미늄(Al2O3) 또는 질화 티타늄(TiN)을 포함할 수 있다. 선택적으로, 게이트 절연층(140)과 게이트 분리 절연층에 의해 스토리지 매체가 구성될 수 있다. 이외에, 게이트 분리 절연층(145)에 의해, 제 1 절연층들(160)과 게이트 절연층(140) 사이에 에어갭(150)이 형성될 수 있다. The gate insulating layer 145 may include aluminum oxide (Al 2 O 3 ) or titanium nitride (TiN). Alternatively, the storage medium may be constituted by the gate insulating layer 140 and the gate insulating layer. In addition, an air gap 150 may be formed between the first insulating layers 160 and the gate insulating layer 140 by the gate insulating layer 145.

도 5 내지 도 14a 및 도 14b는 본 발명의 기술적 사상에 의한 일부 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 나타낸 단면도들이다. 이 실시예들에 따른 비휘발성 메모리 소자의 제조 방법은, 도 2에 나타난 비휘발성 메모리 소자를 형성하기 위한 제조 공정을 나타낸 것이다. 따라서 도 2의 설명과 중복되는 설명은 생략하기로 한다.FIGS. 5 to 14A and 14B are cross-sectional views illustrating a method of manufacturing a non-volatile memory device according to some embodiments of the present invention. A manufacturing method of the non-volatile memory device according to these embodiments shows a manufacturing process for forming the non-volatile memory device shown in Fig. Therefore, the description overlapping with the description of FIG. 2 will be omitted.

도 5를 참조하면, 기판(50) 상에 복수개의 희생 절연층들(125) 및 복수개의 제 1 절연층들(160)을 교대로 적층한다. 예를 들어, 희생 절연층들(125)은 실리콘 질화물을 포함할 수 있고, 이 경우 제 1 절연층들(160)은 희생 절연층들(125)과 식각선택비를 가지도록 실리콘 산화물 또는 실리콘 저머늄을 포함할 수 있다. 반대로, 희생 절연층들(125)은 실리콘 저머늄을 포함할 수 있고, 이 경우 제 1 절연층들(160)은 실리콘 산화물 또는 실리콘 질화물을 포함할 수 있다. 그러나 본 발명은 이에 한정되지 않으며, 희생 절연층들(125)은 제 1 절연층들(160)과 다른 식각선택비를 가지는 임의의 물질로 형성될 수 있다.Referring to FIG. 5, a plurality of sacrificial insulating layers 125 and a plurality of first insulating layers 160 are alternately stacked on a substrate 50. For example, the sacrificial insulating layers 125 may comprise silicon nitride, in which case the first insulating layers 160 may be silicon oxide or silicon so as to have etch selectivity with the sacrificial insulating layers 125 ≪ / RTI > In contrast, the sacrificial insulating layers 125 may comprise silicon germanium, in which case the first insulating layers 160 may comprise silicon oxide or silicon nitride. However, the present invention is not limited thereto, and the sacrificial insulating layers 125 may be formed of any material having an etch selectivity different from that of the first insulating layers 160.

도 5에 도시하지는 않았지만, 희생 절연층들(125) 중 최상위의 희생 절연층 및 최하위의 희생 절연층의 두께는 다른 희생 절연층들(125)의 두께보다 더 클 수 있다. 도 74에서 후술하겠지만, 상기 최상위 및 최하위의 희생 절연층들의 두께는 각각 스트링 선택 트랜지스터(도 74의 SST) 및 접지 선택 트랜지스터(도 74의 GST)의 게이트들의 두께를 결정한다. 따라서 메모리 셀 스트링에 충분한 전류가 공급될 수 있도록, 상기 최상위 및 최하위의 희생 절연층들은 다른 희생 절연층들보다 더 두껍게 형성될 수 있다.Although not shown in FIG. 5, the thickness of the uppermost sacrificial insulation layer and the lowermost sacrificial insulation layer in the sacrificial insulation layers 125 may be greater than the thickness of the other sacrificial insulation layers 125. 74, the thickness of the uppermost and lowermost sacrificial insulation layers determines the thickness of the gates of the string selection transistor (SST in FIG. 74) and the ground selection transistor (GST in FIG. 74), respectively. Therefore, the uppermost and lowermost sacrificial insulating layers may be formed thicker than other sacrificial insulating layers so that sufficient current can be supplied to the memory cell string.

이후, 희생 절연층들(125) 및 제 1 절연층들(160)을 식각하여 복수개의 채널 홀들(105)을 형성한다. 더욱 구체적으로, 반응성 이온 식각 공정과 같은 이방성 식각 공정을 사용하여 희생 절연층들(125) 및 제 1 절연층들(160)이 식각될 수 있다. 상기 이방성 식각 공정이 과다 수행되어, 오버에칭(overetching)이 이루어질 수 있고, 그 결과 기판(50)도 일부 식각될 수 있다. 채널 홀들(105)은 30 nm 내지 350 nm의 지름(X1)을 가지는 원기둥 형태로 형성될 수 있다. 또한, 비록 도면에 도시하지는 않았지만, 채널 홀들(105)은 기판의 방향으로 테이퍼된(tapered) 형태로 형성될 수 있다.Thereafter, the sacrificial insulating layers 125 and the first insulating layers 160 are etched to form a plurality of channel holes 105. More specifically, the sacrificial insulating layers 125 and the first insulating layers 160 can be etched using an anisotropic etching process such as a reactive ion etching process. The anisotropic etching process may be performed excessively, and overetching may be performed, and as a result, the substrate 50 may also be partially etched. The channel holes 105 may be formed in a cylindrical shape having a diameter (X1) of 30 nm to 350 nm. In addition, although not shown in the drawing, the channel holes 105 may be formed in a tapered shape in the direction of the substrate.

도 6을 참조하면, 채널 홀들(105) 각각의 측벽에 희생 스페이서(127)를 형성한다. 희생 스페이서(127)는 채널 홀들(105)의 측벽을 둘러싸며, 희생 절연층(125)과 동일한 식각선택비를 가지는 물질로 형성될 수 있다. 또한, 희생 스페이서(127)는 5 nm 내지 50 nm의 두께(X2)로 형성될 수 있다. Referring to FIG. 6, sacrificial spacers 127 are formed on the sidewalls of each of the channel holes 105. The sacrificial spacer 127 surrounds the sidewalls of the channel holes 105 and may be formed of a material having the same etch selectivity as the sacrificial insulating layer 125. In addition, the sacrificial spacer 127 may be formed to a thickness (X2) of 5 nm to 50 nm.

희생 스페이서(127)는 희생 절연층(125)과 동일한 물질로 형성될 수 있다. 예를 들어, 희생 스페이서(127) 및 희생 절연층(125)은 실리콘 질화물, 실리콘 산화물, 실리콘 카바이드 또는 실리콘 저머늄을 포함할 수 있다.The sacrificial spacer 127 may be formed of the same material as the sacrificial insulating layer 125. For example, the sacrificial spacer 127 and the sacrificial insulating layer 125 may comprise silicon nitride, silicon oxide, silicon carbide, or silicon germanium.

도 7을 참조하면, 희생 스페이서(127)과 접촉하는 채널 층(110)을 형성한다. 채널 층은 20 nm 내지 150 nm의 지름(X3)을 가지는 원기둥 또는 테이퍼된 형상을 가지는 원뿔 기둥의 형태로 형성될 수 있다. 더욱 구체적으로, 예를 들어 채널 홀들(105)이 테이퍼된 형상으로 형성될 경우, 채널 홀들(105)은 최소 30 nm 내지 최대 350 nm의 지름(X1)을 가지는 원뿔 기둥 형태일 수 있다. 이때, 희생 스페이서(127)는 최소 5nm 내지 최대 50 nm의 두께(X2)를 가질 수 있고, 따라서 채널 층은 최소 20 nm 내지 150 nm의 지름(X3)을 가지는 원뿔 기둥의 형태로 형성될 수 있다.Referring to FIG. 7, a channel layer 110 is formed that contacts sacrificial spacers 127. The channel layer may be formed in the form of a cylindrical or tapered conical column having a diameter (X3) of 20 nm to 150 nm. More specifically, for example, when the channel holes 105 are formed in a tapered shape, the channel holes 105 may be in the form of a conical column having a diameter (X1) of at least 30 nm to at most 350 nm. At this time, the sacrificial spacer 127 may have a thickness (X2) of at least 5 nm to a maximum of 50 nm, and thus the channel layer may be formed in the form of a conical column having a diameter (X3) of at least 20 nm to 150 nm .

채널 층(110)은 단일막 구조인 희생 스페이서(127) 내에서 형성된다. 따라서 이중막 구조로부터 채널 층(110)이 형성되는 기존 공정의 경우에 발생할 수 있는 채널 층(110)의 주름 현상을 방지할 수 있다.The channel layer 110 is formed in a sacrificial spacer 127, which is a single film structure. Therefore, it is possible to prevent the wrinkle phenomenon of the channel layer 110, which may occur in the conventional process in which the channel layer 110 is formed from the double-layer structure.

도 31의 경우 채널 층(110)이 필라형 채널 층으로 도시되어 있지만 채널 층(110)이 마카로니형 채널 층일 수도 있음은 상술한 바와 같고, 이 경우 희생 스페이서(127)과 접촉하는 채널 층(110)을 형성하고, 이후 상기 채널 층(110) 내부를 채우는 필라 절연층(미도시)을 형성하는 공정이 추가될 수 있다.31, the channel layer 110 is illustrated as a pillar-shaped channel layer, but the channel layer 110 may be a macaroni-type channel layer as described above. In this case, the channel layer 110 in contact with the sacrificial spacer 127 And then forming a pillar insulating layer (not shown) to fill the channel layer 110. [0064]

도 8을 참조하면, 상기 희생 스페이서(127)의 상부 일부를 제 1 깊이만큼 식각하여, 최상위의 제 1 절연층(160)의 측벽 및 채널 층(110)의 측벽을 노출시킨다. 기판(50)과 수직한 방향에서, 상기 제 1 깊이는 최상위의 제 1 절연층(160)의 깊이보다 작을 수 있다.Referring to FIG. 8, an upper portion of the sacrificial spacer 127 is etched by a first depth to expose sidewalls of the uppermost first insulating layer 160 and the sidewalls of the channel layer 110. In a direction perpendicular to the substrate 50, the first depth may be less than the depth of the uppermost first insulating layer 160.

도 9를 참조하면, 희생 스페이서(127) 상에 제 2 절연층(170)을 형성한다. 더욱 구체적으로, 제 2 절연층(170)과 최상위의 제 1 절연층(160)의 측벽 및 채널 층(110)의 측벽과 접촉하도록 제 2 절연층(170)을 형성한다. 제 2 절연층(170)은 희생 절연층(125) 및 희생 스페이서(127)을 식각하는 풀백 공정(pull back process)에서 채널이 쓰러지거나 리프팅(lifting) 되는 현상을 방지하는 역할을 수행한다. 따라서 제 2 절연층(170)은 희생 절연층(125) 및 희생 스페이서(127)와 식각선택비를 가지는 물질로 형성될 수 있다.Referring to FIG. 9, a second insulating layer 170 is formed on the sacrificial spacer 127. More specifically, the second insulating layer 170 is formed to contact the sidewalls of the second insulating layer 170 and the first insulating layer 160 and the sidewalls of the channel layer 110, respectively. The second insulating layer 170 prevents the channel from being collapsed or lifted in a pull back process of etching the sacrificial insulating layer 125 and the sacrificial spacer 127. Accordingly, the second insulating layer 170 may be formed of a material having an etch selectivity with the sacrificial insulating layer 125 and the sacrificial spacer 127.

도 10을 참조하면, 희생 절연층(125) 및 희생 스페이서(127)를 식각하는 풀백 공정을 수행하기 위해, 제 2 절연층(170), 희생 절연층들(125), 및 제 1 절연층들(160)을 식각하여 복수개의 워드라인 리세스들(205)을 형성한다. 이 경우 워드라인 리세스들(205) 각각은 채널 층들(110) 사이에 위치한다.10, the second insulating layer 170, the sacrificial insulating layers 125, and the first insulating layers 125 and 125 are formed to perform a pullback process of etching the sacrificial insulating layer 125 and the sacrificial spacer 127, (Not shown) are etched to form a plurality of word line recesses 205. In this case, each of the word line recesses 205 is located between the channel layers 110.

도 11a 및 도 11b를 참조하면, 희생 절연층(125) 및 희생 스페이서(127)를 식각하여 제 1 절연층(160) 및 채널 층(110)을 노출시키고, 노출된 제 1 절연층(160) 및 채널 층(110) 상에 게이트 절연층(140)을 형성한다. 11A and 11B, the sacrificial insulating layer 125 and the sacrificial spacer 127 are etched to expose the first insulating layer 160 and the channel layer 110 and the exposed first insulating layer 160, And a gate insulating layer 140 is formed on the channel layer 110.

예를 들어, 제 1 절연층(160) 및 제 2 절연층(170)은 실리콘 산화막이고, 희생 절연층(125) 및 희생 스페이서(127)는 제 1 및 제 2 절연층들(160, 170)과 식각선택비를 가지는 실리콘 질화막일 수 있다. 이 경우 인산 스트립 공정을 통해 실리콘 질화막으로 이루어진 희생 절연층(125) 및 희생 스페이서(127)을 제거하여 제 1 절연층(160), 제 2 절연층(170), 및 채널 층(110)을 노출시킬 수 있다. For example, the first insulating layer 160 and the second insulating layer 170 are silicon oxide films, and the sacrificial insulating layer 125 and the sacrificial spacer 127 are formed on the first and second insulating layers 160 and 170, And a silicon nitride film having an etch selectivity. In this case, the sacrificial insulating layer 125 and the sacrificial spacer 127 made of a silicon nitride film are removed through a phosphoric acid strip process to expose the first insulating layer 160, the second insulating layer 170, and the channel layer 110 .

이후 노출된 제 1 절연층(160) 및 채널 층(110) 상에 게이트 절연층(140)을 형성한다. 게이트 절연층이 터널링 절연층(142), 전하 저장층(144), 및 블록킹 절연층(146)을 포함할 수 있음은 상술한 바와 같다. 도 11a와 같이, 스텝 커버리지가 좋지 않은 게이트 절연층(140)을 증착하는 경우 복수개의 게이트 도전층들(130) 사이 또는 게이트 도전층들(130) 중 최상위의 게이트 도전층(130)과 제 2 절연층(170) 사이에 에어갭들(150)이 형성될 수 있다. 반면에, 도 11b와 같이, 스텝 커버리지가 좋은 게이트 절연층(140)을 증착하는 경우, 상기 에어갭들이 형성되지 않을 수도 있다. 이 경우 게이트 도전층(130) 사이에는 게이트 절연층(140)만이 개재된다.Then, a gate insulating layer 140 is formed on the exposed first insulating layer 160 and the channel layer 110. As described above, the gate insulating layer may include a tunneling insulating layer 142, a charge storage layer 144, and a blocking insulating layer 146. 11A, when the gate insulating layer 140 having a poor step coverage is deposited, the uppermost gate conductive layer 130 among the plurality of gate conductive layers 130 or the gate conductive layers 130, Air gaps 150 may be formed between the insulating layers 170. On the other hand, as shown in FIG. 11B, when the gate insulating layer 140 having good step coverage is deposited, the air gaps may not be formed. In this case, only the gate insulating layer 140 is interposed between the gate conductive layers 130.

게이트 절연층(140)의 두께와 희생 스페이서(127)의 두께의 관계에 따라, 에어갭이 형성되는지 여부가 결정될 수 있다. 여기서 게이트 절연층(140)의 상기 두께라 함은 제 1 절연층(160)의 상하에 증착되는 게이트 절연층(140)의 두께를 의미한다. 또한, 희생 스페이서(127)의 상기 두께는, 상기 스페이서가 희생 절연층들(125)과 제 1 절연층들(160)의 측벽 상에 층착되는 희생 스페이서(127)의 두께로 정의될 수 있다. Depending on the relationship between the thickness of the gate insulating layer 140 and the thickness of the sacrificial spacer 127, it can be determined whether or not an air gap is formed. Here, the thickness of the gate insulating layer 140 refers to the thickness of the gate insulating layer 140 deposited above and below the first insulating layer 160. The thickness of the sacrificial spacer 127 may also be defined as the thickness of the sacrificial spacer 127 in which the spacer is stacked on the sacrificial insulating layers 125 and the sidewalls of the first insulating layers 160.

희생 스페이서(127)의 두께가 게이트 절연층(140)의 두께의 약 2배 이하일 경우, 게이트 절연층(140) 사이에 에어갭(150)이 형성될 수 있다. 반면에, 희생 스페이서(127)의 두께가 게이트 절연층(140)의 두께의 약 2배 이상일 경우, 게이트 절연층(140) 사이에 에어갭(150)이 형성되지 않을 수 있다. 즉, 에어갭(150)의 형성 조건으로서, 스텝 커버리지와 같은 게이트 절연층(140)의 증착조건 뿐만 아니라, 희생 스페이서(127) 및 게이트 절연층(140)의 두께들 또한 고려되어야 한다.An air gap 150 may be formed between the gate insulating layer 140 when the thickness of the sacrificial spacer 127 is about twice the thickness of the gate insulating layer 140 or less. On the other hand, if the thickness of the sacrificial spacer 127 is about twice the thickness of the gate insulating layer 140, the air gap 150 may not be formed between the gate insulating layers 140. That is, as the formation condition of the air gap 150, not only the deposition conditions of the gate insulating layer 140 such as step coverage but also the thicknesses of the sacrificial spacer 127 and the gate insulating layer 140 should be considered.

도 12를 참조하면, 게이트 절연층(140) 상에 게이트 도전층(130)을 형성한다. 제 1 절연층(160) 사이에 형성된 게이트 도전층들(130)은 각각 워드 라인의 기능을 수행한다. 이후 도 13을 참조하면, 스트립 공정을 수행하여 게이트 도전층들(130) 상호간의 전기적 연결을 제거하고, 워드라인 리세스(205)를 채우는 분리용 절연층(200)을 형성한다.Referring to FIG. 12, a gate conductive layer 130 is formed on the gate insulating layer 140. The gate conductive layers 130 formed between the first insulating layers 160 each function as a word line. Referring to FIG. 13, a strip process is performed to remove the electrical connection between the gate conductive layers 130, thereby forming a separating insulating layer 200 filling the word line recess 205.

도 14a 및 도 14b를 참조하면, 화학 기계 연마(chemical mechanical polishing, CMP) 공정을 수행하여 분리용 절연층(200)의 상부 일부를 제거하고, 채널 층(110)을 노출시킨다. 이후 제 1 절연층(160), 제 2 절연층(170), 채널 층(110) 및 분리용 절연층(200) 상에 비트라인 도전층(180)을 형성한다. 도 14a의 경우 에어갭들(150)이 형성된 비휘발성 메모리 소자를 나타낸 것이고, 도 14b의 경우 에어갭들(150)이 형성되지 않고 게이트 도전층(130) 사이에 게이트 절연층만이 개재되는 경우의 비휘발성 메모리 소자를 나타낸 것이다.Referring to FIGS. 14A and 14B, a chemical mechanical polishing (CMP) process is performed to remove an upper portion of the isolation insulating layer 200 and expose the channel layer 110. The bit line conductive layer 180 is formed on the first insulating layer 160, the second insulating layer 170, the channel layer 110, and the insulating layer 200 for isolation. 14A shows a nonvolatile memory element in which air gaps 150 are formed and FIG. 14B shows a case where only air gap 150 is not formed and only a gate insulating layer is interposed between the gate conductive layers 130 Volatile memory device.

도 15 내지 도 23은 본 발명의 기술적 사상에 의한 일부 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 나타낸 단면도들이다. 이 실시예들에 따른 비휘발성 메모리 소자의 제조 방법은, 도 3에 나타난 비휘발성 메모리 소자를 형성하기 위한 제조 공정을 나타낸 것이다. 또한, 이 실시예들에 따른 비휘발성 메모리 소자의 제조 방법은, 도 5 내지 도 14a 및 도 14b에 따른 비휘발성 메모리 소자의 제조 공정을 포함할 수 있다. 이하 중복되는 설명은 생략하기로 한다.FIGS. 15 to 23 are cross-sectional views illustrating a method of manufacturing a non-volatile memory device according to some embodiments of the present invention. The nonvolatile memory device manufacturing method according to these embodiments shows a manufacturing process for forming the nonvolatile memory device shown in FIG. In addition, the manufacturing method of the non-volatile memory device according to these embodiments may include the manufacturing process of the non-volatile memory device according to Figs. 5 to 14A and 14B. The following description will not be repeated.

도 15를 참조하면, 도 5 내지 도 7에서 설명한 바와 같이, 기판(50) 상에 복수개의 희생 절연층들(125) 및 복수개의 제 1 절연층들(160)을 교대로 적층하고, 복수개의 채널 홀들(105)을 형성하며, 채널 홀(105)을 채우는 희생 스페이서들(127) 및 채널 층(110)을 형성한다.Referring to FIG. 15, a plurality of sacrificial insulating layers 125 and a plurality of first insulating layers 160 are alternately stacked on a substrate 50, as described in FIGS. 5 to 7, Forming channel holes 105 and sacrificial spacers 127 and channel layer 110 filling channel holes 105. [

도 16을 참조하면, 희생 절연층들(125) 및 제 1 절연층들(160)을 식각하여 더미 홀을 형성하고, 상기 더미 홀을 채우는 지지용 절연층(120)을 형성한다. 지지용 절연층(120)은 희생 절연층(125) 및 희생 스페이서(127)와 다른 식각선택비를 가지는 물질일 수 있다.Referring to FIG. 16, the sacrificial insulating layers 125 and the first insulating layers 160 are etched to form dummy holes, and the supporting insulating layer 120 filling the dummy holes is formed. The supporting insulating layer 120 may be a material having an etch selectivity different from that of the sacrificial insulating layer 125 and the sacrificial spacer 127.

도 17을 참조하면, 도 8 및 도 9에서 설명한 바와 같이, 제 1 절연층들(160) 중 최상위의 제 1 절연층(160)의 측벽 및 채널 층(110)의 측벽이 노출되도록 희생 스페이서들(127)의 상부 일부를 식각하고, 최상위의 제 1 절연층(160)의 측벽 및 채널 층(110)의 측벽과 접촉하는 제 2 절연층(170)을 형성한다.Referring to FIG. 17, as described in FIGS. 8 and 9, the sidewalls of the uppermost first insulating layer 160 and the sidewalls of the channel layer 110 of the first insulating layers 160 are exposed. A second insulating layer 170 is formed which is in contact with the sidewalls of the uppermost first insulating layer 160 and the sidewalls of the channel layer 110.

도 18을 참조하면, 희생 절연층(125) 및 희생 스페이서(127)을 식각하는 풀백 공정을 수행하기 위해, 제 2 절연층(170), 희생 절연층들(125), 및 제 1 절연층들(160)을 식각하여 워드라인 리세스(205)를 형성한다. 이 경우 워드라인 리세스(205)는 채널 층(110)과 지지용 절연층(120) 사이에 위치한다.18, the second insulating layer 170, the sacrificial insulating layers 125, and the first insulating layers 125 are formed to perform a pullback process of etching the sacrificial insulating layer 125 and the sacrificial spacer 127. [ The word line recesses 205 are formed by etching the word lines 160. In this case, the word line recess 205 is located between the channel layer 110 and the supporting insulating layer 120.

도 19를 참조하면, 희생 절연층(125) 및 희생 스페이서(127)를 식각하는 풀백 공정(pull back process)을 수행한다. 상술한 바와 같이, 지지용 절연층(120)은 희생 절연층(125)이 식각된 후 제 1 절연층(160)이 가라앉는 현상을 방지하는 역할을 수행한다.Referring to FIG. 19, a pull back process is performed to etch the sacrificial insulating layer 125 and the sacrificial spacer 127. As described above, the supporting insulating layer 120 serves to prevent sinking of the first insulating layer 160 after the sacrificial insulating layer 125 is etched.

도 20을 참조하면, 도 11a에서 설명한 바와 같이, 노출된 제 1 절연층(160) 및 채널 층(110) 상에 게이트 절연층(140)을 형성한다. 이 경우 스텝 커버리지(step coverage)가 좋지 않은 게이트 절연층(140)을 증착시킴으로써, 복수개의 게이트 도전층들(130) 사이 또는 게이트 도전층들(130) 중 최상위의 게이트 도전층(130)과 제 2 절연층(170) 사이에 에어갭들(150)이 형성될 수 있음은 상술한 바와 같다. 또한 비록 도면에 도시하지는 않았지만, 스텝 커버리지가 좋은 게이트 절연층(140)을 증착시킴으로써, 도 11b와 같이 에어갭이 형성되지 않는 구조가 형성될 수도 있다.Referring to FIG. 20, a gate insulating layer 140 is formed on the exposed first insulating layer 160 and the channel layer 110, as described with reference to FIG. 11A. In this case, by depositing the gate insulating layer 140 having a poor step coverage, the uppermost gate conductive layer 130 and the uppermost gate conductive layer 130 among the plurality of gate conductive layers 130, 2 air gaps 150 may be formed between the insulating layers 170 as described above. Although not shown in the drawing, a structure in which an air gap is not formed as shown in FIG. 11B may be formed by depositing a gate insulating layer 140 having good step coverage.

도 21 및 도 22를 참조하면, 도 12 내지 도 14a에서 설명한 바와 같이, 게이트 절연층(140) 상에 게이트 도전층(130)을 형성하고, 워드라인 리세스(205)를 채우는 분리용 절연층(200)을 형성한다. 또한 분리용 절연층(200) 및 제 2 절연층(170)의 상부 일부를 제거하여 채널 층(110)을 노출시킨 뒤, 제 1 절연층(160), 제 2 절연층(170), 채널 층(110), 지지용 절연층(120), 및 분리용 절연층(200) 상에 비트라인 도전층(180)을 형성한다. 비록 도면에 도시하지는 않았지만, 스텝 커버리지가 좋은 게이트 절연층(140)을 증착시킴으로써, 도 14b와 같이 에어갭이 형성되지 않는 구조가 형성될 수도 있다.Referring to FIGS. 21 and 22, a gate conductive layer 130 is formed on the gate insulating layer 140 and a separating insulating layer 130 filling the word line recess 205, as described in FIGS. 12 to 14A, (200). The channel layer 110 is exposed by removing the upper part of the separation insulating layer 200 and the second insulation layer 170 and then the first insulation layer 160, the second insulation layer 170, The bit line conductive layer 180 is formed on the insulating layer 110, the supporting insulating layer 120, and the insulating layer 200 for separation. Although not shown in the drawing, a structure in which an air gap is not formed as shown in FIG. 14B may be formed by depositing a gate insulating layer 140 having good step coverage.

도 23 내지 도 29는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 나타낸 단면도들이다. 이 실시예들에 따른 비휘발성 메모리 소자의 제조 방법은, 도 4에 나타난 비휘발성 메모리 소자를 형성하기 위한 제조 공정을 나타낸 것이다. 또한, 이 실시예들에 따른 비휘발성 메모리 소자의 제조 방법은, 도 5 내지 도 14a 및 도 14b에 따른 비휘발성 메모리 소자의 제조 공정을 일부 변형한 것일 수 있다. 이하 중복되는 설명은 생략하기로 한다.FIGS. 23 to 29 are cross-sectional views illustrating a method of manufacturing a non-volatile memory device according to another embodiment of the present invention. The manufacturing method of the non-volatile memory device according to these embodiments shows a manufacturing process for forming the non-volatile memory device shown in Fig. The manufacturing method of the non-volatile memory device according to these embodiments may be a modification of the manufacturing process of the non-volatile memory device according to Figs. 5 to 14A and 14B. The following description will not be repeated.

도 23을 참조하면, 기판(50) 상에 복수개의 희생 절연층들(125) 및 복수개의 제 1 절연층들(160)을 교대로 적층하고, 복수개의 채널 홀들(105)을 형성한다. 이후 채널 홀들(105) 각각을 채우는 희생 스페이서들(127) 및 게이트 절연층들(140)을 형성한다. 더욱 구체적으로, 먼저 채널 홀(105)을 채우는 희생 스페이서(127)을 형성하고, 희생 스페이서(127)과 접촉하는 게이트 절연층(140)을 형성한다. 이후, 게이트 절연층(140)을 채우는 채널 층(110)을 형성한다.Referring to FIG. 23, a plurality of sacrificial insulating layers 125 and a plurality of first insulating layers 160 are alternately stacked on a substrate 50, and a plurality of channel holes 105 are formed. Sacrificial spacers 127 and gate insulating layers 140 are then formed to fill each of the channel holes 105. More specifically, first, a sacrificial spacer 127 filling the channel hole 105 is formed, and a gate insulating layer 140 is formed in contact with the sacrificial spacer 127. Thereafter, a channel layer 110 filling the gate insulating layer 140 is formed.

도 24를 참조하면, 제 1 절연층들(160) 중 최상위의 제 1 절연층(160)의 측벽 및 채널 층(110)의 측벽이 노출되도록 희생 스페이서(127) 및 게이트 절연층의 상부 일부를 식각하고, 최상위의 제 1 절연층(160)의 측벽 및 채널 층(110)의 측벽과 접촉하는 제 2 절연층(170)을 형성한다.24, a sacrificial spacer 127 and an upper portion of the gate insulating layer are formed to expose sidewalls of the uppermost first insulating layer 160 and the sidewalls of the channel layer 110 of the first insulating layers 160 And a second insulating layer 170 is formed in contact with the sidewalls of the uppermost first insulating layer 160 and the sidewalls of the channel layer 110.

도 25를 참조하면, 희생 절연층(125) 및 희생 스페이서(127)를 식각하는 풀백 공정을 수행하기 위해, 제 2 절연층(170), 희생 절연층들(125), 및 제 1 절연층들(160)을 식각하여 워드라인 리세스(205)를 형성한다. 25, a sacrificial insulating layer 125 and sacrificial spacers 127 are etched to form a second insulating layer 170, sacrificial insulating layers 125, and first insulating layers < RTI ID = 0.0 > The word line recesses 205 are formed by etching the word lines 160.

도 26을 참조하면, 희생 절연층(125) 및 희생 스페이서(127)를 식각하는 풀백 공정을 수행한다. 비록 도면에 도시하지는 않았지만, 워드 라인 리세스와 채널 층(110) 사이에 지지용 절연층(120, 도 3 참조)을 형성함으로써 상기 지지용 절연층에 의해 제 1 절연층(160)이 지지될 수 있고, 따라서 제 1 절연층(160)이 가라앉는 현상을 방지할 수 있다.Referring to FIG. 26, a sacrificial insulating layer 125 and a sacrificial spacer 127 are etched to perform a full-back process. Although not shown in the drawing, the first insulating layer 160 can be supported by the supporting insulating layer by forming the supporting insulating layer 120 (see FIG. 3) between the word line recess and the channel layer 110 Therefore, it is possible to prevent the first insulating layer 160 from sinking.

도 27을 참조하면, 노출된 제 1 절연층(160) 및 채널 층(110) 상에 게이트 분리 절연층(145)을 형성하고, 게이트 분리 절연층(145) 상에 게이트 도전층(130)을 형성한다. 이 경우 스텝 커버리지(step coverage)가 좋지 않은 게이트 분리 절연층(145)을 증착시킴으로써, 게이트 분리 절연층(145)들 사이 또는 게이트 분리 절연층(145)들 중 최상위의 게이트 분리 절연층(145)과 제 2 절연층(170) 사이에 에어갭들(150)이 형성될 수 있다. 또한, 비록 도면에 도시하지는 않았지만, 스텝 커버리지가 좋은 게이트 분리 절연층(145)을 증착시킴으로써, 게이트 분리 절연층(145)들 사이 또는 게이트 분리 절연층(145)들 중 최상위의 게이트 분리 절연층(145)과 제 2 절연층(170) 사이에 에어갭이 형성되지 않는 구조가 형성될 수도 있다.27, a gate insulating layer 145 is formed on the exposed first insulating layer 160 and the channel layer 110 and a gate conductive layer 130 is formed on the gate insulating layer 145 . In this case, the uppermost gate insulating layer 145 among the gate insulating layers 145 or the gate insulating layers 145 may be deposited by depositing a gate insulating layer 145 having a poor step coverage, The air gap 150 may be formed between the first insulating layer 170 and the second insulating layer 170. Although not shown in the drawing, the gate insulation layer 145 having a good step coverage can be deposited to form the gate insulation layer 145 between the gate insulation layers 145 or the gate insulation insulation layer 145 145 and the second insulating layer 170 may be formed without forming an air gap.

도 28 및 도 29를 참조하면, 워드라인 리세스(205)를 채우는 분리용 절연층(200)을 형성하고, 분리용 절연층(200) 및 제 2 절연층(170)의 상부 일부를 제거하여 채널 층(110)을 노출시킨 뒤, 제 1 절연층(160), 제 2 절연층(170), 채널 층(110), 및 분리용 절연층(200) 상에 비트라인 도전층(180)을 형성한다. 28 and 29, a separating insulating layer 200 filling the word line recess 205 is formed and a part of the upper portion of the separating insulating layer 200 and the second insulating layer 170 is removed The channel layer 110 is exposed and then the bit line conductive layer 180 is formed on the first insulating layer 160, the second insulating layer 170, the channel layer 110, and the separating insulating layer 200 .

도 30 내지 도 47은 본 발명의 기술적 사상에 의한 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 나타낸 사시도들이다. 이 실시예들에 따른 비휘발성 메모리 소자의 제조 방법은, 도 5 내지 도 14a 및 도 14b에 따른 비휘발성 메모리 소자의 제조 공정을 일부 변형한 것이다. 이하 중복되는 설명은 생략하기로 한다.30 to 47 are perspective views illustrating a method of manufacturing a nonvolatile memory device according to embodiments of the present invention. The manufacturing method of the non-volatile memory device according to these embodiments is a modification of the manufacturing process of the non-volatile memory device according to Figs. 5 to 14A and 14B. The following description will not be repeated.

도 30을 참조하면, 하부 채널 층(미도시)을 형성하기 위한 하부 몰드 스택(190a)을 형성한다. 하부 몰드 스택(190a)은 하부 희생 절연층(125a) 및 하부 절연층(160a)을 포함할 수 있다. 하부 희생 절연층(125a) 및 하부 절연층(160a)은 교대로 그리고 반복적으로 적층될 수 있다. 하부 희생 절연층(125a) 및 하부 절연층(160a)이 서로 식각선택비를 가지는 물질일 수 있음은 상술한 바와 같다. Referring to FIG. 30, a lower mold stack 190a for forming a lower channel layer (not shown) is formed. The lower mold stack 190a may include a lower sacrificial insulating layer 125a and a lower insulating layer 160a. The lower sacrificial insulating layer 125a and the lower insulating layer 160a may be alternately and repeatedly laminated. The lower sacrificial insulating layer 125a and the lower insulating layer 160a may be materials having an etch selectivity ratio with each other as described above.

도 31을 참조하면, 하부 몰드 스택(190a)을 관통하는 하부 채널 홀들(105a)을 형성한다. 하부 채널 홀들(105a)은 2차원적으로 배열되어 기판(50)을 노출시키도록 형성될 수 있다. 하부 채널 홀들(105a)은 기판(50)의 방향으로 테이퍼된 형상으로 형성될 수 있다. 즉, 하부 채널 홀들(105a)은 그 상부에서보다 그 하부에서 더 좁은 폭을 갖도록 형성될 수 있다.Referring to FIG. 31, lower channel holes 105a penetrating the lower mold stack 190a are formed. The lower channel holes 105a may be two-dimensionally arranged to expose the substrate 50. [ The lower channel holes 105a may be formed in a tapered shape in the direction of the substrate 50. That is, the lower channel holes 105a may be formed to have a narrower width at the lower portion than at the upper portion thereof.

도면의 경우 하부 채널 홀들(105a)이 사각형 기둥의 형태로 형성되어 있지만, 도 1에서 나타난 바와 같이 원기둥 또는 원뿔 기둥의 형태로 형성될 수도 있음은 자명하다. 또한 도면의 경우 하부 채널 홀들(105a)이 사선의 형태로 배치되어 있지만, 본 발명은 이에 한정되는 것은 아니고, 도 1에서 나타낸 바와 같이 지그재그 형태로 배치될 수도 있다.In the drawing, the lower channel holes 105a are formed in the form of a rectangular column, but it is obvious that they may be formed in the form of a cylinder or a conical column as shown in FIG. Also, in the drawing, the lower channel holes 105a are arranged in an oblique shape, but the present invention is not limited thereto and may be arranged in a zigzag shape as shown in Fig.

하부 채널 홀들(105a)을 형성하기 위해, 하부 몰드 스택(190a)의 하부 채널 홀들(105a)의 위치를 정의하는 마스크 패턴(미도시)을 형성하고, 상기 마스크 패턴을 식각 마스크로 사용하여 하부 몰드 스택(190a)을 식각하는 단계가 수행될 수 있다.A mask pattern (not shown) defining the position of the lower channel holes 105a of the lower mold stack 190a is formed to form the lower channel holes 105a, A step of etching the stack 190a may be performed.

도 32를 참조하면, 하부 채널 홀들(105a)의 측벽을 둘러싸는 하부 희생 스페이서(127a)를 형성한다. 하부 희생 스페이서(127a)가 하부 희생 절연층(125a)과 동일한 물질이고, 실리콘 산화물, 실리콘 질화물, 실리콘 카바이드, 실리콘, 및 실리콘 저머늄 등을 포함할 수 있음은 상술한 바와 같다.Referring to FIG. 32, a lower sacrificial spacer 127a surrounding the side wall of the lower channel holes 105a is formed. The lower sacrificial spacer 127a is the same material as the lower sacrificial insulating layer 125a and may include silicon oxide, silicon nitride, silicon carbide, silicon, and silicon germanium.

하부 희생 스페이서(127a)를 형성하기 위해 하부 희생 절연층(125a)과 동일한 물질을 증착하고, 상기 물질에 대한 에치백(etchback) 공정이 수행될 수 있다. 이 경우 하부 희생 스페이서(127a)는 하부 희생 절연층(125a) 및 하부 절연층(160a)의 측벽에만 형성될 수 있고, 따라서 기판(50)의 상부면이 노출될 수 있다.The same material as the lower sacrificial insulating layer 125a may be deposited to form the lower sacrificial spacer 127a and an etchback process for the material may be performed. In this case, the lower sacrificial spacers 127a may be formed only on the sidewalls of the lower sacrificial insulating layer 125a and the lower insulating layer 160a, so that the upper surface of the substrate 50 may be exposed.

도 33을 참조하면, 하부 채널 홀들(105a)을 채우는 폐쇄 절연층(129)을 형성한다. 폐쇄 절연층(129)들은 하부 희생 스페이서(127a)와 식각선택비를 가지는 물질일 수 있다. 또한, 선택적으로 폐쇄 절연층(129)들은 하부 절연층(160a)과 동일한 물질로 형성될 수 있으며, 예를 들어 실리콘 산화물, 실리콘 질화물, 또는 실리콘 저머늄을 포함할 수 있다.Referring to FIG. 33, a closed insulating layer 129 filling the lower channel holes 105a is formed. The closed insulating layers 129 may be a material having an etch selectivity with the lower sacrificial spacers 127a. Alternatively, the closed insulating layers 129 may be formed of the same material as the lower insulating layer 160a and may include, for example, silicon oxide, silicon nitride, or silicon germanium.

예를 들어, 실리콘 산화물을 포함하는 폐쇄 절연층(129)을 형성하기 위해, 실리콘 산화물의 증착 공정이 수행될 수 있다. 이후 하부 몰드 스택(190a)의 상면이 노출되도록, 상기 실리콘 산화물의 화학기계연마(chemical mechanical polishing, CMP) 또는 에치백(etchback) 공정이 수행될 수 있다.For example, to form a closed insulating layer 129 comprising silicon oxide, a deposition process of silicon oxide may be performed. Chemical mechanical polishing (CMP) or etchback of the silicon oxide may then be performed to expose the top surface of the lower mold stack 190a.

도 34를 참조하면, 상부 채널 층(미도시)을 형성하기 위한 상부 몰드 스택(190b)을 형성한다. 상부 몰드 스택(190b)은 상부 희생 절연층(125b) 및 상부 절연층(160b)을 포함할 수 있다. 상부 희생 절연층(125b) 및 상부 절연층(160b)은 교대로 그리고 반복적으로 적층될 수 있다. 상부 희생 절연층(125b) 및 상부 절연층(160b)이 서로 식각선택비를 가지는 물질일 수 있음은 상술한 바와 같다. Referring to FIG. 34, an upper mold stack 190b for forming an upper channel layer (not shown) is formed. The upper mold stack 190b may include an upper sacrificial insulating layer 125b and an upper insulating layer 160b. The upper sacrificial insulating layer 125b and the upper insulating layer 160b may be alternately and repeatedly laminated. The upper sacrificial insulating layer 125b and the upper insulating layer 160b may be materials having an etch selectivity ratio with each other as described above.

이후 상부 몰드 스택(190b) 상에 버퍼층(195)이 형성될 수 있다. 버퍼층(195)은 약 50 nm 내지 100 nm의 두께로 형성될 수 있다. 또한, 버퍼층(195)은 상부 절연층(160b)과 식각선택비를 가지는 물질로 형성될 수 있다. 또한 버퍼층(195)은 상부 희생 절연층(125b)과 동일한 물질로 형성될 수 있다.A buffer layer 195 may then be formed on the top mold stack 190b. The buffer layer 195 may be formed to a thickness of about 50 nm to 100 nm. In addition, the buffer layer 195 may be formed of a material having an etch selectivity with the upper insulating layer 160b. The buffer layer 195 may be formed of the same material as the upper sacrificial insulating layer 125b.

버퍼층(195)은 폐쇄 절연층(129)을 식각하는 공정 동안 상부 몰드 스택(190b)이 손상되는 것을 방지할 수 있다. 예를 들어, 폐쇄 절연층(129)이 상부 절연층(160b)과 동일한 물질, 예를 들어 실리콘 산화물로 형성될 경우, 폐쇄 절연층(129)을 식각하는 공정 동안 상부 몰드 스택(190b)의 상부 절연층(160b)이 식각될 우려가 있다. 그러나 상부 절연층(160b) 상에 상부 절연층(160b)과 식각선택비를 가지는 버퍼층(195)이 형성될 경우, 버퍼층(195)이 폐쇄 절연층(129)을 식각하는 동안 식각 마스크의 역할을 수행하므로, 상부 절연층(160b)이 손상되는 것이 방지될 수 있다.The buffer layer 195 can prevent the upper mold stack 190b from being damaged during the process of etching the closed insulating layer 129. [ For example, when the closed insulating layer 129 is formed of the same material as the upper insulating layer 160b, for example, silicon oxide, the upper portion of the upper mold stack 190b during the process of etching the closed insulating layer 129 The insulating layer 160b may be etched. When the buffer layer 195 having the etch selectivity ratio is formed on the upper insulating layer 160b on the upper insulating layer 160b, the buffer layer 195 functions as an etch mask while etching the closed insulating layer 129 The upper insulating layer 160b can be prevented from being damaged.

도 35를 참조하면, 상부 몰드 스택(190b)을 관통하는 상부 채널 홀들(105b)을 형성한다. 상부 채널 홀들(105b)은 2차원적으로 배열되어 폐쇄 절연층(129)을 노출시키도록 형성될 수 있다. 상부 채널 홀들(105b)은 하부 채널 홀들(105a)과 오버랩되도록 배치될 수 있다. 또한, 상부 채널 홀들(105b)은 하부 채널 홀들(105a)의 방향으로 테이퍼된 형상으로 형성될 수 있다. Referring to FIG. 35, upper channel holes 105b penetrating the upper mold stack 190b are formed. The upper channel holes 105b may be arranged two-dimensionally and formed to expose the closed insulating layer 129. [ The upper channel holes 105b may be arranged to overlap with the lower channel holes 105a. In addition, the upper channel holes 105b may be formed in a tapered shape in the direction of the lower channel holes 105a.

상부 채널 홀들(105b)을 형성하기 위해, 상부 몰드 스택(190b)의 상부 채널 홀들(105b)의 위치를 정의하는 마스크 패턴(미도시)을 형성하고, 상기 마스크 패턴을 식각 마스크로 사용하여 버퍼층(195) 및 상부 몰드 스택(190b)을 식각하는 단계가 수행될 수 있다.A mask pattern (not shown) defining the position of the upper channel holes 105b of the upper mold stack 190b is formed to form the upper channel holes 105b and the buffer layer 195 and the upper mold stack 190b may be performed.

도 36을 참조하면, 상부 채널 홀들(105b)의 측벽을 둘러싸는 상부 희생 스페이서(127b)를 형성한다. 상부 희생 스페이서(127b)가 상부 희생 절연층(125b)과 동일한 물질이고, 실리콘 산화물, 실리콘 질화물, 실리콘 카바이드, 실리콘, 및 실리콘 저머늄 등을 포함할 수 있음은 상술한 바와 같다. 하부 희생 스페이서(127a)와 마찬가지로, 상부 희생 스페이서(127b)는 상부 희생 절연층(125b) 및 상부 절연층(160b)의 측벽에만 형성될 수 있고, 따라서 폐쇄 절연층(129)의 상부면이 노출될 수 있다.Referring to FIG. 36, upper sacrificial spacers 127b are formed to surround the side walls of the upper channel holes 105b. The upper sacrificial spacer 127b is the same material as the upper sacrificial insulating layer 125b and may include silicon oxide, silicon nitride, silicon carbide, silicon, and silicon germanium. The upper sacrificial spacer 127b may be formed only on the side walls of the upper sacrificial insulating layer 125b and the upper insulating layer 160b so that the upper surface of the closed insulating layer 129 is exposed .

도 37을 참조하면, 폐쇄 절연층(129)을 제거하여 기판(50)의 상면을 노출시킨다. 즉, 하부 채널 홀(105a)을 다시 오프닝(opening)시킨다. 상부 희생 스페이서(127b) 및 하부 희생 스페이서(127a)는 하부 채널 홀(105a)을 오프닝하는 공정 동안 상부 및 하부 희생 절연층(125a)과 상부 및 하부 절연층(160a, 160b)이 손상되는 것을 방지하는 역할을 수행한다. 따라서 폐쇄 절연층(129)이 제거되더라도 하부 희생 스페이서(127a)는 폐쇄 절연층(129)과 식각선택비를 가지므로 제거되지 않고 잔존한다.Referring to FIG. 37, the closed insulating layer 129 is removed to expose the upper surface of the substrate 50. That is, the lower channel hole 105a is opened again. The upper sacrificial spacer 127b and the lower sacrificial spacer 127a prevent the upper and lower sacrificial insulating layers 125a and upper and lower insulating layers 160a and 160b from being damaged during the process of opening the lower channel hole 105a. . Therefore, even if the closed insulating layer 129 is removed, the lower sacrificial spacer 127a has an etch selectivity with the closed insulating layer 129, and thus remains without being removed.

도 38을 참조하면, 하부 채널 홀(105a) 및 상부 채널 홀(105b)을 채우는 채널 층(110)을 형성한다. 더욱 구체적으로 하부 채널 홀(105a) 및 상부 채널 홀들(105b) 각각 채우는 하부 채널 층(110a) 및 상부 채널 층(110b)이 동시에 형성될 수 있다. 따라서 하부 채널 층(110a) 및 상부 채널 층(110b)은 연속적으로 연결된 일체(single body)로 형성될 수 있다.Referring to FIG. 38, a channel layer 110 filling the lower channel hole 105a and the upper channel hole 105b is formed. More specifically, the lower channel layer 110a and the upper channel layer 110b filling the lower channel hole 105a and the upper channel hole 105b, respectively, may be formed at the same time. Accordingly, the lower channel layer 110a and the upper channel layer 110b may be formed as a single body continuously connected to each other.

채널 층(110)을 형성하기 위해, 하부 채널 홀(105a) 및 상부 채널 홀(105b)을 실리콘을 포함하는 반도체 재료로 채운다. 따라서 채널 층(110)은 다결정 또는 단결정 구조의 실리콘 에피택셜 층을 포함할 수 있다. 이후, 채널 층(110)들간의 분리를 위해 버퍼층(195)의 상면이 노출될때까지 화학기계연마 또는 에치백 공정이 수행될 수 있다.In order to form the channel layer 110, the lower channel hole 105a and the upper channel hole 105b are filled with a semiconductor material containing silicon. Thus, the channel layer 110 may comprise a polycrystalline or monocrystalline silicon epitaxial layer. Thereafter, a chemical mechanical polishing or etch-back process may be performed until the top surface of the buffer layer 195 is exposed for separation between the channel layers 110.

도 39a를 참조하면, 버퍼층(195), 상부 몰드 스택(190b), 및 하부 몰드 스택(190a)을 식각하여 더미 홀을 형성하고, 상기 더미 홀을 채우는 지지용 절연층(120)을 형성한다. 지지용 절연층(120)은 희생 절연층(125) 및 희생 스페이서(127)와 다른 식각선택비를 가지는 물질일 수 있다. 지지용 절연층들(120) 각각은 채널 층(110)들 사이에 배치될 수 있다. 또한, 평면도로 바라본 지지용 절연층들(120)은 지그재그로 배치될 수 있다.Referring to FIG. 39A, a buffer layer 195, an upper mold stack 190b, and a lower mold stack 190a are etched to form dummy holes, and a supporting insulating layer 120 filling the dummy holes is formed. The supporting insulating layer 120 may be a material having an etch selectivity different from that of the sacrificial insulating layer 125 and the sacrificial spacer 127. Each of the supporting insulating layers 120 may be disposed between the channel layers 110. Further, the supporting insulating layers 120 viewed from the top view can be arranged in a zigzag manner.

상술한 바와 같이, 지지용 절연층(120)은, 희생 절연층(125)이 식각되는 풀백 공정 동안 하부 및 상부 절연층들(160a, 160b)이 가라앉는 현상을 방지하는 역할을 수행한다. 따라서 도 39a의 경우 지지용 절연층(120)이 사각 기둥의 형태로 형성되어 있지만, 본 발명은 이에 한정되는 것은 아니다. As described above, the supporting insulating layer 120 serves to prevent sinking of the lower and upper insulating layers 160a and 160b during the pullback process in which the sacrificial insulating layer 125 is etched. 39A, the supporting insulating layer 120 is formed in the shape of a quadrangular prism, but the present invention is not limited thereto.

예를 들어, 도 39b에 나타난 바와 같이, 지지용 절연층(120)들은 각각 L자형 기둥의 형태로 형성될 수도 있다. 또한, 도 39c에 나타난 바와 같이, 지지용 절연층(120)은 상기 L자형 기둥이 서로 연결되도록 형성될 수도 있다. 결국, 지지용 절연층(120)은 예를 들어 하부 및 상부 절연층들(160a, 160b)이 가라앉는 것을 방지하는 역할을 수행할 수 있도록 임의의 형상을 가질 수 있다.For example, as shown in FIG. 39B, the supporting insulating layers 120 may be formed in the form of L-shaped pillars, respectively. 39C, the supporting insulating layer 120 may be formed such that the L-shaped pillars are connected to each other. As a result, the supporting insulating layer 120 may have any shape, for example, to prevent the lower and upper insulating layers 160a and 160b from sinking.

도 40을 참조하면, 먼저 버퍼층(195)을 제거하여 상부 몰드 스택(190b)의 상면을 노출시킨다. 버퍼층(195)을 제거하기 위해 화학기계연마 또는 인산 스트립 공정이 수행될 수 있다. 상술한 바와 같이 버퍼층(195)과 상부 희생 스페이서(127b)는 동일한 물질, 예를 들러 실리콘 질화물로 형성될 수 있고, 이 경우 상기 인산 스트립 공정 동안 상부 희생 스페이서(127b)의 일부가 제거될 수 있다. 더욱 구체적으로, 도 8에 나타난 바와 같이, 상기 인산 스트립 공정 동안 버퍼 층과 접촉하는 상부 희생 스페이서(127b)의 상부 일부가 제거될 수 있다. 이 경우 상기 인산 스트립 공정에 의해 채널 층(110)의 상면뿐만 아니라 채널 층(110)의 상부 측벽 또한 노출될 수 있다.Referring to FIG. 40, first, the buffer layer 195 is removed to expose the upper surface of the upper mold stack 190b. A chemical mechanical polishing or phosphoric acid stripping process may be performed to remove the buffer layer 195. As described above, the buffer layer 195 and the top sacrificial spacers 127b may be formed of the same material, for example silicon nitride, in which case a portion of the top sacrificial spacers 127b may be removed during the phosphoric acid strip process . More specifically, as shown in FIG. 8, an upper portion of the upper sacrificial spacer 127b contacting the buffer layer during the phosphoric acid strip process may be removed. In this case, not only the upper surface of the channel layer 110 but also the upper sidewall of the channel layer 110 may be exposed by the phosphoric acid strip process.

이후 도 41을 참조하면, 상부 몰드 스택(190b) 상에 제 2 절연층(170)을 형성한다. 제 2 절연층(170)은 희생 절연층(125) 및 희생 스페이서(127)와 식각선택비를 가지는 물질로 형성될 수 있다. 제 2 절연층(170)은 풀백 공정(pull back process)에서 채널이 쓰러지거나 리프팅(lifting) 되는 현상을 방지하는 역할을 수행하며, 따라서 제 2 절연층(170)은 채널 층(110)의 상부 면과 접촉하도록 형성될 수 있다. 나아가, 도 9에 나타난 바와 같이, 제 2 절연층(170)은 상부 절연층(160b)의 측벽 및 채널 층(110)의 측벽과 접촉하도록 형성될 수도 있다.41, a second insulating layer 170 is formed on the upper mold stack 190b. The second insulating layer 170 may be formed of a material having an etch selectivity with the sacrificial insulating layer 125 and the sacrificial spacer 127. The second insulating layer 170 serves to prevent the channel from falling or lifting in a pull back process so that the second insulating layer 170 contacts the upper surface of the channel layer 110 And may be formed to be in contact with the surface. 9, the second insulating layer 170 may be formed to contact the sidewalls of the upper insulating layer 160b and the sidewalls of the channel layer 110. In addition, as shown in FIG.

도 42를 참조하면, 희생 절연층(125) 및 희생 스페이서(127)를 식각하는 풀백 공정을 수행하기 위해, 제 2 절연층(170), 상부 몰드 스택(190b) 및 하부 몰드 스택(190a)을 식각하여 워드라인 리세스(205)를 형성한다. 이 경우 워드라인 리세스(205)는 채널 층(110) 과 지지용 절연층(120) 사이에 위치할 수 있다.42, a second insulating layer 170, an upper mold stack 190b, and a lower mold stack 190a are formed to perform a pullback process for etching the sacrificial insulating layer 125 and the sacrificial spacer 127 Thereby forming a word line recess 205. [ In this case, the word line recess 205 may be located between the channel layer 110 and the supporting insulating layer 120.

도 43을 참조하면, 희생 절연층(125) 및 희생 스페이서(127)을 식각하여 제 1 절연층(160) 및 채널 층(110)을 노출시킨다. 희생 절연층(125) 및 희생 스페이서(127)가 실리콘 질화막인 경우, 인산 스트립 공정을 통해 희생 절연층(125) 및 희생 스페이서(127)가 제거될 수 있다. 또한, 희생 절연층(125) 및 희생 스페이서(127)가 실리콘 저머늄인 경우, 암모니아, 과산화수소 및 물을 혼합한 물질인 SC-1(standard clean-1)을 이용하여 희생 절연층(125) 및 희생 스페이서(127)가 제거될 수 있다.Referring to FIG. 43, the sacrificial insulating layer 125 and the sacrificial spacer 127 are etched to expose the first insulating layer 160 and the channel layer 110. When the sacrificial insulating layer 125 and the sacrificial spacer 127 are silicon nitride films, the sacrificial insulating layer 125 and the sacrificial spacers 127 can be removed through the phosphoric acid strip process. When the sacrificial insulating layer 125 and the sacrificial spacer 127 are silicon germanium, the sacrificial insulating layer 125 and the sacrificial insulating layer 125 are formed using SC-1 (standard clean-1) which is a mixture of ammonia, hydrogen peroxide, The sacrificial spacer 127 can be removed.

도 44를 참조하면, 노출된 제 1 절연층(160) 및 채널 층(110) 상에 게이트 절연층(140) 및 게이트 도전층(130)을 형성한다. 게이트 절연층(140)이 터널링 절연층, 전하 저장층, 및 블록킹 절연층(도 2의 142, 144, 146)을 포함할 수 있음은 상술한 바와 같다. 또한, 상술한 바와 같이, 게이트 절연층(140)의 스텝 커버리지에 따라 게이트 절연층(140)과 채널 층(110) 사이에 에어갭이 형성되거나(도 11a 참조) 또는 에어갭이 형성되지 않을 수 있다(도 11b 참조).Referring to FIG. 44, a gate insulating layer 140 and a gate conductive layer 130 are formed on the exposed first insulating layer 160 and the channel layer 110. As described above, the gate insulating layer 140 may include a tunneling insulating layer, a charge storage layer, and a blocking insulating layer (142, 144, 146 in FIG. 2). 11A) or an air gap may not be formed between the gate insulating layer 140 and the channel layer 110 in accordance with the step coverage of the gate insulating layer 140 as described above (See FIG. 11B).

이후, 워드라인 리세스(205)를 통해 불순물을 기판(50)에 주입함으로써 기판(50)의 상부면에 불순물 영역(55)을 형성한다. 불순물 영역(55)은 워드라인 리세스(205)의 연장 방향을 따라 형성될 수 있다. 불순물 영역(55)은 공통 소스 라인(도 75의 CSL)과 전기적으로 연결될 수 있다. 불순물 영역(55)은 공통 소스 라인(불순물 영역(55)은 기판(50)의 도전성과 동일한 도전성을 갖거나, 또는 이와 반대되는 도전성을 가질 수도 있다. 불순물 영역(55)이 기판(50)의 도전성과 반대되는 도전성을 가지는 경우, 불순물 영역(55)과 기판(50)은 P-N 접합을 구성할 수 있다.Thereafter, an impurity region 55 is formed on the upper surface of the substrate 50 by implanting the impurity into the substrate 50 through the word line recess 205. The impurity region 55 may be formed along the extending direction of the word line recess 205. The impurity region 55 can be electrically connected to the common source line (CSL in FIG. 75). The impurity region 55 is formed on the common source line (the impurity region 55 has the same conductivity as the conductivity of the substrate 50 or vice versa) If the conductivity is opposite to the conductivity, the impurity region 55 and the substrate 50 can form a PN junction.

도 45 및 도 46을 참조하면, 워드라인 리세스(205)를 채우는 분리용 절연층(200)을 형성하고, 화학 기계 연마 공정을 수행하여 분리용 절연층(200) 및 제 2 절연층(170)을 제거한다. 45 and 46, a separating insulating layer 200 filling the word line recess 205 is formed and a chemical mechanical polishing process is performed to form a separating insulating layer 200 and a second insulating layer 170 ).

이후 도 47을 참조하면, 제 1 절연층(160), 채널 층(110) 및 분리용 절연층(200) 상에 비트라인 도전층(180)을 형성한다. 비트라인 도전층(180)은 분리용 절연층(200)이 연장되는 방향과 수직한 방향으로 연장되도록 형성될 수 있다.Referring to FIG. 47, a bit line conductive layer 180 is formed on the first insulating layer 160, the channel layer 110, and the isolation insulating layer 200. The bit line conductive layer 180 may be formed to extend in a direction perpendicular to the direction in which the separating insulating layer 200 extends.

도 48 내지 도 61은 본 발명의 기술적 사상에 의한 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 도시한 사시도들이다. 이 실시예들에 따른 비휘발성 메모리 소자의 제조 방법은, 도 30 내지 도 47에 따른 비휘발성 메모리 소자의 제조 공정을 일부 변형한 것이다. 이하 중복되는 설명은 생략하기로 한다.48 to 61 are perspective views illustrating a method of manufacturing a nonvolatile memory device according to embodiments of the present invention. The manufacturing method of the non-volatile memory device according to these embodiments is a modification of the manufacturing process of the non-volatile memory device according to Figs. 30 to 47. Fig. The following description will not be repeated.

도 48을 참조하면, 기판(50) 상에 정지층(210), 하부 몰드 스택(190a), 및 마스크 층(220)을 차례로 형성한다. 하부 몰드 스택(190a)이 하부 희생 절연층(125a) 및 하부 절연층(160a)을 포함할 수 있고, 하부 희생 절연층(125a)과 하부 절연층(160a)이 서로 식각선택비를 가지는 물질일 수 있음은 상술한 바와 같다. 선택적으로, 선택적 성장(selective growth) 공정의 일정성(uniformity)을 개선하기 위해 마스크 층(220) 상에 덮개층(lid layer, 230)이 더 형성될 수도 있다. Referring to FIG. 48, a stop layer 210, a lower mold stack 190a, and a mask layer 220 are sequentially formed on a substrate 50. The lower mold stack 190a may include the lower sacrificial insulating layer 125a and the lower insulating layer 160a and the lower sacrificial insulating layer 125a and the lower insulating layer 160a may include a material having an etch selectivity ratio Is as described above. Alternatively, a lid layer 230 may be further formed on the mask layer 220 to improve the uniformity of the selective growth process.

도 49를 참조하면, 덮개층(230), 마스크 층(220) 및 하부 몰드 스택(190a)을 관통하는 하부 채널 홀들(105a)을 형성한다. 하부 채널 홀들(105a)은 2차원적으로 배열되어 정지층(210)을 노출시키도록 형성될 수 있다. 정지층(210)은 하부 채널 홀(105a)의 형성을 위한 식각 공정시 식각 정지층으로서의 역할을 수행할 수 있다. 따라서 정지층(210)은 하부 몰드 스택(190a)을 구성하는 하부 희생 절연층(125a) 및 하부 절연층(160a)과 식각선택비를 가지는 물질로 형성될 수 있다. Referring to FIG. 49, lower channel holes 105a penetrating the cover layer 230, the mask layer 220, and the lower mold stack 190a are formed. The lower channel holes 105a may be two-dimensionally arranged to expose the stop layer 210. The stop layer 210 may serve as an etch stop layer during the etching process for forming the lower channel hole 105a. The stopper layer 210 may be formed of a material having an etch selectivity with the lower sacrificial insulating layer 125a and the lower insulating layer 160a constituting the lower mold stack 190a.

예를 들어, 하부 희생 절연층(125a)이 실리콘 질화물로 형성되고, 하부 절연층(160a)이 실리콘 산화물로 형성될 수 있다. 이 경우 정지층(210)은 실리콘 질화물 및 실리콘 산화물과 식각선택비를 가지는 알루미늄 옥사이드(Al2O3), 탄탈륨 나이트라이드(TaN), 및 실리콘 카바이드(SiC)와 같은 물질을 포함할 수 있다.For example, the lower sacrificial insulating layer 125a may be formed of silicon nitride, and the lower insulating layer 160a may be formed of silicon oxide. In this case, stopping layer 210 may comprise materials such as aluminum oxide (Al2O3), tantalum nitride (TaN), and silicon carbide (SiC) with silicon nitride and silicon oxide and etch selectivity.

도 50을 참조하면, 하부 채널 홀(105a)을 폐쇄(closing)하기 위해, 마스크 층(220)의 선택적 성장 공정이 수행된다. 더욱 구체적으로, 마스크 층(220)만을 선택적으로 성장시키는 공정을 수행하여, 마스크 층(220)에 의해 하부 채널 홀(105a)이 폐쇄된다. 따라서 마스크 층(220)과 기판(50) 사이에 에어갭(155)이 형성될 수 있다.Referring to FIG. 50, in order to close the lower channel hole 105a, a selective growth process of the mask layer 220 is performed. More specifically, the process of selectively growing only the mask layer 220 is performed, so that the lower channel hole 105a is closed by the mask layer 220. [ Thus, an air gap 155 may be formed between the mask layer 220 and the substrate 50.

마스크 층(220)은 단결정 또는 다결정 구조의 실리콘(Si) 또는 실리콘 저머늄(SiGe)을 포함할 수 있다. 이 경우, 하부 채널 홀(105a)은 마스크 층(220)의 선택적 에피택셜 성장(selective epitaxial growth) 공정을 이용하여 폐쇄될 수 있다. 정지층(210)은 마스크 층(220)이 성장되는 동안 반도체 물질을 포함하는 기판(50)이 함께 성장되는 것을 방지할 수 있다. 따라서 정지층(210)은 하부 채널 홀(105a)의 폐쇄를 위한 선택적 성장 공정시 성장 정지층으로서의 역할도 수행할 수 있다.The mask layer 220 may comprise silicon (Si) or silicon germanium (SiGe) in a single crystal or polycrystalline structure. In this case, the lower channel hole 105a may be closed using a selective epitaxial growth process of the mask layer 220. [ The stop layer 210 can prevent the substrate 50, including the semiconductor material, from being grown together while the mask layer 220 is being grown. Therefore, the stopping layer 210 can also serve as a growth stop layer in a selective growth process for closing the lower channel hole 105a.

도면의 경우 마스크 층(220) 상에 덮개층(230)이 형성되어 있어, 상기 선택적 성장 공정시 마스크 층(220)의 측벽에서만 성장이 이루어진다. 그러나 본 발명은 이에 한정되지 않으며, 마스크 층(220) 상에 덮개층(230)이 없는 경우에도 선택적 성장 공정이 수행될 수 있다. 이 경우 마스크 층(220)의 상면 및 측벽에서 상기 선택적 성장이 이루어져 하부 채널 홀(105a)이 폐쇄될 수 있다.In the drawing, a cover layer 230 is formed on the mask layer 220, and the selective growth process is performed only on the sidewalls of the mask layer 220. However, the present invention is not limited thereto, and a selective growth process can be performed even when the cover layer 230 is not provided on the mask layer 220. In this case, the selective growth is performed on the upper surface and the side wall of the mask layer 220, so that the lower channel hole 105a can be closed.

선택적으로, 마스크 층(220)이 하부 채널 홀(105a)을 폐쇄할 수 있도록, 마스크 층(220)을 가열하여 열팽창시키는 공정이 수행될 수도 있다. 즉, 마스크 층(220)이 가열될 경우 마스크 층(220)의 노출된 측벽이 팽창하게 되어, 하부 채널 홀(105a)이 폐쇄될 수 있다. 나아가 상기 열팽창 공정은 상기 선택적 성장 공정과 동시에 수행될 수 있고, 따라서 마스크 층(220)에 의해 하부 채널 홀(105a)이 신속하게 폐쇄될 수 있다.Alternatively, a process of heating and thermally expanding the mask layer 220 may be performed so that the mask layer 220 can close the lower channel hole 105a. That is, when the mask layer 220 is heated, the exposed side wall of the mask layer 220 expands, and the lower channel hole 105a can be closed. Further, the thermal expansion process can be performed simultaneously with the selective growth process, and the lower channel hole 105a can be quickly closed by the mask layer 220. [

도 51을 참조하면, 덮개층(230)을 제거하여 마스크 층(220)을 노출시킨다. 예를 들어, 덮개층(230)은 실리콘 산화물을 포함할 수 있고, 상기 실리콘 산화물의 습식 또는 건식 식각 공정에 의해 덮개층(230)이 제거될 수 있다. 이후, 선택적으로, 노출된 마스크 층(220)의 산화 공정이 수행될 수 있다. 예를 들어, 마스크 층(220)이 실리콘을 포함할 경우, 상기 마스크 층(220)의 습식 또는 건식 산화 공정이 수행될 수 있고, 따라서 마스크 층(220)은 실리콘 산화물을 포함할 수도 있다.Referring to FIG. 51, the cover layer 230 is removed to expose the mask layer 220. For example, the cover layer 230 may comprise silicon oxide, and the cover layer 230 may be removed by a wet or dry etch process of the silicon oxide. Thereafter, an optional oxidation process of the exposed mask layer 220 may be performed. For example, if the mask layer 220 comprises silicon, a wet or dry oxidation process of the mask layer 220 may be performed, and thus the mask layer 220 may comprise silicon oxide.

도 52를 참조하면, 마스크 층(220) 상에 상부 몰드 스택(190b)을 형성한다. 상부 몰드 스택(190b)이 상부 희생 절연층(125b) 및 상부 절연층(160b)을 포함할 수 있고, 상부 희생 절연층(125b) 및 상부 절연층(160b)이 서로 식각선택비를 가지는 물질일 수 있음은 상술한 바와 같다.Referring to FIG. 52, an upper mold stack 190b is formed on the mask layer 220. FIG. The upper mold stack 190b may include an upper sacrificial insulating layer 125b and an upper insulating layer 160b and the upper sacrificial insulating layer 125b and the upper insulating layer 160b may include a material having an etch selectivity ratio Is as described above.

도 53을 참조하면, 상부 몰드 스택(190b)을 관통하는 상부 채널 홀들(105b)을 형성한다. 상부 채널 홀들(105b)은 2차원적으로 배열되어 마스크 층(220)을 노출시키도록 형성될 수 있다. 상부 채널 홀들(105b)은 하부 채널 홀들(105a)과 오버랩되도록 배치될 수 있다.Referring to FIG. 53, upper channel holes 105b penetrating the upper mold stack 190b are formed. The upper channel holes 105b may be arranged to be two-dimensionally formed to expose the mask layer 220. The upper channel holes 105b may be arranged to overlap with the lower channel holes 105a.

도 54를 참조하면, 마스크 층(220)을 제거하여 정지층(210)의 상면을 노출시킨다. 즉, 하부 채널 홀(105a)을 다시 오프닝시킨다. 마스크 층(220)이 제거되더라도 정지층(210)은 마스크 층(220)과 식각선택비를 가지므로 제거되지 않고 잔존한다. 따라서 정지층(210)은 하부 채널 홀(105a)을 오프닝하는 공정 동안 기판(50)이 손상되는 것을 방지하는 역할을 수행한다.Referring to FIG. 54, the mask layer 220 is removed to expose the upper surface of the stopper layer 210. That is, the lower channel hole 105a is opened again. Even if the mask layer 220 is removed, the stop layer 210 has an etch selectivity with the mask layer 220, and thus remains without being removed. Thus, the stopping layer 210 serves to prevent the substrate 50 from being damaged during the process of opening the lower channel hole 105a.

도 55 및 도 56을 참조하면, 정지층(210)을 제거하여 기판(50)의 상면을 노출시키고, 하부 채널 홀(105a) 및 상부 채널 홀(105b)을 채우는 채널 층(110)을 형성한다. 하부 채널 홀(105a) 및 상부 채널 홀들(105b) 각각 채우는 하부 채널 층(110a) 및 상부 채널 층(110b)이 동시에 형성될 수 있고, 따라서 하부 채널 층(110a) 및 상부 채널 층(110b)이 연속적으로 연결된 일체(single body)로 형성될 수 있음은 상술한 바와 같다.55 and 56, the stopping layer 210 is removed to expose the upper surface of the substrate 50, and a channel layer 110 filling the lower channel hole 105a and the upper channel hole 105b is formed . The lower channel layer 110a and the upper channel layer 110b filling the lower channel hole 105a and the upper channel hole 105b may be formed at the same time so that the lower channel layer 110a and the upper channel layer 110b It can be formed as a single body continuously connected as described above.

도 57을 참조하면, 하부 희생 절연층(125a) 및 상부 희생 절연층(125b)을 식각하는 풀백 공정을 수행하기 위해, 상부 몰드 스택(190b), 마스크 층(220), 및 하부 몰드 스택(190a)을 식각하여 워드라인 리세스(205)를 형성한다. 선택적으로, 정지층(210)이 더 식각될 수도 있다.57, an upper mold stack 190b, a mask layer 220, and a lower mold stack 190a (not shown) are formed to perform a pullback process to etch the lower sacrificial insulating layer 125a and the upper sacrificial insulating layer 125b. To form a word line recess 205. The word line recess 205 is formed by etching. Optionally, stopping layer 210 may be etched further.

도 58 및 도 59를 참조하면, 하부 희생 절연층(125a) 및 상부 희생 절연층(125b)을 식각하여 채널 층(110)의 측벽을 노출시키고, 노출된 채널 층(110)의 측벽 상에 게이트 절연층(140) 및 게이트 도전층(130)을 형성한다.58 and 59, the lower sacrificial insulating layer 125a and the upper sacrificial insulating layer 125b are etched to expose the sidewalls of the channel layer 110, An insulating layer 140 and a gate conductive layer 130 are formed.

도 60 및 도 61을 참조하면, 워드라인 리세스(205)를 채우는 분리용 절연층(200)을 형성하고, 제 1 절연층(160), 채널 층(110) 및 분리용 절연층(200) 상에 비트라인 도전층(180)을 형성한다. 상술한 바와 같이, 비트라인 도전층(180)은 분리용 절연층(200)이 연장되는 방향과 수직한 방향으로 연장되도록 형성될 수 있다.60 and 61, a separating insulating layer 200 filling the word line recess 205 is formed and a first insulating layer 160, a channel layer 110, and a separating insulating layer 200 are formed. The bit line conductive layer 180 is formed. As described above, the bit line conductive layer 180 may be formed to extend in a direction perpendicular to the direction in which the separating insulating layer 200 extends.

도 62 내지 도 73은 본 발명의 기술적 사상에 의한 실시예들에 따른 비휘발성 메모리 소자의 제조 방법을 공정 순서에 따라 도시한 사시도들이다. 이 실시예들에 따른 비휘발성 메모리 소자의 제조 방법은, 도 48 내지 도 61에 따른 비휘발성 메모리 소자의 제조 공정을 일부 변형한 것이다. 이하 중복되는 설명은 생략하기로 한다.62 to 73 are perspective views illustrating a method of manufacturing a nonvolatile memory device according to embodiments of the present invention. The manufacturing method of the non-volatile memory device according to these embodiments is a modification of the manufacturing process of the non-volatile memory device according to Figs. The following description will not be repeated.

도 62를 참조하면, 기판(50) 상에 정지층(210), 하부 몰드 스택(190a), 및 마스크 층(220), 및 덮개층(230)을 차례로 형성한다. 하부 몰드 스택(190a)은 하부 게이트 도전층(130a) 및 하부 절연층(160a)을 포함할 수 있다. 하부 게이트 도전층(130a)은 다결정 또는 단결정 구조의 에피택셜층으로 형성될 수 있다. 또한, 하부 게이트 도전층(130a)은 실리콘 물질, 또는 실리콘-게르마늄 물질을 포함할 수 있다. 마스크 층(220)은 단결정 또는 다결정 구조의 실리콘(Si) 또는 실리콘 저머늄(SiGe)을 포함할 수 있다. 나아가, 마스크 층(220)은 하부 게이트 도전층(130a)과 동일한 물질로 형성될 수도 있다.62, a stop layer 210, a lower mold stack 190a, a mask layer 220, and a cover layer 230 are formed in this order on a substrate 50. The lower mold stack 190a may include a lower gate conductive layer 130a and a lower insulating layer 160a. The lower gate conductive layer 130a may be formed of an epitaxial layer of polycrystal or monocrystal structure. Further, the lower gate conductive layer 130a may include a silicon material, or a silicon-germanium material. The mask layer 220 may comprise silicon (Si) or silicon germanium (SiGe) in a single crystal or polycrystalline structure. Further, the mask layer 220 may be formed of the same material as the lower gate conductive layer 130a.

도 63을 참조하면, 덮개층(230), 마스크 층(220) 및 하부 몰드 스택(190a)을 관통하는 하부 채널 홀들(105a)을 형성한다. 하부 채널 홀들(105a)이 2차원적으로 배열되어 정지층(210)을 노출시키도록 형성될 수 있음은 상술한 바와 같다.Referring to FIG. 63, lower channel holes 105a are formed through the cover layer 230, the mask layer 220, and the lower mold stack 190a. The lower channel holes 105a may be arranged two-dimensionally to expose the stop layer 210 as described above.

도 64를 참조하면, 하부 채널 홀들(105a)의 측벽을 둘러싸는 하부 희생 스페이서(127a)를 형성한다. 하부 희생 스페이서(127a)는 하부 게이트 도전층(130a)과 직접 접촉하도록 형성될 수 있다. 더욱 구체적으로, 마스크 층(220)의 선택적 성장 공정 동안, 하부 희생 스페이서(127a)는 하부 게이트 도전층(130a)이 성장되는 것을 방지하는 역할을 수행한다.Referring to FIG. 64, a lower sacrificial spacer 127a is formed to surround the side wall of the lower channel holes 105a. The lower sacrificial spacer 127a may be formed to be in direct contact with the lower gate conductive layer 130a. More specifically, during the selective growth process of the mask layer 220, the lower sacrificial spacers 127a serve to prevent the lower gate conductive layer 130a from growing.

하부 희생 스페이서(127a)를 형성하기 위해, 하부 절연층(160a) 및 하부 게이트 도전층(130a)과 식각선택비를 가지는 물질을 증착하고, 상기 물질에 대한 에치백 공정이 수행될 수 있다. 이 경우 하부 희생 스페이서(127a)는 하부 게이트 도전층(130a) 및 하부 절연층(160a)의 측벽에만 형성될 수 있고, 따라서 마스크 층(220)의 측벽이 노출될 수 있다.In order to form the lower sacrificial spacer 127a, a material having an etch selectivity with the lower insulating layer 160a and the lower gate conductive layer 130a may be deposited, and an etch-back process for the material may be performed. In this case, the lower sacrificial spacers 127a may be formed only on the sidewalls of the lower gate conductive layer 130a and the lower insulating layer 160a, and thus the sidewalls of the mask layer 220 may be exposed.

도 65를 참조하면, 하부 채널 홀(105a)을 폐쇄(closing)하기 위해, 마스크 층(220)의 선택적 성장 공정이 수행된다. 마스크 층(220)의 선택적 성장 공정에 의해 하부 채널 홀(105a)이 폐쇄되고, 따라서 마스크 층(220)과 기판(50) 사이에 에어갭(155)이 형성될 수 있음은 상술한 바와 같다.65, in order to close the lower channel hole 105a, a selective growth process of the mask layer 220 is performed. The lower channel hole 105a is closed by the selective growth process of the mask layer 220 and thus the air gap 155 can be formed between the mask layer 220 and the substrate 50 as described above.

도 66을 참조하면, 덮개층(230)을 제거하여 마스크 층(220)을 노출시킨다. 선택적으로, 상술한 바와 같이, 노출된 마스크 층(220)의 산화 공정이 수행될 수도 있다. Referring to FIG. 66, the cover layer 230 is removed to expose the mask layer 220. Alternatively, the oxidation process of the exposed mask layer 220 may be performed, as described above.

도 67을 참조하면, 마스크 층(220) 상에 상부 게이트 도전층(130b) 및 상부 절연층(160b)을 포함하는 상부 몰드 스택(190b)을 형성한다. 이후 도 68을 참조하면, 상부 몰드 스택(190b)을 관통하는 상부 채널 홀들(105b)을 형성한다. 상부 채널 홀들(105b)은 2차원적으로 배열되어 마스크 층(220)을 노출시키도록 형성될 수 있다. 상부 채널 홀들(105b)은 하부 채널 홀들(105a)과 오버랩되도록 배치될 수 있다.Referring to FIG. 67, an upper mold stack 190b including a top gate conductive layer 130b and an upper insulating layer 160b is formed on a mask layer 220. Referring to FIG. 68, upper channel holes 105b penetrating the upper mold stack 190b are formed. The upper channel holes 105b may be arranged to be two-dimensionally formed to expose the mask layer 220. The upper channel holes 105b may be arranged to overlap with the lower channel holes 105a.

도 69를 참조하면, 마스크 층(220)을 제거하여 정지층(210)의 상면을 노출시킨다. 즉, 하부 채널 홀(105a)을 다시 오프닝시킨다. 마스크 층(220)이 제거되더라도 정지층(210) 및 하부 희생 스페이서(127a)는 마스크 층(220)과 식각선택비를 가지므로 제거되지 않고 잔존한다. Referring to FIG. 69, the mask layer 220 is removed to expose the upper surface of the stopper layer 210. That is, the lower channel hole 105a is opened again. Even when the mask layer 220 is removed, the stop layer 210 and the lower sacrificial spacers 127a have etch selectivity with respect to the mask layer 220, so that they remain unremoved.

도 70 및 도 71을 참조하면, 하부 희생 스페이서(127a)를 제거하여 하부 게이트 도전층(130a)의 측벽 및 하부 절연층(160a)의 측벽을 노출시키고, 이후 정지층(210)을 제거하여 기판(50)의 상면을 노출시킨다.70 and 71, the lower sacrificial spacer 127a is removed to expose the sidewalls of the lower gate conductive layer 130a and the sidewalls of the lower insulating layer 160a. Thereafter, the stopper layer 210 is removed, Thereby exposing the upper surface of the substrate 50.

도 72를 참조하면, 채널 홀(105)의 측벽을 따라 게이트 절연층(140)을 증착한다. 게이트 절연층(140)이 터널링 절연층(도 2의 142), 전하 저장층(도 2의 144), 블록킹 절연층(도 2의 146)이 차례로 적층된 구조일 수 있음은 상술한 바와 같다. Referring to FIG. 72, a gate insulating layer 140 is deposited along the sidewalls of the channel hole 105. The gate insulating layer 140 may be a stacked structure of a tunneling insulating layer 142 (FIG. 2), a charge storage layer 144 (FIG. 2), and a blocking insulating layer 146 (FIG. 2).

도 73을 참조하면, 하부 채널 홀(105a) 및 상부 채널 홀(105b)을 채우는 채널 층(110)을 형성한다. 하부 채널 홀(105a) 및 상부 채널 홀들(105b) 각각 채우는 하부 채널 층(110a) 및 상부 채널 층(110b)이 동시에 형성될 수 있고, 따라서 하부 채널 층(110a) 및 상부 채널 층(110b)이 연속적으로 연결된 일체로 형성될 수 있음은 상술한 바와 같다.Referring to FIG. 73, a channel layer 110 filling the lower channel hole 105a and the upper channel hole 105b is formed. The lower channel layer 110a and the upper channel layer 110b filling the lower channel hole 105a and the upper channel hole 105b may be formed at the same time so that the lower channel layer 110a and the upper channel layer 110b As described above, can be formed integrally with each other in succession.

마스크 층(220)은 폴리실리콘과 같은 도전성 물질로 형성될 수 있다. 도 65에서 언급된 마스크 층(220)의 산화 공정이 수행되지 않은 경우, 마스크 층(220)은 게이트 도전층으로서 기능할 수도 있다. 따라서 마스크 층(220)은 상부 몰드 스택(190b)을 위한 하부 채널 홀(105a)을 폐쇄하는 기능을 수행할 수 있고, 동시에 게이트 절연층(140) 및 채널 층(110) 형성 후 메모리 셀로서 동작할 수도 있다.The mask layer 220 may be formed of a conductive material such as polysilicon. The mask layer 220 may function as a gate conductive layer when the oxidation process of the mask layer 220 referred to in FIG. 65 is not performed. The mask layer 220 can function to close the lower channel hole 105a for the upper mold stack 190b and simultaneously operate as a memory cell after the formation of the gate insulating layer 140 and the channel layer 110 You may.

도 74는 본 발명의 기술적 사상에 따른 비휘발성 메모리 소자의 일 실시예에 대한 메모리 셀 어레이의 등가회로도이다. 74 is an equivalent circuit diagram of a memory cell array according to an embodiment of a nonvolatile memory device according to the technical idea of the present invention.

도 74를 참조하면, 상기 메모리 셀 어레이(10)는 복수의 메모리 셀 스트링(11)을 포함할 수 있다. 상기 복수의 메모리 셀 스트링(11)은 각각 기판 (도시 생략) 주면의 연장 방향에 대하여 수직으로 연장되어 있는 수직 구조를 가질 수 있다. 복수의 메모리 셀 스트링(11)에 의해 메모리 셀 블록(13)이 구성될 수 있다. Referring to FIG. 74, the memory cell array 10 may include a plurality of memory cell strings 11. The plurality of memory cell strings 11 may each have a vertical structure extending perpendicularly to the extending direction of the main surface of the substrate (not shown). The memory cell block 13 can be constituted by a plurality of memory cell strings 11. [

복수의 메모리 셀 스트링(11)은 각각 복수의 메모리 셀(MC1, MC2, ..., MCn-1, MCn)과, 스트링 선택 트랜지스터(SST)와, 접지 선택 트랜지스터(GST)를 구비할 수 있다. 각각의 메모리 셀 스트링(11)에서 상기 접지 선택 트랜지스터(GST), 복수의 메모리 셀(MC1, MC2, ...,, MCn-1, MCn) 및 스트링 선택 트랜지스터(SST)가 수직으로 직렬 배치될 수 있다. 여기서, 복수의 메모리 셀(MC1, MC2, ..., MCn-1, MCn)은 데이터를 저장할 수 있다. 복수의 워드 라인(WL1, WL2, ..., WLn-1, WLn)은 각각의 메모리 셀(MC1, MC2, ..., MCn-1, MCn)에 결합되어 이들 메모리 셀(MC1, MC2, ..., MCn-1, MCn)을 제어할 수 있다. 복수의 메모리 셀(MC1, MC2, ..., MCn-1, MCn)의 수는 비휘발성 메모리 소자의 용량에 따라서 적절하게 선택될 수 있다.The plurality of memory cell strings 11 may each include a plurality of memory cells MC1, MC2, ..., MCn-1, MCn, a string selection transistor SST, and a ground selection transistor GST . The ground selection transistor GST, the plurality of memory cells MC1, MC2, ..., MCn-1, MCn, and the string selection transistor SST are vertically arranged in series in each memory cell string 11 . Here, the plurality of memory cells MC1, MC2, ..., MCn-1, MCn can store data. The plurality of word lines WL1, WL2, ..., WLn-1, WLn are coupled to the respective memory cells MC1, MC2, ..., MCn-1, MCn, ..., MCn-1, MCn). The number of the plurality of memory cells MC1, MC2, ..., MCn-1, MCn can be appropriately selected in accordance with the capacity of the nonvolatile memory element.

상기 메모리 셀 블록(13)의 제1 내지 제m 열(column)에 배열된 각 메모리 셀 스트링(11)의 일측, 예를 들면 상기 스트링 선택 트랜지스터(SST)의 드레인(drain)측에는 복수의 비트 라인(BL1, BL2, ..., BLm-1, BLm)이 연결될 수 있다. 그리고, 각 메모리 셀 스트링(11)의 타측, 예를 들면 상기 접지 선택 트랜지스터(GST)의 소스(source)측에는 공통 소스 라인 (CSL)이 연결될 수 있다. On one side of each memory cell string 11 arranged in the first to m-th columns of the memory cell block 13, for example, on the drain side of the string select transistor SST, (BL1, BL2, ..., BLm-1, BLm) may be connected. A common source line CSL may be connected to the other side of each memory cell string 11, for example, the source of the ground selection transistor GST.

복수의 셀 스트링 유니트 각각의 복수의 메모리 셀(MC1, MC2, ..., MCn-1, MCn) 중 동일 층상에 배열된 메모리 셀들의 각 게이트에는 워드 라인(WL1, WL2, ..., WLn-1, WLn)이 공통적으로 연결될 수 있다. 상기 워드 라인(WL1, WL2, ..., WLn-1, WLn)의 구동에 따라 복수의 메모리 셀(MC1, MC2, ..., MCn-1, MCn)에 데이터를 프로그래밍, 독출 또는 소거할 수 있다. WLn (WL1, WL2, ..., WLn) are connected to gates of memory cells arranged on the same layer among a plurality of memory cells MC1, MC2, ..., MCn-1, MCn of the plurality of cell string units -1, and WLn may be commonly connected. The data is programmed, read or erased in the plurality of memory cells MC1, MC2, ..., MCn-1, MCn in accordance with driving of the word lines WL1, WL2, ..., WLn- .

각각의 메모리 셀 스트링(11)에서 스트링 선택 트랜지스터(SST)는 비트 라인(BL1, BL2, ..., BLm-1, BLm)과 메모리 셀(MC1, MC2, ..., MCn-1, MCn)과의 사이에 배열될 수 있다. 메모리 셀 블록(13)에서 각각의 스트링 선택 트랜지스터(SST)는 그 게이트에 연결되는 스트링 선택 라인(SSL)에 의해 복수의 비트 라인(BL1, BL2, ..., BLm-1, BLm)과 복수의 메모리 셀(MC1, MC2, ..., MCn-1, MCn)과의 사이에서의 데이터 전송을 제어할 수 있다. In each memory cell string 11, the string selection transistor SST is connected to the bit lines BL1, BL2, ..., BLm-1, BLm and the memory cells MC1, MC2, ..., MCn- ). ≪ / RTI > Each of the string selection transistors SST in the memory cell block 13 is connected to a plurality of bit lines BL1, BL2, ..., BLm-1, BLm and a plurality MCn-1, and MCn of the memory cells MC1, MC2, ..., MCn-1, and MCn.

상기 접지 선택 트랜지스터(GST)는 복수의 메모리 셀(MC1, MC2, ..., MCn-1, MCn)과 공통 소스 라인(CSL) 사이에 배열될 수 있다. 메모리 셀 블록(13)에서 각각의 접지 선택 트랜지스터(GST)는 그 게이트에 각각 연결되는 접지 선택 라인(GSL)에 의해 상기 복수의 메모리 셀(MC1, MC2, ..., MCn-1, MCn)과 공통 소스 라인(CSL) 사이에서의 데이터 전송을 제어할 수 있다.The ground selection transistor GST may be arranged between the plurality of memory cells MC1, MC2, ..., MCn-1, MCn and the common source line CSL. Each of the ground selection transistors GST in the memory cell block 13 is connected to the plurality of memory cells MC1, MC2, ..., MCn-1, MCn by a ground selection line GSL, And the common source line CSL can be controlled.

도 75는 본 발명의 기술적 사상에 따른 비휘발성 메모리 소자를 도시하는 단면도이다. 도 75에서, 도 2와 동일한 참조 부호는 동일한 구성요소를 나타내며, 따라서 중복되는 구성요소들에 대한 상세한 설명은 생략한다.75 is a cross-sectional view showing a nonvolatile memory device according to the technical idea of the present invention. In Fig. 75, the same reference numerals as those in Fig. 2 denote the same components, and thus detailed description of the overlapping components will be omitted.

도 75를 참조하면, 도 1에서 상술한 바와 같이 채널 층(110')은 마카로니 형태로 형성될 수도 있다. 이 경우 비휘발성 메모리 소자는 채널 층(110') 내부를 채우는 필라 절연층(111)을 더 포함할 수 있다. 이러한 채널 층은 하부 채널 층과 상부 채널 층으로 구성되며, 특히 하부 채널 층은 바닥부, 측벽부, 및 링형 덮개부를 포함할 수 있다. 상술한 바와 같이 하부 채널 층과 상부 채널 층은 연속적으로 연결된 일체일 수 있다.Referring to FIG. 75, the channel layer 110 'may be formed in the form of a macaroni, as described above with reference to FIG. In this case, the nonvolatile memory device may further include a pillar insulating layer 111 filling the channel layer 110 '. The channel layer may include a lower channel layer and an upper channel layer. In particular, the lower channel layer may include a bottom portion, a side wall portion, and a ring-shaped cover portion. As described above, the lower channel layer and the upper channel layer may be connected to each other continuously.

한편, 도 5에서 설명한 공정이 적용된 결과, 최상위의 게이트 도전층(130a) 및 최하위의 게이트 도전층(130c)은 다른 게이트 도전층(130b)보다 두껍게 형성될 수 있다. 최상위의 게이트 도전층(130a)은 스트링 선택 트랜지스터(도 74의 SST)로서 기능을 수행한다. 또한, 최하위의 게이트 도전층(130b)은 접지 선택 트랜지스터(도 74의 GST)로서 기능을 수행한다.5, the uppermost gate conductive layer 130a and the lowermost gate conductive layer 130c may be thicker than the other gate conductive layer 130b. The uppermost gate conductive layer 130a functions as a string selection transistor (SST in FIG. 74). Also, the lowermost gate conductive layer 130b functions as a ground selection transistor (GST in FIG. 74).

게이트 도전층(130)의 일단은 도그본(dogbone) 형상을 가질 수 있다. 더욱 구체적으로, 기판(50)과 평행한 반향으로 연장되는 게이트 도전층(130)은, 그 단부에서 기판(50)과 수직한 방향으로 일부 연장될 수 있고, 따라서 게이트 도전층(130)의 일단은 도그본 또는 삼각 플라스크와 같은 형상을 가질 수 있다. One end of the gate conductive layer 130 may have a dogbone shape. More specifically, the gate conductive layer 130, which extends in a direction parallel to the substrate 50, can extend partially at a direction perpendicular to the substrate 50 at the end thereof, May have the same shape as a dog bone or triangular flask.

게이트 도전층(130) 사이에 에어갭(150)이 형성될 수 있음은 상술한 바와 같으며, 이로 인해 게이트 간 커플링 문제가 개선될 수 있다. 에어갭(150) 또한 게이트 도전층(130)의 단부의 형상에 따른 프로파일(profile)을 가질 수 있다. 즉, 게이트 도전층(130)이 도그본 형상을 가질 경우, 에어갭(150)은 상기 도그본 형상에 따른 라운디드 프로파일(rounded profile)을 가질 수 있다.The air gap 150 may be formed between the gate conductive layers 130 as described above, which may improve the problem of inter-gate coupling. The air gap 150 may also have a profile that depends on the shape of the end of the gate conductive layer 130. That is, when the gate conductive layer 130 has a dog bone shape, the air gap 150 may have a rounded profile according to the dog bone shape.

기판(50)과 평행한 방향에서, 에어갭(150)의 두께는, 희생 스페이서(도 6의 127)의 두께와, 게이트 절연층(140)의 두께에 2를 곱한 수치의 차이일 수 있다. 따라서 도 11a 및 도 11b에서 설명한 바와 같이, 희생 스페이서(127)의 두께가 게이트 절연층(140)의 두께의 약 2배 이상인지 이하인지 여부에 따라 에어갭(150)의 형성 여부가 결정될 수 있다.The thickness of the air gap 150 in the direction parallel to the substrate 50 may be a difference between the thickness of the sacrificial spacer (127 in FIG. 6) and the thickness of the gate insulating layer 140 multiplied by 2. Therefore, as described in FIGS. 11A and 11B, whether or not the formation of the air gap 150 can be determined depending on whether the thickness of the sacrificial spacer 127 is about two times or more of the thickness of the gate insulating layer 140 .

한편, 기판(50)과 수직한 방향에서, 에어갭(150)의 크기는 제 1 절연층(160)의 두께에 비례하고 게이트 절연층(140)의 두께가 클수록 작아질 수 있다. 특히, 기판(50)과 수직한 방향에서, 에어갭(150) 중 최상위의 에어갭(150a)의 크기는 제 2 절연층(170)의 두께가 클수록 작아질 수 있다. The size of the air gap 150 is proportional to the thickness of the first insulating layer 160 and can be made smaller as the thickness of the gate insulating layer 140 is larger. Particularly, in the direction perpendicular to the substrate 50, the size of the air gap 150a at the uppermost one of the air gaps 150 can be reduced as the thickness of the second insulating layer 170 is increased.

또한, 기판(50)과 수직한 방향에서, 최하위의 에어갭(150c)의 크기는, 채널 홀(도 5의 105) 형성을 위한 이방성 식각 공정에 따른 오버에칭 정도에 비례할 수 있다. 즉, 기판(50)과 채널 층(110)이 오버랩되는 정도가 클수록 더 큰 최하위의 에어갭(150c)이 형성될 수 있다.In addition, the size of the air gap 150c at the lowermost position in the direction perpendicular to the substrate 50 may be proportional to the degree of overetching by the anisotropic etching process for forming the channel hole (105 in FIG. 5). That is, the greater the degree of overlap between the substrate 50 and the channel layer 110, the greater the lower air gap 150c can be formed.

도 77은 본 발명의 기술적 사상에 의한 실시예들에 따른 비휘발성 메모리 소자를 포함하는 메모리 카드(1000)를 보여주는 개략도이다.77 is a schematic diagram showing a memory card 1000 including a nonvolatile memory device according to embodiments of the present invention.

도 77을 참조하면, 컨트롤러(1010)와 메모리 모듈(1020)은 전기적인 신호를 교환하도록 배치될 수 있다. 예를 들어, 컨트롤러(1010)에서 명령을 내리면, 메모리 모듈(1020)는 데이터를 전송할 수 있다. 메모리 모듈(1020)은 본 발명의 실시예들 중 어느 하나에 따른 수직 구조의 비휘발성 메모리 소자를 포함할 수 있다. 본 발명의 다양한 실시예들에 따른 수직 구조의 비휘발성 메모리 소자들은 당해 기술 분야에서 잘 알려진 바와 같이 해당 로직 게이트 설계에 대응하여 "NAND" 및 "NOR" 아키텍쳐 메모리 어레이(미도시)로 배치될 수 있다. 복수의 행과 열로 배치된 메모리 어레이는 하나 이상의 메모리 어레이 뱅크(미도시)를 구성할 수 있다. 메모리 모듈(1020)은 이러한 메모리 어레이(미도시) 또는 메모리 어레이 뱅크(미도시)를 포함할 수 있다. 또한, 메모리 카드(1000)는 상술한 메모리 어레이 뱅크(미도시)를 구동하기 위하여 통상의 행디코더(미도시), 열디코더(미도시), I/O 버퍼들(미도시), 및/또는 제어 레지스터(미도시)가 더 포함할 수 있다. 이러한 메모리 카드(1000)는 다양한 종류의 카드, 예를 들어 메모리 스틱 카드(memory stick card), 스마트 미디어 카드(smart media card; SM), 씨큐어 디지털 카드(secure digital; SD), 미니 씨큐어 디지털 카드(mini secure digital card; mini SD), 또는 멀티 미디어 카드(multi media card; MMC)와 같은 메모리 장치에 이용될 수 있다.77, controller 1010 and memory module 1020 may be arranged to exchange electrical signals. For example, when the controller 1010 issues a command, the memory module 1020 can transmit data. Memory module 1020 may include a vertical non-volatile memory device according to any of the embodiments of the present invention. Vertically-structured non-volatile memory devices in accordance with various embodiments of the present invention may be arranged in a "NAND" and "NOR" architecture memory array (not shown) corresponding to the appropriate logic gate design have. A memory array arranged in a plurality of rows and columns can constitute one or more memory array banks (not shown). Memory module 1020 may include such a memory array (not shown) or a memory array bank (not shown). In addition, the memory card 1000 may include a conventional row decoder (not shown), a column decoder (not shown), I / O buffers (not shown), and / And a control register (not shown). The memory card 1000 may include various kinds of cards such as a memory stick card, a smart media card (SM), a secure digital (SD) card, a mini-secure digital card a mini secure digital card (mini SD), or a multi media card (MMC).

도 78은 본 발명의 기술적 사상에 의한 실시예들에 따른 비휘발성 메모리 소자를 포함하는 시스템(1100)을 보여주는 개략도이다.78 is a schematic diagram showing a system 1100 including a non-volatile memory device according to embodiments of the present invention.

도 78을 참조하면, 시스템(1100)은 컨트롤러(1110), 입/출력 장치(1120), 메모리 콤포넌트(1130) 및 인터페이스(1140)을 포함할 수 있다. 시스템(1100)은 모바일 시스템 또는 정보를 전송하거나 전송받는 시스템일 수 있다. 상기 모바일 시스템은 PDA, 휴대용 컴퓨터(portable computer), 웹 타블렛(web tablet), 무선 폰(wireless phone), 모바일 폰(mobile phone), 디지털 뮤직 플레이어(digital music player) 또는 메모리 카드(memory card)일 수 있다. 컨트롤러(1110)는 프로그램을 실행하고, 시스템(1100)을 제어하는 역할을 할 수 있다. 더욱 구체적으로, 컨트롤러(1110)는 입/출력 장치(1120), 메모리 콤포넌트(1130), 및 인터페이스(1140)를 제어하도록 구성될 수 있다. 컨트롤러(1110)는, 예를 들어 마이크로프로세서(microprocessor), 디지털 신호 처리기(digital signal processor), 마이크로콘트롤러(microcontroller) 또는 이와 유사한 장치일 수 있다. 입/출력 장치(1120)는 시스템(1100)의 데이터를 입력 또는 출력하는데 이용될 수 있다. 시스템(1100)은 입/출력 장치(1120)를 이용하여 외부 장치, 예컨대 개인용 컴퓨터 또는 네트워크에 연결되어, 외부 장치와 서로 데이터를 교환할 수 있다. 입/출력 장치(1120)는, 예를 들어 키패드(keypad), 키보드(keyboard) 또는 표시장치(display)일 수 있다. 메모리 콤포넌트(1130)는 컨트롤러(1110)의 동작을 위한 코드 및/또는 데이터를 저장하거나, 및/또는 컨트롤러(1110)에서 처리된 데이터를 저장할 수 있다. 메모리 콤포넌트(1130)는 본 발명의 실시예들 중 어느 하나에 따른 비휘발성 메모리를 포함할 수 있다. 인터페이스(1140)는 상기 시스템(1100)과 외부의 다른 장치 사이의 데이터 전송통로일 수 있다. 컨트롤러(1110), 입/출력 장치(1120), 메모리 콤포넌트(1130) 및 인터페이스(1140)는 버스(1150)를 통하여 서로 통신할 수 있다. 예를 들어, 이러한 시스템(1100)은 모바일 폰(mobile phone), MP3 플레이어, 네비게이션(navigation), 휴대용 멀티미디어 재생기(portable multimedia player, PMP), 고상 디스크(solid state disk; SSD) 또는 가전 제품(household appliances)에 이용될 수 있다.Referring to Figure 78, the system 1100 may include a controller 1110, an input / output device 1120, a memory component 1130, and an interface 1140. The system 1100 may be a mobile system or a system that transmits or receives information. The mobile system may be a PDA, a portable computer, a web tablet, a wireless phone, a mobile phone, a digital music player, or a memory card . The controller 1110 may execute a program and control the system 1100. [ More specifically, controller 1110 may be configured to control input / output device 1120, memory component 1130, and interface 1140. The controller 1110 may be, for example, a microprocessor, a digital signal processor, a microcontroller, or the like. The input / output device 1120 may be used to input or output data of the system 1100. The system 1100 may be connected to an external device, such as a personal computer or network, using the input / output device 1120 to exchange data with an external device. The input / output device 1120 may be, for example, a keypad, a keyboard, or a display. The memory component 1130 may store code and / or data for operation of the controller 1110 and / or store processed data at the controller 1110. [ Memory component 1130 may include a non-volatile memory according to any of the embodiments of the present invention. The interface 1140 may be a data transmission path between the system 1100 and another external device. The controller 1110, the input / output device 1120, the memory component 1130, and the interface 1140 can communicate with each other via the bus 1150. For example, the system 1100 may be a mobile phone, an MP3 player, navigation, a portable multimedia player (PMP), a solid state disk (SSD) appliances.

본 발명을 명확하게 이해시키기 위해 첨부한 도면의 각 부위의 형상은 예시적인 것으로 이해하여야 한다. 도시된 형상 외의 다양한 형상으로 변형될 수 있음에 주의하여야 할 것이다. 도면들에 기재된 동일한 번호는 동일한 요소를 지칭한다.It is to be understood that the shape of each portion of the accompanying drawings is illustrative for a clear understanding of the present invention. It should be noted that the present invention can be modified into various shapes other than the shapes shown. Like numbers refer to like elements throughout the drawings.

이상에서 설명한 본 발명이 전술한 실시예 및 첨부된 도면에 한정되지 않으며, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것은, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다. It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit or scope of the invention. Will be clear to those who have knowledge of.

50; 기판 105; 채널 홀
105a; 하부 채널 홀 105b; 상부 채널 홀
110; 채널 층 110a; 하부 채널 층
110b; 상부 채널 층 120; 지지용 절연층
125; 희생 절연층 125a; 하부 희생 절연층
125b; 상부 희생 절연층 127; 희생 스페이서
127a; 하부 희생 스페이서 127b; 상부 희생 스페이서
129; 폐쇄(closing) 절연층 130; 게이트 도전층
130a; 하부 게이트 도전층 130b; 상부 게이트 도전층
140; 게이트 절연층 145; 게이트 분리 절연층
150; 에어갭 155; 에어갭
160; 제 1 절연층 160a; 하부 절연층
160b; 상부 절연층 170; 제 2 절연층
180; 비트라인 도전층 190a; 하부 몰드 스택
190b; 상부 몰드 스택 195; 버퍼층
200; 분리용 절연층 205; 워드라인 리세스
210; 정지층 220; 마스크 층
50; A substrate 105; Channel hole
105a; A lower channel hole 105b; Upper channel hole
110; Channel layer 110a; The lower channel layer
110b; An upper channel layer 120; Insulating layer
125; A sacrificial insulating layer 125a; Lower sacrificial insulating layer
125b; Upper sacrificial insulation layer 127; Sacrificial spacer
127a; Lower sacrificial spacers 127b; Upper sacrificial spacer
129; A closing insulating layer 130; Gate conductive layer
130a; A bottom gate conductive layer 130b; The upper gate conductive layer
140; A gate insulating layer 145; Gate separation insulating layer
150; Air gap 155; Air gap
160; A first insulating layer 160a; The lower insulating layer
160b; Upper insulating layer 170; The second insulating layer
180; A bit line conductive layer 190a; Lower mold stack
190b; An upper mold stack 195; Buffer layer
200; A separation insulating layer 205; Wordline recess
210; Stop layer 220; Mask layer

Claims (15)

기판 상에 형성된 복수개의 희생 절연층들 및 복수개의 제 1 절연층들의 적층 구조를 관통하는 채널 홀을 형성하는 단계;
상기 채널 홀의 측벽에 희생 스페이서를 형성하는 단계;
상기 희생 스페이서와 접촉하는 채널 층을 형성하는 단계;
상기 채널 층의 상부 측벽이 노출되도록, 상기 희생 스페이서의 상부 일부를 식각하는 단계;
상기 채널 층의 상면 및 상기 채널 층의 상부 측벽과 접촉하는 제2 절연층을 형성하는 단계;
상기 채널 층의 측벽이 노출되도록 상기 희생 절연층들 및 상기 희생 스페이서를 식각하는 단계;
상기 채널 층의 측벽 상에 게이트 도전층을 형성하는 단계를 포함하는 비휘발성 메모리 소자의 제조 방법.
Forming a channel hole through a plurality of sacrificial insulating layers and a plurality of first insulating layers formed on the substrate;
Forming a sacrificial spacer on a sidewall of the channel hole;
Forming a channel layer in contact with the sacrificial spacer;
Etching an upper portion of the sacrificial spacer such that an upper sidewall of the channel layer is exposed;
Forming a second insulating layer in contact with an upper surface of the channel layer and an upper sidewall of the channel layer;
Etching the sacrificial insulation layers and the sacrificial spacers such that side walls of the channel layer are exposed;
And forming a gate conductive layer on the sidewalls of the channel layer.
삭제delete 삭제delete 제 1 항에 있어서,
상기 희생 스페이서를 형성하는 단계와 상기 채널 층을 형성하는 단계 사이에, 상기 희생 스페이서 상에 게이트 절연층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 비휘발성 메모리 소자의 제조 방법.
The method according to claim 1,
Further comprising forming a gate insulating layer on the sacrificial spacer between the step of forming the sacrificial spacer and the step of forming the channel layer.
제 4 항에 있어서,
상기 희생 절연층들 및 상기 희생 스페이서를 식각하는 단계와 상기 게이트 도전층을 형성하는 단계 사이에, 상기 채널 층 상에 게이트 분리 절연층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 비휘발성 메모리 소자의 제조 방법.
5. The method of claim 4,
Further comprising forming a gate separation insulating layer on the channel layer between etching the sacrificial insulation layers and the sacrificial spacers and forming the gate conductive layer. ≪ / RTI >
삭제delete 제 1 항에 있어서,
상기 채널 홀을 형성하는 단계 및 상기 희생 스페이서를 형성하는 단계는,
기판 상에 복수개의 하부 희생 절연층들 및 복수개의 하부 절연층들을 교대로 적층하는 단계;
상기 하부 희생 절연층들 및 상기 하부 절연층들을 식각하여 하부 채널 홀을 형성하는 단계;
상기 하부 채널 홀의 측벽에 하부 희생 스페이서를 형성하는 단계;
상기 하부 채널 홀을 채우는 폐쇄 절연층을 형성하는 단계;
상기 폐쇄 절연층 상에 복수개의 상부 희생 절연층들 및 복수개의 상부 절연층들을 교대로 적층하는 단계;
상기 상부 희생 절연층들 및 상기 상부 절연층들을 식각하여 상부 채널 홀을 형성하는 단계; 및
상기 상부 채널 홀의 측벽에 상부 희생 스페이서를 형성하는 단계를 포함하는 것을 특징으로 하는 비휘발성 메모리 소자의 제조 방법.
The method according to claim 1,
Wherein forming the channel hole and forming the sacrificial spacer comprise:
Alternately stacking a plurality of lower sacrificial insulating layers and a plurality of lower insulating layers on a substrate;
Etching the lower sacrificial insulating layers and the lower insulating layers to form lower channel holes;
Forming a lower sacrificial spacer on a sidewall of the lower channel hole;
Forming a closed insulating layer filling the lower channel hole;
Alternately stacking a plurality of upper sacrificial insulating layers and a plurality of upper insulating layers on the closed insulating layer;
Etching the upper sacrificial insulating layers and the upper insulating layers to form upper channel holes; And
And forming an upper sacrificial spacer on a sidewall of the upper channel hole.
기판 상에 복수개의 희생 절연층들 및 복수개의 제 1 절연층들을 교대로 적층하는 단계;
상기 희생 절연층들 및 상기 제 1 절연층들을 식각하여 채널 홀을 형성하는 단계;
상기 채널 홀의 측벽에 희생 스페이서를 형성하는 단계;
상기 희생 스페이서와 접촉하는 채널 층을 형성하는 단계;
상기 채널 층의 상부 측벽이 노출되도록, 상기 희생 스페이서의 상부 일부를 식각하는 단계;
상기 채널 층의 상면 및 상기 채널 층의 상부 측벽과 접촉하는 제2 절연층을 형성하는 단계;
상기 희생 절연층들, 및 상기 제 1 절연층들을 식각하여 워드라인 리세스를 형성하는 단계;
상기 채널 층의 측벽이 노출되도록 상기 희생 절연층들 및 상기 희생 스페이서를 식각하는 단계;
상기 채널 층의 측벽 상에 게이트 절연층을 형성하는 단계; 및
상기 게이트 절연층 상에 게이트 도전층을 형성하는 단계를 포함하는 비휘발성 메모리 소자의 제조 방법.
Alternately stacking a plurality of sacrificial insulating layers and a plurality of first insulating layers on a substrate;
Etching the sacrificial insulating layers and the first insulating layers to form channel holes;
Forming a sacrificial spacer on a sidewall of the channel hole;
Forming a channel layer in contact with the sacrificial spacer;
Etching an upper portion of the sacrificial spacer such that an upper sidewall of the channel layer is exposed;
Forming a second insulating layer in contact with an upper surface of the channel layer and an upper sidewall of the channel layer;
Etching the sacrificial insulating layers, and the first insulating layers to form word line recesses;
Etching the sacrificial insulation layers and the sacrificial spacers such that side walls of the channel layer are exposed;
Forming a gate insulating layer on the sidewalls of the channel layer; And
And forming a gate conductive layer on the gate insulating layer.
제 8 항에 있어서,
상기 채널 층을 형성하는 단계와 상기 희생 스페이서들의 상부 일부를 식각하는 단계 사이에,
상기 희생 절연층들 및 상기 제 1 절연층들을 식각하여 더미 홀을 형성하는 단계;
상기 더미 홀을 채우는 지지용 절연층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 비휘발성 메모리 소자의 제조 방법.
9. The method of claim 8,
Between forming the channel layer and etching the upper portion of the sacrificial spacers,
Etching the sacrificial insulating layers and the first insulating layers to form dummy holes;
And forming a supporting insulating layer filling the dummy holes. ≪ Desc / Clms Page number 20 >
제 8 항에 있어서,
상기 채널 층들은 지그재그로 배치되는 것을 특징으로 하는 비휘발성 메모리 소자의 제조 방법.
9. The method of claim 8,
Wherein the channel layers are arranged in a zigzag manner.
삭제delete 삭제delete 기판;
상기 기판으로부터 돌출 연장되는 채널 층;
상기 채널 층을 둘러싸고, 도그본 형상을 가지는 일단을 가진 게이트 도전층;
상기 채널 층과 상기 게이트 도전층 사이에 위치하는 게이트 절연층; 및
상기 채널층과 이격되면서 상기 게이트 도전층의 상하에 위치하는 제 1 절연층을 포함하는 비휘발성 메모리 소자.
Board;
A channel layer protruding from the substrate;
A gate conductive layer surrounding the channel layer and having one end having a dog bone shape;
A gate insulating layer positioned between the channel layer and the gate conductive layer; And
And a first insulating layer located above and below the gate conductive layer, the first insulating layer being spaced apart from the channel layer.
삭제delete 삭제delete
KR1020100080886A 2010-05-24 2010-08-20 Nonvolatile memory device, memory module and system having the same, and method of fabricating the same KR101773044B1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US13/100,488 US8455940B2 (en) 2010-05-24 2011-05-04 Nonvolatile memory device, method of manufacturing the nonvolatile memory device, and memory module and system including the nonvolatile memory device
JP2011114566A JP5858643B2 (en) 2010-05-24 2011-05-23 Nonvolatile memory device, manufacturing method thereof, and memory module and system including the same
CN201110145035.0A CN102263065B (en) 2010-05-24 2011-05-24 Nonvolatile memory device, method of manufacturing the same, memory module and system including the nonvolatile memory device
US13/896,819 US8877626B2 (en) 2010-05-24 2013-05-17 Nonvolatile memory device, method of manufacturing the nonvolatile memory device, and memory module and system including the nonvolatile memory device
US14/529,940 US20150060993A1 (en) 2010-05-24 2014-10-31 Nonvolatile memory device, method of manufacturing the nonvolatile memory device, and memory module and system including the nonvolatile memory device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020100048188 2010-05-24
KR20100048188 2010-05-24

Publications (2)

Publication Number Publication Date
KR20110128717A KR20110128717A (en) 2011-11-30
KR101773044B1 true KR101773044B1 (en) 2017-09-01

Family

ID=45396855

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100080886A KR101773044B1 (en) 2010-05-24 2010-08-20 Nonvolatile memory device, memory module and system having the same, and method of fabricating the same

Country Status (2)

Country Link
JP (1) JP5858643B2 (en)
KR (1) KR101773044B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11004864B2 (en) 2019-02-13 2021-05-11 SK Hynix Inc. Semiconductor device

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5431386B2 (en) 2011-02-22 2014-03-05 株式会社東芝 Semiconductor memory device
KR102045851B1 (en) * 2012-08-27 2019-12-04 삼성전자주식회사 A vertical type semiconductor device and method of manufacturing the same
JP2014053585A (en) 2012-09-05 2014-03-20 Toshiba Corp Method of manufacturing non-volatile semiconductor storage device
JP2014187246A (en) 2013-03-25 2014-10-02 Toshiba Corp Semiconductor device, and method of manufacturing the same
KR102099294B1 (en) * 2013-05-13 2020-04-09 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
KR102078597B1 (en) * 2013-06-27 2020-04-08 삼성전자주식회사 Semiconductor device
JP2015028982A (en) * 2013-07-30 2015-02-12 株式会社東芝 Non-volatile memory device and method of manufacturing the same
KR20150033932A (en) 2013-09-25 2015-04-02 에스케이하이닉스 주식회사 Semiconductor device
KR102111474B1 (en) * 2013-11-20 2020-06-08 삼성전자주식회사 Semiconductor devices having through electrodes and methods for fabricating the same
KR102269422B1 (en) 2014-05-30 2021-06-28 삼성전자주식회사 Semiconductor device
KR102134607B1 (en) * 2014-06-05 2020-07-17 매크로닉스 인터내셔널 컴퍼니 리미티드 Ssl/gsl gate oxide in 3d vertical channel nand
JP5889486B1 (en) 2014-06-10 2016-03-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Columnar semiconductor memory device and manufacturing method thereof
KR20160128129A (en) 2015-04-28 2016-11-07 에스케이하이닉스 주식회사 Manufacturing method of semiconductor device
KR102413766B1 (en) * 2015-09-08 2022-06-27 삼성전자주식회사 Non-volatile memory device and method for fabricating the same
KR102432793B1 (en) * 2015-09-30 2022-08-17 에스케이하이닉스 주식회사 Semiconductor device
US9917099B2 (en) 2016-03-09 2018-03-13 Toshiba Memory Corporation Semiconductor device having vertical channel between stacked electrode layers and insulating layers
JP6509768B2 (en) * 2016-03-22 2019-05-08 東芝メモリ株式会社 Semiconductor memory device
KR102592325B1 (en) * 2016-07-14 2023-10-20 삼성전자주식회사 Aluminum compound and methods of forming thin film and integrated circuit device
KR102632478B1 (en) * 2016-09-02 2024-02-05 에스케이하이닉스 주식회사 Semiconductor device
WO2018055692A1 (en) 2016-09-21 2018-03-29 東芝メモリ株式会社 Semiconductor device and method for manufacturing same
US10410931B2 (en) * 2017-01-09 2019-09-10 Samsung Electronics Co., Ltd. Fabricating method of nanosheet transistor spacer including inner spacer
US10038008B1 (en) * 2017-01-30 2018-07-31 Micron Technology, Inc. Integrated structures and NAND memory arrays
US10431591B2 (en) * 2017-02-01 2019-10-01 Micron Technology, Inc. NAND memory arrays
CN106910746B (en) 2017-03-08 2018-06-19 长江存储科技有限责任公司 A kind of 3D nand memories part and its manufacturing method, packaging method
US10438962B2 (en) * 2017-12-27 2019-10-08 Micron Technology, Inc. Memory arrays, and methods of forming memory arrays
US10903221B2 (en) 2017-12-27 2021-01-26 Micron Technology, Inc. Memory cells and memory arrays
JP2020031151A (en) 2018-08-23 2020-02-27 キオクシア株式会社 Semiconductor memory device and manufacturing method thereof
KR102649536B1 (en) * 2019-01-23 2024-03-21 에스케이하이닉스 주식회사 Nonvolatile memory device and method for fabricating the same
KR102159255B1 (en) * 2019-01-25 2020-09-23 삼성전자 주식회사 Three dimensional flash memory with air gap and manufacturing method thereof
JP2020150227A (en) 2019-03-15 2020-09-17 キオクシア株式会社 Semiconductor device and manufacturing method thereof
US11217602B2 (en) 2019-06-10 2022-01-04 SK Hynix Inc. Memory device and method for fabricating the memory device
KR20210023291A (en) * 2019-08-22 2021-03-04 에스케이하이닉스 주식회사 Semiconductor memory device and manufacturing method of the same
US11024644B2 (en) * 2019-08-22 2021-06-01 Micron Technology, Inc. Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies
JP2021048214A (en) * 2019-09-18 2021-03-25 キオクシア株式会社 Storage device
US11171153B2 (en) * 2019-11-12 2021-11-09 Micron Technology, Inc. Integrated assemblies having improved charge migration
WO2021099878A1 (en) * 2019-11-22 2021-05-27 株式会社半導体エネルギー研究所 Imaging device and method for fabricating same
KR20210072276A (en) * 2019-12-09 2021-06-17 에스케이하이닉스 주식회사 Semiconductor memory device and manufacturing method thereof
WO2021130598A1 (en) * 2019-12-27 2021-07-01 株式会社半導体エネルギー研究所 Memory device and method for manufacturing the same
KR20210106295A (en) * 2020-02-20 2021-08-30 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method of semiconductor device
KR20220016714A (en) 2020-08-03 2022-02-10 에스케이하이닉스 주식회사 Semiconductor memory device and manufacturing method thereof
KR102497881B1 (en) * 2020-10-29 2023-02-10 한양대학교 산학협력단 Three dimensional flash memory for improving integration and operation method thereof
KR102633697B1 (en) * 2021-10-13 2024-02-05 한양대학교 산학협력단 3d flash memory with confined data storage pattern structure and manufacturing method threrof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090242966A1 (en) * 2008-02-29 2009-10-01 Sumsung Electronics Co., Ltd. Vertical-type semiconductor devices

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101226685B1 (en) * 2007-11-08 2013-01-25 삼성전자주식회사 Vertical type semiconductor device and Method of manufacturing the same
JP5300419B2 (en) * 2008-11-05 2013-09-25 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090242966A1 (en) * 2008-02-29 2009-10-01 Sumsung Electronics Co., Ltd. Vertical-type semiconductor devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11004864B2 (en) 2019-02-13 2021-05-11 SK Hynix Inc. Semiconductor device

Also Published As

Publication number Publication date
JP5858643B2 (en) 2016-02-10
KR20110128717A (en) 2011-11-30
JP2011249803A (en) 2011-12-08

Similar Documents

Publication Publication Date Title
KR101773044B1 (en) Nonvolatile memory device, memory module and system having the same, and method of fabricating the same
US8455940B2 (en) Nonvolatile memory device, method of manufacturing the nonvolatile memory device, and memory module and system including the nonvolatile memory device
KR101916222B1 (en) Vertical structure non-volatile memory device and method for manufacturing the same
USRE49440E1 (en) Vertical-type non-volatile memory devices having dummy channel holes
KR101573697B1 (en) Nonvolatile memory device having vertical folding structure and method of fabricating the same
US8324675B2 (en) Flash memory device having vertical channel structure
KR102101841B1 (en) Vertical type non-volatile memory device
KR101660432B1 (en) Semiconductor memory device having vertical structure
KR101652829B1 (en) Vertical structure non-volatile memory device
KR101736982B1 (en) Vertical structure non-volatile memory device
KR101845507B1 (en) Non-volatile memory device having vertical structure and method for manufacturing the same
US8395941B2 (en) Multi-semiconductor material vertical memory strings, strings of memory cells having individually biasable channel regions, memory arrays incorporating such strings, and methods of accessing and forming the same
KR102282139B1 (en) Semiconductor devices
KR102423765B1 (en) Vertical structure non-volatile memory device and method for manufacturing the same
KR20120068392A (en) Method for manufacturing non-volatile memory device and contact plug of semiconductor device
US20230180478A1 (en) Semiconductor devices
US7982246B2 (en) Selection transistor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant