KR101760949B1 - Etching processing method - Google Patents

Etching processing method Download PDF

Info

Publication number
KR101760949B1
KR101760949B1 KR1020110016251A KR20110016251A KR101760949B1 KR 101760949 B1 KR101760949 B1 KR 101760949B1 KR 1020110016251 A KR1020110016251 A KR 1020110016251A KR 20110016251 A KR20110016251 A KR 20110016251A KR 101760949 B1 KR101760949 B1 KR 101760949B1
Authority
KR
South Korea
Prior art keywords
film
frequency power
power
plasma
gas
Prior art date
Application number
KR1020110016251A
Other languages
Korean (ko)
Other versions
KR20110097706A (en
Inventor
히로마사 모치키
신 오카모토
다카시 니시지마
후미오 야마자키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110097706A publication Critical patent/KR20110097706A/en
Application granted granted Critical
Publication of KR101760949B1 publication Critical patent/KR101760949B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

내부에 플라즈마가 발생하는 처리실, 해당 처리실 내부에 배치된 탑재대, 해당 탑재대에 대향해서 상기 처리실 내부에 배치된 전극, 상기 처리실 내부에 제 1 고주파 전력을 인가하기 위한 제 1 고주파 전원, 상기 탑재대에 상기 제 1 고주파 전력보다 주파수가 낮은 제 2 고주파 전력을 인가하기 위한 제 2 고주파 전원, 상기 전극에 직류 전력을 인가하기 위한 직류 전원을 구비하는 기판 처리 장치에 있어서, 에칭 대상막과, 그 에칭 대상막 상에 형성된 마스크막을 갖는 기판에 에칭 처리를 실시하는 에칭 처리 방법이 제공된다. 상기 에칭 처리 방법은, 상기 기판상의 마스크막에 형성된 패턴의 형상을 개량하는 패턴 형상 개량 스텝과, 상기 패턴의 형상이 개량된 마스크막을 이용하여 상기 에칭 대상막을 플라즈마로 에칭하는 대상막 에칭 스텝을 갖는다. 또한, 상기 패턴 형상 개량 스텝에서는, 상기 마스크 막을 플라즈마로 에칭하고, 상기 대상막 에칭 스텝에서는, 상기 직류 전력을 상기 전극에 인가하는 동시에, 상기 제 2 고주파 전력을 상기 탑재대에 제 1 전력 레벨과 상기 제 1 전력 레벨보다 낮은 제 2 전력 레벨이 반복되는 펄스파 형상으로 인가한다. A processing chamber in which a plasma is generated, a mounting table disposed inside the processing chamber, an electrode disposed inside the processing chamber opposite to the mounting table, a first high frequency power source for applying a first high frequency power to the inside of the processing chamber, And a DC power supply for applying a DC power to the electrode, the substrate processing apparatus comprising: a substrate to be etched; a first RF power supply for applying a second RF power having a frequency lower than the first RF power, There is provided an etching treatment method for performing an etching treatment on a substrate having a mask film formed on a film to be etched. The etching treatment method includes a pattern shape improving step of improving the shape of a pattern formed on the mask film on the substrate and a target film etching step of etching the film to be etched by plasma using the mask film whose shape is improved . In the pattern shape improving step, the mask film is etched by plasma, and in the target film etching step, the DC power is applied to the electrode and the second high frequency power is applied to the stage at a first power level And a second power level lower than the first power level is applied in a repeating pulse shape.

Description

에칭 처리 방법{ETCHING PROCESSING METHOD}[0001] ETCHING PROCESSING METHOD [0002]

본 발명은 애스펙트비가 높은 홀 등을 형성하는 에칭 처리 방법에 관한 것이다.The present invention relates to an etching treatment method for forming a hole having a high aspect ratio or the like.

플라즈마 에칭 처리를 이용하여 반도체 웨이퍼로 제조되는 반도체 디바이스에서는, 개구부의 직경에 비해서 깊이가 큰 패턴, 예를 들면, 애스펙트비가 높은 홀을 형성하는 것이 요구되고 있다. In a semiconductor device made of a semiconductor wafer using a plasma etching process, it is required to form a pattern having a larger depth than the diameter of the opening, for example, a hole having a high aspect ratio.

애스펙트비가 큰 홀을 형성하기 위해서는, 특히, 플라즈마 중의 양이온에 의한 대상막의 스퍼터를 다용할 필요가 있지만, 이 경우, 도 12에 도시하는 바와 같이, 대상막(120)에 형성된 홀(121)의 바닥부에 양이온(122)이 체류하고, 해당 체류한 양이온(122)에 이어 양이온(123)이 홀(121)의 바닥부에 도달하는 것을 전기적으로 방해하여, 홀(121) 안에서 뒤따르는 양이온(123)의 진로를 변경시키는 일이 있다. 그 결과, 홀(121)이 삐뚤어지는 등의 문제가 발생하는 일이 있다. In order to form a hole with a large aspect ratio, it is necessary to use a large number of sputtering target films by positive ions in the plasma. In this case, as shown in Fig. 12, The positive ions 123 stay in the holes 121 and electrically interfere with the cathodes 123 reaching the bottom of the holes 121 following the retained positive ions 122 so that the positive ions 123 ) May change course. As a result, the hole 121 may be distorted.

이에 대응해서, 홀의 바닥부에 전자를 도입하는 수법이 개발되어 있다(예를 들면, 일본 특허 공개 제2007-134530호 공보 참조). 이것에 의하면, 홀의 바닥부에 체류한 양이온이 전기적으로 중화되어, 뒤따르는 양이온의 진로를 변경시키지 않는다.In response to this, a technique of introducing electrons to the bottom of the hole has been developed (see, for example, Japanese Patent Application Laid-Open No. 2007-134530). According to this, cations retained in the bottom portion of the hole are electrically neutralized, and the course of the subsequent cation does not change.

일본 특허 공개 제2007-134530호 공보Japanese Patent Laid-Open No. 2007-134530

그런데, 최근, 각 부의 미세화가 진행되고, 그에 따라,대상막에 있어서 애스펙트비가 보다 높은 홀, 예를 들면, 애스펙트비가 30 이상인 홀을 형성하는 것이 요구되고 있다. 애스펙트비가 30 이상이 되면, 상술한 수법을 이용하여도, 홀이 비뚤어지는 것을 방지할 수 없다고 하는 문제가 있다. However, in recent years, miniaturization of each part has progressed, and accordingly it is required to form a hole having a higher aspect ratio in the target film, for example, a hole having an aspect ratio of 30 or more. When the aspect ratio is 30 or more, there is a problem that the holes can not be prevented from being skewed even by using the above-mentioned technique.

본 발명의 목적은 형성되는 패턴의 애스펙트비가 높아도 패턴이 삐뚤어지는 것을 방지할 수 있는 에칭 처리 방법을 제공 하는 것에 있다.
An object of the present invention is to provide an etching processing method capable of preventing a pattern from being distorted even when the aspect ratio of the formed pattern is high.

본 발명의 일실시형태에 의하면, 내부에 플라즈마가 발생하는 처리실, 해당 처리실 내부에 배치된 탑재대 및 해당 탑재대에 대향해서 상기 처리실 내부에 배치된 전극을 구비하고, 상기 처리실 내부에 비교적 주파수가 높은 제 1 고주파 전력이 인가되고, 상기 탑재대에 상기 제 1 고주파 전력보다 주파수가 낮은 제 2 고주파 전력이 인가되고, 상기 전극에 직류 전력이 인가되는 기판 처리 장치에 있어서, 에칭 대상막과, 해당 에칭 대상막 상에 형성된 마스크 막을 가지고, 또한 상기 탑재대에 탑재된 기판에 에칭 처리를 실시하는 에칭 처리 방법으로서, 상기 기판상의 마스크 막에 형성된 패턴의 형상을 개량하는 패턴 형상 개량 스텝과, 상기 패턴의 형상이 개량된 마스크 막을 이용하여 상기 에칭 대상막을 플라즈마로 에칭하는 대상막 에칭 스텝을 가지고, 상기 패턴 형상 개량 스텝에서는, 상기 마스크 막을 플라즈마로 에칭하고, 상기 대상막 에칭 스텝에서는, 상기 직류 전력을 상기 전극에 인가하는 동시에, 적어도 상기 제 2 고주파 전력을 상기 탑재대에 펄스파 형상으로 인가해서 상기 제 2 고주파 전력이 상기 탑재대에 인가되지 않는 상태를 만들어내는 에칭 처리 방법을 제공한다. According to an embodiment of the present invention, there is provided a plasma processing apparatus including a processing chamber in which plasma is generated, a mounting table disposed inside the processing chamber, and electrodes disposed inside the processing chamber opposite to the mounting table, A substrate processing apparatus to which a high first high frequency power is applied and a second high frequency power whose frequency is lower than the first high frequency power is applied to the table and DC power is applied to the electrode, There is provided an etching processing method for performing etching processing on a substrate mounted on a mounting table having a mask film formed on a film to be etched, the method comprising: a pattern shape improving step of improving the shape of a pattern formed on the mask film on the substrate; A target film etching step for etching the etching target film with a plasma is performed using a mask film whose shape is improved Wherein in the pattern shape improving step, the mask film is etched by plasma, and in the target film etching step, the DC power is applied to the electrode and at least the second high frequency power is applied to the stage in a pulsed wave form And generates a state in which the second high frequency power is not applied to the mount table.

상기 대상막 에칭 스텝에서는, 상기 제 1 고주파 전력도 펄스파 형상으로 인가해서 상기 제 1 고주파 전력이 상기 처리실 내부에 인가되지 않는 상태를 만들어내는 것으로 하여도 좋다. In the target film etching step, the first high frequency power may also be applied in the form of a pulse wave to create a state in which the first high frequency power is not applied to the inside of the processing chamber.

또한, 상기 대상막 에칭 스텝에서는, 상기 제 1 고주파 전력과 상기 제 2 고주파 전력을 동기시켜서 펄스파 형상으로 인가하는 것이어도 좋다. In the target film etching step, the first high-frequency power and the second high-frequency power may be applied in a pulse-like shape in synchronization with each other.

상기 대상막 에칭 스텝에서는, 상기 기판에 발생하는 바이어스 전압의 전위보다도 낮은 전위에서 상기 직류 전력을 상기 전극에 인가하는 것이어도 좋다. In the target film etching step, the DC power may be applied to the electrode at a potential lower than the potential of the bias voltage generated in the substrate.

상기 대상막 에칭 스텝에서는, 상기 제 2 고주파 전력을 상기 탑재대에, 주파수가 1KHz∼50KHz중 어느 것인 펄스파 형상으로 인가하는 것이 바람직하다. In the target film etching step, it is preferable that the second high frequency power is applied to the mounting table in a pulse-like shape having a frequency of 1 KHz to 50 KHz.

보다 바람직하게는, 상기 주파수가 10KHz∼50KHz중 어느 것이다. More preferably, the frequency is 10 KHz to 50 KHz.

또한, 상기 대상막 에칭 스텝에서는, 펄스파 형상으로 인가되는 상기 제 2 고주파 전력의 듀티비가 10 % ∼90 % 중 어느 것인 것이 바람직하다. In the target film etching step, it is preferable that the duty ratio of the second high-frequency power applied in a pulse-like shape is 10% to 90%.

보다 바람직하게는, 상기 듀티비가 50 % ∼90 % 중 어느 것이다. More preferably, the duty ratio is 50% to 90%.

또한, 상기 대상막 에칭 스텝에서는, 상기 제 2 고주파 전력이 상기 탑재대에 인가되지 않는 상태가 적어도 5 마이크로초 계속하는 것이 바람직하다. It is preferable that in the subject film etching step, the state in which the second high frequency power is not applied to the stage is continued for at least 5 microseconds.

상기 대상막 에칭 스텝에 있어서 상기 에칭 대상막에 형성되는 패턴의 애스펙트비는 30 이상인 것이어도 좋다. The aspect ratio of the pattern formed on the etching target film in the target film etching step may be 30 or more.

상기한 에칭 처리 방법에 있어서, 상기 마스크 막은 유기막일 수 있고, 이 경우, 상기 패턴 형상 개량 스텝은, 상기 플라즈마로 에칭된 마스크 막에 전자를 접촉시켜서 상기 마스크 막을 경화시키는 마스크막 경화 스텝을 가지는 것으로 할 수도 있다. In the above etching treatment method, the mask film may be an organic film, and in this case, the pattern shape improving step may include a mask film hardening step of hardening the mask film by bringing an electron into contact with the mask film etched with the plasma You may.

또한, 상기 마스크막 경화 스텝에서는, 상기 직류 전력을 상기 전극에 인가하여도 좋다. Further, in the mask film hardening step, the direct current power may be applied to the electrode.

상기 마스크막 경화 스텝에서는, 상기 인가되는 직류 전력의 전압은 -900V 이하인 것이 바람직하다. In the mask film curing step, the voltage of the applied direct current power is preferably -900 V or less.

또한, 상기 마스크막 경화 스텝에서는, 데포성 가스로부터 플라즈마를 생기게 하는 것으로 하여도 좋다. Further, in the mask film curing step, a plasma may be generated from the deposition gas.

또한, 상기 마스크 막은 무기막인 것도 가능하다. It is also possible that the mask film is an inorganic film.

상기 무기막은 적어도 폴리 실리콘 막을 포함하는 것일 수 있다. The inorganic film may include at least a polysilicon film.

또한, 상기 패턴 형상 개량 스텝에서는, 상기 패턴의 형상을 개량함으로써, 상기 마스크 막의 홀의 형상을, 상방으로부터 바라보았을 경우에 있어서 둥근 원에 가깝게 형성하는 것으로 할 수 있다. Further, in the pattern shape improving step, the shape of the hole of the mask film can be formed closer to a round circle when viewed from above by improving the shape of the pattern.

상기한 에칭 처리 방법에 있어서, 상기 대상막 에칭 스텝에서는, 적어도 헬륨 가스를 포함하는 혼합 가스로 플라즈마를 생성하는 것으로 할 수도 있다.
In the above etching treatment method, the plasma may be generated by a mixed gas containing at least helium gas in the target film etching step.

본 발명에 의하면, 패턴의 애스펙트비가 높아도 패턴이 삐뚤어지는 것을 방지할 수 있는 에칭 처리 방법을 제공된다.
According to the present invention, there is provided an etching processing method capable of preventing a pattern from being distorted even when the aspect ratio of the pattern is high.

본 발명의 목적 및 특징은 이하와 같은 첨부 도면과 함께 주어지는 이후의 바람직한 실시예의 설명으로부터 명백하게 된다.
도 1은 본 발명의 제 1 실시 형태에 따른 에칭 처리 방법을 실행하는 기판 처리 장치의 구성을 개략적으로 도시하는 도면이다.
도 2는 종래의 에칭 처리 방법에 의해 산화막에 형성된 홀의 형상을 도시하는 도면으로서, (a)은 산화막으로 형성된 홀의 종단면도이며, (b)는 산화막의 표면으로부터의 깊이가 300㎚에서의 홀의 수평단면도, (c)는 산화막의 표면으로부터의 깊이가 700㎚에서의 홀의 수평단면도, (d)는 산화막의 표면으로부터의 깊이가 1500㎚에서의 홀의 수평단면도, (e)는 산화막의 표면으로부터의 깊이가 2300㎚에서의 홀의 수평단면도, (f)는 산화막에 홀 형성전의 마스크 막의 종단면도, (g)는 도 2(f)에서의 마스크 막에 형성된 홀의 평면도이다.
도 3은 본 실시 형태에 따른 에칭 처리 방법에 의해 처리되는 웨이퍼의 일부의 구조를 개략적으로 나타내는 단면도이다.
도 4는 본 실시 형태에 따른 에칭 처리 방법을 나타내는 공정도이다.
도 5는 본 실시 형태에 따른 에칭 처리 방법에 있어서의 마스크 막의 홀의 형상 개량을 설명하기 위한 도면으로서, (a)는 마스크 막의 홀 근방의 확대도시한 종단면도, (b)는 형상 개량전의 마스크 막의 홀을 나타내는 평면도, (c)는 형상 개량후의 마스크 막의 홀을 나타내는 평면도이다.
도 6은 본 실시 형태에 따른 에칭 처리 방법에 있어서의 마스크 막의 경화를 설명하기 위한 도면으로서, (a)는 마스크 막의 홀 근방을 확대도시한 종단면도, (b)는 경화전의 마스크 막의 홀을 나타내는 평면도, (c)는 경화후의 마스크 막의 홀을 나타내는 평면도이다.
도 7은 본 실시 형태에 따른 에칭 처리 방법에 있어서 SiO2막에 있어서의 홀의 형성을 설명하기 위한 도면으로서, (a)는 SiO2막의 에칭을 설명하기 위한 도면, (b)는 홀의 바닥부에 체류하는 양이온의 전기적 중화를 설명하기 위한 도면이다.
도 8은 본 실시 형태에 따른 에칭 처리 방법에 있어서 인가되는 플라즈마 생성용의 고주파 전력 및 이온 인입용의 고주파 전력, 및 웨이퍼의 표면 근방을 흐르는 전류를 설명하기 위한 도면이다.
도 9는 본 발명의 제 2 실시 형태에 따른 에칭 처리 방법에 의해 처리되는 웨이퍼의 일부 구조를 개략적으로 나타내는 단면도이다.
도 10은 본 실시 형태에 따른 에칭 처리 방법을 나타내는 공정도이다.
도 11은 본 실시 형태에 따른 에칭 처리 방법에 있어서의 마스크 막의 홀의 형상 개량을 설명하기 위한 도면으로서, (a)는 마스크 막의 홀 근방을 확대도시한 종단면도, (b)는 형상 개량전의 마스크 막의 홀을 나타내는 평면도, (c)는 형상 개량후의 마스크 막의 홀을 나타내는 평면도이다.
도 12는 종래의 에칭 처리 방법에 있어서의 홀의 비뚤어짐의 발생을 설명하기 위한 종단면도이다.
도 13은 제 1 실시 형태에 따른 에칭 처리 방법에 있어서의 고주파 전력의 인가의 변조를 설명하기 위한 도면으로서, (a)는 이온 인입용의 고주파 전력의 인가의 변조 상태를 나타내고, (b)는 이온 인입용의 고주파 전력의 ON, OFF를 반복할 때에 있어서의 이온 인입용의 고주파 전력의 파형을 나타낸다.
도 14는 홀의 영역에 있어서의 데포지션(deposition_의 부착 형태를 설명하기 위한 도면으로서, (a)는 고주파 전력의 연속 인가의 경우를 나타내고, (b)는 펄스파 형상 인가의 경우를 나타낸다.
도 15는 고주파 전력 계속 공급의 경우 및 펄스파 형상 인가의 경우의 처리실내에 있어서의 전자밀도의 변화 형태를 설명하기 위한 도이다.
도 16은 고주파 전력 계속 공급의 경우 및 펄스파 형상 인가의 경우의 처리실내에 있어서의 전자온도의 변화 형태를 설명하기 위한 도이다.
도 17은 래디컬의 부착 형태를 설명하기 위한 도면으로서, (a)는 고주파 전력 계속 공급의 경우를 나타내고, (b)는 펄스파 형상 전력 공급의 경우를 나타낸다.
도 18은 고주파 전력 계속 공급의 경우에, He 가스를 희가스로서 포함하는 혼합 가스를 이용했을 때의 래디컬의 부착 형태를 설명하기 위한 도면이다.
The objects and features of the present invention will become apparent from the following description of the preferred embodiments given together with the accompanying drawings.
BRIEF DESCRIPTION OF DRAWINGS FIG. 1 is a view schematically showing a configuration of a substrate processing apparatus for executing an etching processing method according to a first embodiment of the present invention; FIG.
FIG. 2 is a longitudinal sectional view of a hole formed in an oxide film by a conventional etching treatment method, wherein FIG. 2 (a) is a longitudinal cross-sectional view of the hole formed in the oxide film, (C) is a horizontal sectional view of the hole at a depth of 700 nm from the surface of the oxide film, (d) is a horizontal sectional view of the hole at a depth of 1500 nm from the surface of the oxide film, (F) is a vertical cross-sectional view of the mask film before forming holes in the oxide film, and (g) is a plan view of the holes formed in the mask film in FIG. 2 (f).
3 is a cross-sectional view schematically showing a structure of a part of a wafer to be processed by the etching treatment method according to the present embodiment.
4 is a process diagram showing an etching processing method according to the present embodiment.
Fig. 5 is a view for explaining the shape modification of the mask film in the etching method according to the present embodiment, wherein (a) is an enlarged vertical cross-sectional view of the vicinity of the hole of the mask film, (C) is a plan view showing a hole of the mask film after the shape modification.
Fig. 6 is a view for explaining the curing of the mask film in the etching treatment method according to the present embodiment, wherein (a) is a longitudinal sectional view showing an enlarged vicinity of the hole of the mask film, and Fig. 6 (b) (C) is a plan view showing holes of the mask film after curing.
FIG. 7 is a view for explaining the formation of holes in the SiO 2 film in the etching treatment method according to the present embodiment, wherein (a) is a view for explaining etching of the SiO 2 film, (b) Fig. 5 is a view for explaining the electrical neutralization of staying cations. Fig.
Fig. 8 is a view for explaining the high-frequency power for plasma generation and the high-frequency power for ion attraction, which are applied in the etching treatment method according to the present embodiment, and the current flowing in the vicinity of the surface of the wafer.
9 is a cross-sectional view schematically showing a part of a structure of a wafer processed by an etching treatment method according to a second embodiment of the present invention.
10 is a process chart showing an etching processing method according to the present embodiment.
FIG. 11A is a longitudinal sectional view showing an enlarged vicinity of a hole of a mask film, FIG. 11B is a cross-sectional view of the mask film before the shape modification, and FIG. (C) is a plan view showing a hole of the mask film after the shape modification.
12 is a longitudinal sectional view for explaining the occurrence of a skew of a hole in a conventional etching treatment method.
13A and 13B are diagrams for explaining modulation of application of high-frequency power in the etching treatment method according to the first embodiment, wherein FIG. 13A shows a modulation state of application of high- Frequency power for ion attraction when repeating ON / OFF of high-frequency power for ion attraction.
FIG. 14 is a view for explaining the deposition form of the deposition in the region of the hole, in which (a) shows the case of continuous application of high-frequency power, and (b) shows the case of application of the pulsed wave.
Fig. 15 is a diagram for explaining a change in the electron density in the processing chamber in the case of continuous supply of the high-frequency electric power and in the case of the pulsed wave shape.
Fig. 16 is a diagram for explaining a change in the electron temperature in the processing chamber in the case of continuous supply of the high frequency power and in the case of the pulsed wave shape.
Fig. 17 is a view for explaining the attachment form of radicals, in which (a) shows the case of continuous supply of high-frequency electric power, and Fig. 17 (b) shows the case of the pulsed wave type power supply.
Fig. 18 is a view for explaining the attachment form of the radical when a mixed gas containing He gas as a rare gas is used in the case of continuous supply of high-frequency electric power.

이하, 본 발명의 실시형태가 본 명세서의 일부를 이루는 첨부 도면을 참조하여 보다 상세하게 설명된다. 도면 전체에서 동일 또는 유사한 부분에는 동일한 부호를 붙이고 그것에 대한 중복하는 설명을 생략한다.DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0029] Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings, which form a part hereof. In the drawings, the same or similar parts are denoted by the same reference numerals, and redundant explanations thereof are omitted.

(제 1 실시형태)(First Embodiment)

우선, 본 발명의 제 1 실시 형태에 따른 에칭 처리 방법을 행하는 기판 처리 장치에 대해서 설명한다. First, a substrate processing apparatus for performing the etching processing method according to the first embodiment of the present invention will be described.

도 1은, 본 실시 형태에 따른 에칭 처리 방법을 실행하는 기판 처리 장치의 구성을 개략적으로 도시하는 도면이다. 본 기판 처리 장치는, 기판으로서의 반도체 디바이스용 웨이퍼(이하, 간단히 "웨이퍼"라고 함)에 플라즈마 에칭 처리를 실시한다. Fig. 1 is a view schematically showing a configuration of a substrate processing apparatus for executing an etching processing method according to the present embodiment. This substrate processing apparatus performs a plasma etching process on a wafer for a semiconductor device (hereinafter simply referred to as "wafer") as a substrate.

도 1에 있어서, 기판 처리 장치(10)는, 예를 들면, 직경이 300mm인 웨이퍼W를 수용하는 챔버(11)를 가지고, 해당 챔버(11) 내부에는 반도체 디바이스용의 웨이퍼W를 탑재하는 원기둥 형상의 서셉터(12)가 배치되어 있다. 기판 처리 장치(10)에서는, 챔버(11)의 내부 측벽과 서셉터(12)의 측면에 의해 측방 배기로(13)가 형성된다. 이 측방 배기로(13)의 도중에는 배기 플레이트(14)가 배치된다. 1, the substrate processing apparatus 10 has, for example, a chamber 11 for accommodating a wafer W having a diameter of 300 mm, and a cylinder 11 for mounting a wafer W for a semiconductor device Shaped susceptor 12 is disposed. In the substrate processing apparatus 10, the side exhaust path 13 is formed by the inner sidewall of the chamber 11 and the side surface of the susceptor 12. An exhaust plate 14 is disposed in the middle of the lateral exhaust passage 13.

배기 플레이트(14)는 다수의 관통 구멍을 가지는 판형상 부재이며, 챔버(11) 내부를 상부와 하부로 구분하는 구분판으로서 기능한다. 배기 플레이트(14)에 의해 구분된 챔버(11) 내부의 상부(이하, "처리실"이라고 함)(15)에는 후술하는 바와 같이 플라즈마가 발생한다. 또한, 챔버(11) 내부의 하부(이하, "배기실(매니폴드)"라고 함)(16)에는 챔버(11) 내부의 가스를 배출하는 배기관(17)이 접속된다. 배기 플레이트(14)는 처리실(15)에 발생하는 플라즈마를 포착 또는 반사해서 매니폴드(16)로의 누설을 방지한다. The exhaust plate 14 is a plate-shaped member having a plurality of through holes and functions as a partition plate for dividing the inside of the chamber 11 into an upper portion and a lower portion. Plasma is generated in an upper portion (hereinafter referred to as "processing chamber") 15 inside the chamber 11 divided by the exhaust plate 14 as described later. An exhaust pipe 17 for exhausting the gas inside the chamber 11 is connected to a lower portion 16 of the chamber 11 (hereinafter referred to as "exhaust chamber (manifold)"). The exhaust plate 14 captures or reflects plasma generated in the processing chamber 15 to prevent leakage to the manifold 16. [

배기관(17)에는 TMP(Turbo Molecular Pump) 및 DP(Dry Pump)(도시되지 않음)가 접속되고, 이들 펌프는 챔버(11) 내부를 진공 배기해서 압력을 내린다. 또, 챔버(11) 내부의 압력은 APC 밸브(도시하지 않음)에 의해 제어된다. Turbomolecular pump (TMP) and dry pump (DP) (not shown) are connected to the exhaust pipe 17, and these pumps evacuate the inside of the chamber 11 to reduce the pressure. In addition, the pressure inside the chamber 11 is controlled by an APC valve (not shown).

챔버(11) 내부의 서셉터(12)에는 제 1 고주파 전원(18)이 제 1 정합기(19)를 거쳐서 접속되고, 또한 제 2 고주파 전원(20)이 제 2 정합기(21)를 거쳐서 접속되어 있고, 제 1 고주파 전원(18)은 비교적 높은 주파수, 예를 들면, 40MHz의 플라즈마 생성용 고주파 전력(제 1 고주파 전력)을 서셉터(12)에 인가하고, 제 2 고주파 전원(20)은 비교적 낮은 주파수, 예를 들면, 2MHz의 이온 인입용의고주파 전력(제 2 고주파 전력)을 서셉터(12)에 인가한다. 이에 따라, 서셉터(12)는 전극으로서 기능한다. 또한, 제 1 정합기(19) 및 제 2 정합기(21)는, 서셉터(12)로부터의 고주파 전력의 반사를 저감해서 고주파 전력의 서셉터(12)로의 인가 효율을 최대로 한다. The first RF power supply 18 is connected to the susceptor 12 inside the chamber 11 via the first matching unit 19 and the second RF power supply 20 is connected to the susceptor 12 via the second matching unit 21 The first RF power supply 18 applies the RF power (first RF power) for plasma generation of a relatively high frequency, for example, 40 MHz, to the susceptor 12 and the second RF power supply 20, For example, a high frequency electric power (second high frequency electric power) for attracting ions of 2 MHz to the susceptor 12 at a relatively low frequency, for example. Thus, the susceptor 12 functions as an electrode. The first matching unit 19 and the second matching unit 21 reduce the reflection of the high frequency power from the susceptor 12 to maximize the application efficiency of the high frequency power to the susceptor 12.

서셉터(12)의 상부는, 큰 직경의 원기둥의 선단으로부터 그보다 작은 직경의 원기둥이 동심축을 따라 돌출되어 있는 형상을 갖고, 해당 상부에는 작은 직경의 원기둥 둘레에 단차가 형성된다. 작은 직경의 원기둥의 선단에는 정전 전극판(22)을 내부에 갖는 세라믹스로 이루어지는 정전척(23)이 배치되어 있다. 정전 전극판(22)에는 제 1 직류 전원(24)이 접속되고 있고, 정전 전극판(22)에 정전위의 직류 전력이 인가되면, 웨이퍼W에 있어서의 정전척(23)쪽의 면(이하, "이면"이라 함)에는 부전위가 발생해서 정전 전극판(22)과 웨이퍼W의 이면과의 사이에 전위차가 발생하여, 해당 전위차에 기인하는 쿨롬력 또는 죤슨·라벡력에 의해, 웨이퍼W는 정전척(23)에 흡착 유지된다. The upper portion of the susceptor 12 has a shape in which a cylinder having a diameter smaller than the tip of a cylinder of a large diameter protrudes along the concentric axis, and a step is formed around the cylinder with a small diameter at the upper portion. An electrostatic chuck 23 made of ceramics having an electrostatic electrode plate 22 inside is disposed at the tip of a column of small diameter. The first direct current power source 24 is connected to the electrostatic electrode plate 22. When direct current power is applied to the electrostatic electrode plate 22, the surface of the wafer W toward the electrostatic chuck 23 , A negative potential is generated in the surface of the wafer W and a potential difference is generated between the electrostatic electrode plate 22 and the back surface of the wafer W. The Coulomb force or the Johnson- Is attracted and held by the electrostatic chuck (23).

또한, 서셉터(12)의 상부에는, 정전척(23)에 흡착 유지된 웨이퍼W를 둘러싸도록 포커스링(25)이 서셉터(12) 상부의 단차에 탑재된다. 포커스링(25)은 Si으로 이루어진다. 즉, 포커스링(25)은 반도체로 이루어지기 때문에, 플라즈마의 분포 영역을 웨이퍼W의 위뿐만 아니라 해당 포커스링(25)의 위까지 확대해서 웨이퍼W의 주연부상에 있어서의 플라즈마의 밀도를 해당 웨이퍼W의 중앙부상에 있어서의 플라즈마의 밀도와 같은 정도로 유지한다. 이에 따라, 웨이퍼W의 전면에 실시되는 플라즈마 에칭 처리의 균일성을 확보한다. A focus ring 25 is mounted on the upper portion of the susceptor 12 so as to surround the wafer W attracted and held by the electrostatic chuck 23 on the susceptor 12. The focus ring 25 is made of Si. In other words, since the focus ring 25 is made of a semiconductor, the density distribution of the plasma on the periphery of the wafer W is enlarged not only on the wafer W but also above the focus ring 25, It is maintained at the same level as the density of the plasma at the center of W. Thus, uniformity of the plasma etching process performed on the entire surface of the wafer W is ensured.

챔버(11)의 천장부에는, 서셉터(12)와 대향하도록 샤워헤드(26)가 배치된다. 샤워헤드(26)는, 예를 들면, 실리콘으로 이루어지는 상부 전극판(27)과, 해당 상부 전극판(27)을 장착 및 분리 가능하게 매달아 유지하는 냉각판(28)과, 해당 냉각판(28)을 덮는 덮개(29)를 가진다. 상부 전극판(27)은 두께 방향으로 관통하는 다수의 가스 구멍(30)을 가지는 원판형 부재로 이루어지고, 반도체인 Si에 의해 구성된다. 또한, 냉각판(28)의 내부에는 버퍼실(31)이 마련되고, 이 버퍼실(31)에는 처리 가스 도입관(32)이 접속되어 있고, 처리 가스 도입관(32)은 처리 가스 공급 장치(도시하지 않음)에 접속되어 있다. On the ceiling portion of the chamber 11, a shower head 26 is disposed so as to face the susceptor 12. The shower head 26 includes an upper electrode plate 27 made of, for example, silicon, a cooling plate 28 for holding and holding the upper electrode plate 27 in a mountable and detachable manner, (Not shown). The upper electrode plate 27 is a disk-shaped member having a plurality of gas holes 30 penetrating in the thickness direction, and is made of Si, which is a semiconductor. A buffer chamber 31 is provided in the cooling plate 28. A process gas introduction pipe 32 is connected to the buffer chamber 31. A process gas introduction pipe 32 is connected to the process gas supply device 32, (Not shown).

처리 가스 공급 장치는, 예를 들면, 각종 가스의 유량비를 적절히 조정해서 혼합 가스를 생성하고, 해당 혼합 가스를 처리 가스 도입관(32), 버퍼실(31) 및 가스 구멍(30)을 거쳐서 처리실(15) 내부에 도입한다. The process gas supply device generates a mixed gas by appropriately adjusting the flow rate ratio of various gases and supplies the mixed gas to the processing chamber through the process gas inlet pipe 32, the buffer chamber 31, and the gas hole 30, (15).

또한, 샤워헤드(26)의 상부 전극판(27)에는 제 2 직류 전원(33)이 접속되어, 상부 전극판(27)에 부전위의 직류 전력을 인가한다. 이 때, 상부 전극판(27)에는 양이온이 주입되고, 이에 따라, 상부 전극판(27)은 (2차)전자를 방출해서 처리실(15) 내부의 플라즈마에 있어서의 전자 밀도 분포를 개선한다. A second DC power supply 33 is connected to the upper electrode plate 27 of the shower head 26 to apply DC power to the upper electrode plate 27 at a negative potential. At this time, positive ions are injected into the upper electrode plate 27, so that the upper electrode plate 27 emits (secondary) electrons and improves the distribution of the electron density in the plasma inside the treatment chamber 15. [

기판 처리 장치(10)에서는, 처리실(15) 내부에 도입된 처리 가스가 제 1 고주파 전원(18)로부터 서셉터(12)를 거쳐서 처리실(15) 내부에 인가된 플라즈마 생성용의 고주파 전력에 의해 여기되어서 플라즈마가 된다. 해당 플라즈마중의 양이온은, 제 2 고주파 전원(20)이 서셉터(12)에 인가하는 이온 인입용 고주파 전력에 의해 웨이퍼W를 향해서 끌어당겨지고, 해당 웨이퍼W에 플라즈마 에칭 처리를 실시한다. In the substrate processing apparatus 10, the processing gas introduced into the processing chamber 15 is supplied from the first RF power supply 18 to the processing chamber 15 via the susceptor 12 by high-frequency power for plasma generation It becomes excited and becomes a plasma. The positive ions in the plasma are attracted toward the wafer W by the high frequency power for ion attraction applied to the susceptor 12 by the second high frequency power source 20, and the wafer W is subjected to the plasma etching treatment.

그런데, 상술한 바와 같이,예를 들면, 애스펙트비가 30 이상인 홀을 플라즈마 에칭 처리에 의해 형성할 경우, 상술한 특허문헌 1에 관련되는 수법을 이용하여도 홀이 비뚤어진다. However, as described above, for example, when a hole having an aspect ratio of 30 or more is formed by plasma etching, the hole is also skewed by using the technique described in the above-mentioned Patent Document 1.

따라서, 본 발명자는, 도 2의 (a)에 나타내는 것과 같은, 종래의 에칭 처리 방법에 의해 삐뚤어진 홀(34)에 있어서의,산화막(35)의 표면으로부터의 깊이가 각각 300㎚(애스펙트비가 4에 상당), 700㎚(애스펙트비가 9에 상당), 1500㎚(애스펙트비가 20에 상당) 및 2300㎚(애스펙트비가 30에 상당)안 각 수평단면(36a∼36d)을 관찰한 바, 도 2의 (b)∼(e)에 도시하는 바와 같이, 홀(34)은 바닥부 근방에서 비뚤어져 있을뿐 아니라, 비교적 얕은 부분에 있어서도 비뚤어져 있고, 또한 각 수평단면(36a∼36d)에 있어서의 비뚤어짐의 경향이 동일한 것을 확인했다. Therefore, the present inventor has found that the depth of the oxide film 35 from the surface of the hole 34, which is distorted by the conventional etching treatment method as shown in Fig. 2A, is 300 nm (the aspect ratio is 4 (Corresponding to the aspect ratio of 9), 1500 nm (corresponding to the aspect ratio of 20), and 2300 nm (corresponding to the aspect ratio of 30) of the horizontal cross sections 36a to 36d were observed. the holes 34 are not only skewed in the vicinity of the bottom portion but also in a relatively shallow portion as shown in Figs. 5B to 5E, and the skewness of the horizontal portions 36a to 36d And confirmed that the trends were the same.

또한, 본 발명자는, 도 2의 (f)에 나타내는 것과 같이, 홀(34)의 형성 전에 있어서의 산화막(35)상의 마스크막(37)에 있어서의 홀(38)의 형상을 확인한 바, 도 2의 (g)에 도시하는 바와 같이, 홀(38)은 평면에서 보아 비뚤어져 있고, 해당 비뚤어짐의 경향은 각 수평단면(36a∼36d)에 있어서의 비뚤어짐의 경향과 같은 것을 확인했다. 2 (f), the inventors of the present invention confirmed that the shape of the hole 38 in the mask film 37 on the oxide film 35 before the formation of the hole 34 is as shown in FIG. 2 (f) As shown in (g) of FIG. 2, the holes 38 are seen to be skewed in plan view, and the tendency of the skewing is the same as the tendency of skewing in the horizontal sections 36a to 36d.

이러한 확인된 사실을 숙고한 결과, 본 발명자는, 홀(34)이 비뚤어지는 주 원인은 홀(38)의 형상 불량이며, 플라즈마 에칭 처리에 의해 산화막(35)에 홀(34)을 형성할 때, 마스크막(37)의 홀(38)의 비뚤어짐이 홀(34)에 반영된다는 지견을 얻기에 이르렀다. As a result of contemplating this fact, the inventor of the present invention has found that the hole 34 is distorted in shape due to the defective shape of the hole 38, and when the hole 34 is formed in the oxide film 35 by the plasma etching treatment , And the distortion of the hole 38 of the mask film 37 is reflected in the hole 34. [

본 실시 형태에 따른 에칭 처리 방법에서는, 이러한 지견에 근거하여, 산화막에 홀을 형성하기 전에, 마스크막에 있어서의 홀의 비뚤어짐을 해소시킨다. In the etching treatment method according to the present embodiment, on the basis of such knowledge, the hole is not warped in the mask film before the hole is formed in the oxide film.

이하, 본 실시 형태에 따른 에칭 처리 방법에 대해서 상세히 설명한다. Hereinafter, the etching treatment method according to the present embodiment will be described in detail.

도 3은 본 실시 형태에 따른 에칭 처리 방법에 의해 처리되는 웨이퍼의 일부의 구조를 개략적으로 나타내는 단면도이다. 3 is a cross-sectional view schematically showing a structure of a part of a wafer to be processed by the etching treatment method according to the present embodiment.

도 3에 있어서, 웨이퍼W는, 베이스부가 되는 실리콘부(39)와, 해당 실리콘부(39) 상에 형성된,예를 들면, 두께가 2600㎚인 SiO2막(40)(에칭 대상막)과, 해당 SiO2막(40) 상에 형성된,예를 들면, 두께가 900㎚인 카본막(41)과, 해당 카본막(41) 상에 형성된 SiON막(42)과, 해당 SiON막(42)상에 형성된 BARC막(반사 방지 막)(43)과, 해당 BARC막(43) 상에 형성되고 또한 BARC막(43)을 노출시키는 홀(44)(패턴)을 가지는 포토 레지스트 막(45)를 구비한다. 3, the wafer W includes a silicon portion 39 as a base, an SiO 2 film 40 (etching target film) formed on the silicon portion 39, for example, having a thickness of 2600 nm, A carbon film 41 having a thickness of 900 nm formed on the SiO 2 film 40 and a SiON film 42 formed on the carbon film 41 and the SiON film 42, A photoresist film 45 having a hole 44 (pattern) formed on the BARC film 43 and a BARC film 43 formed on the BARC film 43 (antireflection film) Respectively.

도 4은 본 실시 형태에 따른 에칭 처리 방법을 나타내는 공정도이다. 4 is a process diagram showing an etching processing method according to the present embodiment.

우선, 도 4의 (a)에 도시된 바와 같은 구조를 갖는 웨이퍼W를 챔버(11) 내부의 서셉터(12)에 탑재해서 정전척(23)에 흡착 유지시킨다 . First, a wafer W having a structure as shown in FIG. 4A is mounted on the susceptor 12 in the chamber 11 and held on the electrostatic chuck 23 by suction.

계속해서, 챔버(11) 내부를 배기관(17)에 의해 압력을 줄이고, 해당 내부의 압력을 APC 밸브에 의해, 예를 들면, 15mTorr(1.96Pa)로 설정하고, 유량이, 예를 들면, 300sccm의 CO 가스와, 유량이, 예를 들면, 300sccm의 Ar(아르곤) 가스의 혼합 가스를 샤워 헤드(26)로부터 처리실(15) 내부에 도입하고, 상부 전극판(27)에 직류 전력을 인가하지 않고, 처리실(15) 내부에, 예를 들면, 200W의 플라즈마 생성용 고주파 전력을 인가하고, 또한 서셉터(12)에, 예를 들면, 300W의 이온 인입용 고주파 전력을 인가한다(패턴 형상 개량 스텝). Subsequently, the pressure inside the chamber 11 is reduced by the exhaust pipe 17 and the pressure inside the chamber 11 is set to 15 mTorr (1.96 Pa), for example, by the APC valve, and the flow rate is set to 300 sccm A mixed gas of a CO gas and a flow rate of, for example, 300 sccm of Ar (argon) gas is introduced into the processing chamber 15 from the showerhead 26 and DC power is not applied to the upper electrode plate 27 For example, 200 W is applied to the inside of the treatment chamber 15 and 300 W of high-frequency power for ion attraction is applied to the susceptor 12 step).

이 때, 도 5의 (a)에 도시하는 바와 같이, 플라즈마 생성용의 고주파 전력에 의해 혼합 가스가 여기 되어 플라즈마가 발생하는 동시에, 이온 인입용 고주파 전력에 기인해서 웨이퍼W의 표면상에 시스(sheath)(46)가 형성된다. 시스는 플라즈마중의 전자 및 양이온의 웨이퍼로의 도달 속도의 차이로부터 발생하는 플라즈마 입자 밀도, 특히, 전자 밀도가 낮은 영역으로서, 양이온을 웨이퍼를 향해 가속하는 동시에, 전자의 웨이퍼로의 진행을 저지한다. At this time, as shown in Fig. 5 (a), the mixed gas is excited by the high-frequency power for plasma generation to generate plasma, and at the same time, the plasma is generated on the surface of the wafer W due to the high- sheath 46 is formed. The sheath accelerates positive ions toward the wafer and inhibits the electrons from advancing toward the wafer as a plasma particle density, particularly a low electron density region, generated from the difference in the rate of electrons and cations in the plasma reaching the wafer .

여기서, 이온 인입용 고주파 전력의 출력값이 비교적 낮으므로, 생성되는 시스(46)는 얇고, 플라즈마중의 양이온(47)을 그다지 가속시키지 않는다. 따라서, 각 양이온(47)은 포토 레지스트 막(45)을 약하게 스퍼터(sputter)한다. 이 때, 홀(44)의 비뚤어짐의 대부분을 구성하는 홀(44)의 하부(44a)나 돌출형상부(44b)가 우선적으로 스퍼터되어 제거된다. 또한, 플라즈마중의 래디컬도 하부(44a)나 돌출형상부(44b)와 우선적으로 화학반응해서 이들을 제거한다. 그 결과, 도 5의 (b)에 나타내는 것과 같은 삐뚤어진 홀(44)의 형상이 개량되어, 도 5의 (c)에 나타내는 것과 같은 둥근 원형상에 접근한다. Here, since the output value of the high-frequency power for ion attraction is relatively low, the generated sheath 46 is thin and does not accelerate the positive ions 47 in the plasma so much. Thus, each cation 47 sputteringly weakens the photoresist film 45. At this time, the lower portion 44a and the protruding portion 44b of the hole 44 constituting the majority of the distortion of the hole 44 are preferentially sputtered and removed. In addition, the radicals in the plasma preferentially chemically react with the lower portion 44a and the protruding portion 44b to remove them. As a result, the shape of the crooked hole 44 as shown in Fig. 5 (b) is improved to approach a round circular shape as shown in Fig. 5 (c).

상술한 홀(44)의 형상 개량시, 혼합 가스에 상술한 CO 가스가 아니라, 예를 들면, O2 가스, CO2 가스, H2/N2 가스, NH3 가스중 어느 하나를 혼합해도 좋고, 또한, 필요에 따라서 O2 가스를 더욱 첨가해도 좋다. When the shape of the hole 44 is modified, any one of O 2 gas, CO 2 gas, H 2 / N 2 gas, and NH 3 gas may be mixed in the mixed gas, instead of the above- , And an O 2 gas may be further added as needed.

또한, 챔버(11) 내부의 압력, 인가되는 플라즈마 생성용 고주파 전력 및 이온 인입용 고주파 전력의 출력값, 혼합 가스의 유량도 필요에 따라 변경해도 좋다. 예를 들면, 상술한 혼합 가스 대신에, 유량이, 예를 들면, 5sccm인 O2 가스와, 유량이, 예를 들면, 10sccm인 COS 가스와, 유량이, 예를 들면, 300sccm인 Ar 가스의 혼합 가스를 처리실(15) 내부에 도입해도 좋다. The pressure inside the chamber 11, the applied high-frequency power for plasma generation and the output value of high-frequency power for ion attraction and the flow rate of the mixed gas may be changed as necessary. For example, instead of the above-mentioned mixed gas, an O 2 gas having a flow rate of, for example, 5 sccm, a COS gas having a flow rate of, for example, 10 sccm, and an Ar gas having a flow rate of, for example, The mixed gas may be introduced into the processing chamber 15.

또한, 필요에 따라서 상부 전극판(27)에 직류 전력을 인가해도 좋다. 이 경우, 처리실(15) 내부의 플라즈마에 있어서의 전자 밀도 분포가 개선되어, 홀(44)의 형상 개량을 웨이퍼W의 전 표면에 걸쳐서 거의 균일하게 실행할 수 있다. Further, DC power may be applied to the upper electrode plate 27 as required. In this case, the electron density distribution in the plasma in the processing chamber 15 is improved, and the shape of the hole 44 can be improved substantially uniformly over the entire surface of the wafer W.

상술한 홀(44)의 형상 개량시, 확실하게 홀(44)의 형상을 개량하기 위해서, 홀(44)의 직경이 소망하는 직경보다도 커질 때까지 포토 레지스트 막(45)이 에칭 된다. 그에 따라, 포토 레지스트 막(45)의 막두께도 얇아지기 때문에, SiO2막(40)에 있어서 플라즈마의 에칭에 의해 후술의 홀(51)을 형성할 때, 홀(51)의 깊이가 소망값에 도달하기 전에 포토 레지스트 막(45)이 소모되어 없어질 우려가 있다. The photoresist film 45 is etched until the diameter of the hole 44 becomes larger than the desired diameter in order to reliably improve the shape of the hole 44 at the time of improving the shape of the hole 44 described above. The thickness of the photoresist film 45 is also thinner so that the depth of the hole 51 is set to a desired value when the hole 51 described later is formed by etching the plasma in the SiO 2 film 40. Therefore, There is a fear that the photoresist film 45 is consumed before reaching the photoresist film.

본 실시 형태에 따른 에칭 처리 방법에서는, 이에 대응해서, 홀(44)의 형상 개량후 SiO2막(40)에 있어서 홀(51)을 형성하기 전에, 포토 레지스트 막(45), BARC막(43), SiON막(42) 및 카본막(41)을 경화시킨다. 예를 들면, 도 4의 (b)에 도시하는 바와 같이 포토 레지스트 막(45) 및 노출된 BARC막의 표면에 경화층(48)을 형성한다. The photoresist film 45 and the BARC film 43 are formed before the holes 51 are formed in the SiO 2 film 40 after the shape of the holes 44 is improved, ), The SiON film 42 and the carbon film 41 are cured. For example, as shown in FIG. 4 (b), a photoresist film 45 and a cured layer 48 are formed on the surface of the exposed BARC film.

여기서는, 홀(44)의 형상을 개량한 후, 챔버(11) 내부의 압력을 APC 밸브에 의해, 예를 들면, 50mTorr(6.67Pa)로 설정하고, 유량이, 예를 들면, 100sccm인 H2 가스와, 유량이, 예를 들면, 40sccm인 CF4 가스와, 유량이, 예를 들면, 800sccm인 Ar 가스의 혼합 가스를 처리실(15) 내부에 도입하고, 상부 전극판(27)에, 예를 들면, -900V의 직류 전력을 인가하고, 처리실(15) 내부에, 예를 들면, 300W의 플라즈마 생성용 고주파 전력을 인가하는 한편, 서셉터(12)에는 이온 인입용 고주파 전력을 인가하지 않는다(마스크막 경화 스텝). In this case, the by then improved the shape of the hole 44, the chamber 11, the pressure inside the APC valve, for example, set to 50mTorr (6.67Pa), the flow rate is, for example, the H 2 100sccm A mixed gas of CF 4 gas having a flow rate of, for example, 40 sccm and Ar gas having a flow rate of, for example, 800 sccm is introduced into the processing chamber 15, For example, DC power of -900 V is applied, and RF power for plasma generation of, for example, 300 W is applied to the interior of the processing chamber 15, while high frequency electric power for attracting ions is not applied to the susceptor 12 (Mask film hardening step).

이 때, 도 6의 (a)에 도시하는 바와 같이, 혼합 가스로부터 플라즈마가 발생할 뿐만 아니라, 상부 전극판(27)은 전자(49)를 방출해서 처리실(15) 내부의 전자밀도가 높아진다. 또한, 플라즈마 생성용 고주파 전력에 기인해서 웨이퍼W에 셀프 바이어스 전압이 발생하고, 해당 셀프 바이어스 전압에 기인해서 웨이퍼W의 표면상 시스(50)가 생성된다. 이 시스(50)은 극히 얇고, 전자(49)의 웨이퍼W로의 진행을 거의 방해하지 않는다. 따라서, 처리실(15) 내부의 전자(49)가 포토 레지스트 막(45)이나 홀(44)에있어서 노출하는 BARC막(43)에 도달해서 접촉한다. 일반적으로 유기계의 막은 전자와 접촉하면 경화하므로, 포토 레지스트 막(45)이나 BARC막(43)의 표면에 경화층(48)이 형성된다. 또한, 전자(49)는 포토 레지스트 막(45)과 접촉할 뿐만 아니라, 포토 레지스트 막(45)이나 그 아래에 형성된 BARC막(43), SiON막(42) 및 카본막(41)까지 도프(dope) 되어, 이들의 막을 경화한다. At this time, as shown in FIG. 6A, plasma is generated from the mixed gas, and the upper electrode plate 27 emits electrons 49 to increase the electron density inside the processing chamber 15. Further, a self-bias voltage is generated in the wafer W due to the high-frequency power for plasma generation, and a sheath 50 is generated on the surface of the wafer W due to the self-bias voltage. The sheath 50 is extremely thin and hardly interferes with the progress of the electrons 49 toward the wafer W. Electrons 49 inside the processing chamber 15 reach and contact the BARC film 43 exposed in the photoresist film 45 or the hole 44. [ Generally, the organic film is cured when it comes into contact with electrons, so that the cured layer 48 is formed on the surface of the photoresist film 45 or the BARC film 43. The electrons 49 not only come into contact with the photoresist film 45 but also come into contact with the photoresist film 45 and the BARC film 43, SiON film 42, and these films are cured.

또한, CF4 가스는 증착성의 가스이기 때문에, CF4 가스의 플라즈마는 포토 레지스트 막(45)과의 반응에서 데포지션(deposition)을 생성하고, 해당 데포지션은 포토 레지스트 막(45)나 BARC막(43)의 표면, 특히, 홀(44)의 내부 표면에 부착된다. 이에 따라, 도 6의 (b)에 나타내는 것 같이 직경이 커진 홀(44)을, 도 6의 (c)에 나타내는 것 같이 소망하는 직경을 가지는 홀(44)로 복구할 수 있다. Since the CF 4 gas is a vapor-deposition gas, the plasma of the CF 4 gas generates deposition in the reaction with the photoresist film 45, and the deposition is carried out by the photoresist film 45, the BARC film In particular on the inner surface of the hole 44, Thus, the hole 44 having a larger diameter as shown in Fig. 6 (b) can be restored to the hole 44 having a desired diameter as shown in Fig. 6 (c).

상술한 포토 레지스트 막(45) 등의 경화시, 상술한 H2 가스, CF4 가스 및 Ar 가스의 혼합 가스가 아니라, 예를 들면, H2 가스 및 Ar 가스의 혼합 가스, H2 가스, COS 가스 및 Ar 가스의 혼합 가스나 COS 가스, CF4 가스 및 Ar 가스의 혼합 가스를 이용하여도 좋다. When cured, such as the above-described photoresist film 45, the above-described H 2 gas, CF 4 gas, and as a mixed gas of Ar gas, for example, H 2 gas and mixed gas of Ar gas, H 2 gas, COS A mixed gas of gas and Ar gas, a mixed gas of COS gas, CF 4 gas and Ar gas may be used.

또한, 챔버(11) 내부의 압력, 인가되는 직류 전력 및 플라즈마 생성용의 고주파 전력의 출력값, 혼합 가스의 유량도 필요에 따라 변경해도 좋고, 예를 들면, -900V 이하의 직류 전력을 상부 전극판(27)에 인가해도 좋다. 이 경우, 상부 전극판(27)으로부터 방출되는 전자의 양을 늘릴 수 있는 동시에, 웨이퍼W와 상부 전극판(27)의 전위차의 절대값을 소정값 이상 확보할 수 있다. 그 결과, 포토 레지스트 막(45)이나 BARC막(43)에 도달해서 접촉하는 전자의 수를 증가시킬 수 있다. Also, the pressure inside the chamber 11, the direct current power applied, the output value of the high frequency power for plasma generation, and the flow rate of the mixed gas may be changed as needed. For example, direct current power of -900 V or less may be applied to the upper electrode plate (27). In this case, the amount of electrons emitted from the upper electrode plate 27 can be increased and the absolute value of the potential difference between the wafer W and the upper electrode plate 27 can be secured to a predetermined value or more. As a result, it is possible to increase the number of electrons reaching and contacting the photoresist film 45 or the BARC film 43.

또, 본 실시 형태에 따른 에칭 처리 방법에서는, 상술한 홀(44)의 형상 개량 및 포토 레지스트 막(45) 등의 경화는 각각 1회씩 실행된다. In the etching treatment method according to the present embodiment, the shape of the holes 44 and the hardening of the photoresist film 45 are performed once each.

계속해서, 포토 레지스트 막(45) 등이 경화된 후, 도 4의 (c)에 도시하는 바와 같이 SiO2막(40)에 있어서 플라즈마의 에칭에 의해 후술의 홀(51)을 형성한다. Subsequently, after the photoresist film 45 or the like is cured, a hole 51 described later is formed by plasma etching in the SiO 2 film 40 as shown in FIG. 4C.

여기서는, 포토 레지스트 막(45) 등이 경화된 후, 챔버(11) 내부의 압력을 APC 밸브에 의해, 예를 들면, 30mTorr(4.00 Pa)로 설정하고, 유량이, 예를 들면, 32sccm인 C4F6 가스와, 유량이, 예를 들면, 16sccm인 C4F8 가스와, 유량이, 예를 들면, 24sccm인 CF4 가스와, 유량이, 예를 들면, 600sccm인 Ar 가스와, 유량이, 예를 들면, 36sccm인 O2 가스의 혼합 가스를 처리실(15) 내부에 도입하고, 상부 전극판(27)에, 예를 들면, -300V의 직류 전력을 인가하고, 처리실(15) 내부에, 예를 들면, 2200W의 플라즈마 생성용의 고주파 전력을 인가하고, 서셉터(12)에, 예를 들면, 7800W의 이온 인입용 고주파 전력을 인가한다(대상막 에칭 스텝). Here, after the photoresist film 45 or the like is cured, the pressure inside the chamber 11 is set to, for example, 30 mTorr (4.00 Pa) by the APC valve, and the flow rate is, for example, 32 sccm 4 F 6 gas, the flow rate is, for example, 16sccm of C 4 F 8 gas, a flow rate, for example, is with 24sccm of CF 4 gas flow rate, for example, and 600sccm of Ar gas flow rate this, for example, internal to the 36sccm O 2 gas mixture introduces the gas inside the treatment chamber 15, and the upper electrode plate 27 of, for example, is applied, and the treatment chamber 15 the direct-current power of -300V For example, 2200 W is applied to the susceptor 12 and a high frequency electric power for ion attraction of, for example, 7800 W is applied to the susceptor 12 (target film etching step).

이 때, 도 7의 (a)에 도시하는 바와 같이, 혼합 가스로부터 플라즈마가 발생하고, 상부 전극판(27)로부터 전자(53)가 방출되지만, 고출력의 이온 인입용 고주파 전력에 기인해서 웨이퍼W에 셀프 바이어스 전압이 발생하고, 해당 셀프 바이어스 전압에 기인해서 웨이퍼W의 표면상에 시스(52)가 발생한다. 이 시스(52)는 극히 두껍고, 전자(53)의 웨이퍼W로의 진행을 거의 저지하는 한편, 플라즈마중의 양이온(54)을 크게 가속한다. 따라서, 각 양이온(54)은 홀(44)의 바닥부를 강하게 스퍼터하고, 특히, 홀(44) 내부에 있어서 BARC막(43), SiON막(42), 카본막(41)을 에칭하고, 이윽고 노출한 SiO2막(40)을 에칭한다. 7 (a), plasma is generated from the mixed gas and electrons 53 are emitted from the upper electrode plate 27. However, due to the high-power ion-attracting high-frequency power, the wafer W A self bias voltage is generated and a sheath 52 is generated on the surface of the wafer W due to the self bias voltage. The sheath 52 is extremely thick, hardly advancing the electrons 53 toward the wafer W, and accelerates the positive ions 54 in the plasma to a large extent. The positive ions 54 strongly sputter the bottom of the hole 44 and particularly the BARC film 43, the SiON film 42 and the carbon film 41 are etched in the hole 44, The exposed SiO 2 film 40 is etched.

상술한 SiO2막(40)의 에칭시, 상술한 C4F6 가스, C4F8 가스, CF4 가스, Ar 가스 및 O2 가스의 혼합 가스가 아니라, 예를 들면, C4F6 가스, Ar 가스 및 O2 가스의 혼합가스, C4F8 가스, Ar 가스 및 O2 가스의 혼합 가스나 C4F6 가스, C4F8 가스, Ar 가스 및 O2 가스의 혼합 가스를 이용하여도 좋고, 또한, 필요에 따라서 CF4 가스, C3F8 가스나 COS 가스를 첨가해도 좋다. The above-mentioned SiO 2 during the etching of the film 40, the above-mentioned C 4 F 6 gas, C 4 F 8 gas, CF 4 gas as a mixed gas of Ar gas and O 2 gas, for example, C 4 F 6 A mixed gas of gas, Ar gas and O 2 gas, a mixed gas of C 4 F 8 gas, Ar gas and O 2 gas, C 4 F 6 gas, C 4 F 8 gas, Ar gas and O 2 gas And CF 4 gas, C 3 F 8 gas or COS gas may be added as needed.

또한, 챔버(11) 내부의 압력, 인가되는 직류 전력의 출력값, 플라즈마 생성용 고주파 전력 및 이온 인입용 고주파 전력의 출력값, 혼합 가스의 유량도 필요에 따라 변경해도 좋다. 예를 들면, 챔버(11) 내부의 압력을, 예를 들면, 20mTorr(2.67 Pa)로 설정하고, 유량이, 예를 들면, 50sccm인 C4F6 가스와, 유량이, 예를 들면, 20sccm인 C4F8 가스와, 유량이, 예를 들면, 200sccm인 Ar 가스와, 유량이, 예를 들면, 55sccm인 O2 가스의 혼합 가스를 처리실(15) 내부에 도입하고, 상부 전극판(27)에, 예를 들면, -300V의 직류 전력을 인가하고, 처리실(15) 내부에, 예를 들면, 1000W의 플라즈마 생성용 고주파 전력을 인가하고, 서셉터(12)에, 예를 들면, 7800W의 이온 인입용 고주파 전력을 인가해도 좋다. The pressure inside the chamber 11, the output value of the applied direct current power, the high frequency power for plasma generation, the output value of the high frequency power for ion attraction, and the flow rate of the mixed gas may also be changed as required. For example, the pressure inside the chamber 11 is set to, for example, 20 mTorr (2.67 Pa), and the C 4 F 6 gas having a flow rate of, for example, 50 sccm and the flow rate of, for example, 20 sccm the C 4 F a and 8 gas, a flow rate, for example, and 200sccm of Ar gas, the flow rate is, for example, introducing a mixed gas of 55sccm of O 2 gas into the processing chamber 15, and the top electrode plate ( A DC power of, for example, -300 V is applied to the susceptor 12, and the plasma generating high-frequency power of, for example, 1000 W is applied to the inside of the processing chamber 15, The high frequency power for ion attraction of 7800 W may be applied.

여기서, 각 양이온(54)은 포토 레지스트 막(45)도 강하게 스퍼터하지만, 포토 레지스트 막(45)은 경화되어 있으므로 즉시는 소모되지 않고, 또한, 포토 레지스트 막(45)이 소모해도, 포토 레지스트 막(45) 밑에 형성된 BARC막(43), SiON막(42) 및 카본막(41)도 경화되어 있으므로, 이들의 막도 즉시 소모하지는 않는다. 이에 따라, SiO2막(40)에 관한 포토 레지스트 막(45) 등의 선택비가 유지되어서 포토 레지스트 막(45) 등은 소정의 기간에 걸쳐, 마스크막으로서의 기능을 유지할 수 있다. 그 결과, SiO2막(40)에 있어서 홀(44)에 대응한 장소에 홀(51)이 형성된다. Although the positive cations 54 strongly sputter the photoresist film 45, the photoresist film 45 is not immediately consumed because the photoresist film 45 is cured, and even if the photoresist film 45 is consumed, The BARC film 43, the SiON film 42, and the carbon film 41 formed under the substrate 45 are also hardened, so that these films are not immediately consumed. As a result, the selectivity of the photoresist film 45 and the like with respect to the SiO 2 film 40 is maintained, and the photoresist film 45 and the like can maintain the function of the mask film for a predetermined period of time. As a result, a hole 51 is formed in the SiO 2 film 40 at a position corresponding to the hole 44.

여기서, SiO2막(40)이 에칭되어서 홀(51)의 깊이가 커지면, 시스(52)에 의해 가속되어서 홀(51)에 진입한 양이온(54)이 홀(51)의 바닥부에 체류한다. 본 실시 형태에 따른 에칭 처리 방법에서는, 체류하는 양이온(54)을 전기적으로 중화하기 위해서, 전자(53)을 홀(51)의 바닥부에 적극적으로 도입한다. 구체적으로는, 이온 인입용 고주파 전력 및 플라즈마 생성용 고주파 전력을 펄스파 형상으로 인가한다(대상막 에칭 스텝). 보다 구체적으로는, 이온 인입용 고주파 전력과 플라즈마 생성용 고주파 전력이 함께 인가되는 제 1 기간과, 이온 인입용 고주파 전력과 플라즈마 생성용 고주파 전력이 함께 인가되지 않는 제 2 기간이 소정의 주기로 교대로 반복되도록 제어한다. 바꾸어 말하면, 제 1 고주파 전원(18)으로부터의 플라즈마 생성용 고주파 전력을 변조시켜서 서셉터(12)에 인가하는 동시에, 제 2 고주파 전원(20)으로부터의 이온 인입용 고주파 전력을, 플라즈마 생성용 고주파 전력의 변조와 같은 타이밍으로 변조시켜서 서셉터(12)에 인가한다. 인가의 변조의 전형적인 예로서는, 도 13의 (a)에 나타내는 것과 같은 펄스 형상의 변조가 해당한다. 또, 도 13의 (a)에서는 대표적으로 이온 인입용 고주파 전력의 인가의 변조 상태를 나타내고 있다. 도 13의 (a)에서는 이온 인입용 고주파 전력이 인가되는 기간이 기간A이며, 이온 인입용 고주파 전력이 인가되지 않는 기간이 기간B이다. 이 전형예에서는, 이온 인입용 고주파 전력의 ON 및 OFF를 반복한다. 이 경우에 있어서의 이온 인입용 고주파 전력의 파형은 도 13의 (b)에 나타내게 된다. Here, when the SiO 2 film 40 is etched to increase the depth of the hole 51, the positive ions 54 accelerated by the sheath 52 and entering the hole 51 stay in the bottom of the hole 51 . In the etching treatment method according to the present embodiment, the electrons 53 are positively introduced into the bottom portion of the hole 51 in order to electrically neutralize the retained positive ions. Specifically, high-frequency power for ion attraction and high-frequency power for plasma generation are applied in the form of a pulse wave (target film etching step). More specifically, a first period in which the high-frequency power for ion attraction and a high-frequency power for plasma generation are applied together and a second period in which the high-frequency power for ion attraction and the high-frequency power for plasma generation are not applied together are alternately To be repeated. In other words, the high-frequency power for generating plasma from the first high-frequency power supply 18 is modulated and applied to the susceptor 12, and the high-frequency power for attracting ions from the second high- Modulated at the same timing as the modulation of the power, and applied to the susceptor 12. As a typical example of the modulation of the application, the modulation of the pulse shape as shown in Fig. 13A is applied. 13 (a) shows a modulation state of the application of the high-frequency power for ion attraction. 13A, a period A during which the high-frequency power for ion attraction is applied is a period A, and a period B during which the high-frequency power for ion attraction is not applied. In this exemplary embodiment, ON and OFF of the high-frequency power for ion attraction are repeated. The waveform of the high-frequency power for ion attraction in this case is shown in Fig. 13 (b).

도 8은 플라즈마 생성용 고주파 전력, 이온 인입용 고주파 전력 및 웨이퍼의 표면 근방을 흐르는 전류의 관계를 도시하는 도면이다. 도 8에 있어서, 가로축은 시간을 나타내고, 세로축은 전력값 또는 전류치를 나타낸다. 8 is a diagram showing a relationship between a high frequency power for plasma generation, a high frequency power for ion attraction, and a current flowing in the vicinity of the surface of the wafer. 8, the horizontal axis represents time, and the vertical axis represents a power value or a current value.

도 8에서는, 플라즈마 생성용 고주파 전력(55)과, 이온 인입용 고주파 전력(56)이 동기해서 펄스파 형상으로 인가될 때, 이온 인입용 고주파 전력(56) 및 플라즈마 생성용 고주파 전력(55)의 출력값이 0이 되고, 이온 인입용 고주파 전력(56) 및 플라즈마 생성용 고주파 전력(55)이 인가되지 않는 상태가 적극적으로 형성되어 있다. 8, when the plasma generating high frequency electric power 55 and the ion attracting high frequency electric power 56 are synchronously applied in the form of a pulse wave, the ion attracting high frequency electric power 56 and the plasma generating high frequency electric power 55 The high frequency power 56 for ion attraction and the high frequency power 55 for generating plasma are not actively applied.

이온 인입용 고주파 전력(56) 및 플라즈마 생성용 고주파 전력(55)이 인가되지 않게 되면, 도 7의 (b)에 도시하는 바와 같이 시스(52)가 소멸한다. 이 때, 상부 전극판(27)으로의 부 전위의 직류 전력의 인가가 계속되고 있기 때문에, 상부 전극판(27)으로의 양이온의 입사에 의해 생성된 전자(53)가 상부 전극판(27)에 인가되고 있는 부의 직류 전압에 의해 가속되어, 시스(52)에 의해 방해받지 않고 홀(51)에 고속 진입하는 상태가 발생한다. 이에 따라, 홀(51)의 바닥부에 체류하는 양이온(54)은 전기적으로 중화된다. When the high-frequency power 56 for ion attraction and the high-frequency power 55 for plasma generation are not applied, the sheath 52 disappears as shown in Fig. 7 (b). The electrons 53 generated by the incidence of the positive ions in the upper electrode plate 27 are attracted to the upper electrode plate 27 and the lower electrode plate 27, So that a state of high-speed entry into the hole 51 without being disturbed by the sheath 52 occurs. As a result, the positive ions 54 staying in the bottom portion of the hole 51 are electrically neutralized.

여기서, 홀(51)의 바닥부에 도입되는 전자의 흐름은 웨이퍼의 표면 근방을 흐르는 전류로서 관측되지만, 도 8에 도시하는 바와 같이 웨이퍼W의 표면 근방을 흐르는 전류(57)은, 이온 인입용 고주파 전력(56) 및 플라즈마 생성용 고주파 전력(55)의 출력값이 0이 된 후, 근소한 시간, 구체적으로는 5㎲가 경과하고 나서 순간적으로 스파이크 형상으로 흐르고, 그 후, 급속하게 전류(57)의 전류치가 내려간다. Here, the flow of electrons introduced into the bottom of the hole 51 is observed as a current flowing in the vicinity of the surface of the wafer. However, as shown in Fig. 8, the current 57 flowing in the vicinity of the surface of the wafer W, After the output values of the high-frequency power 56 and the plasma generating high-frequency power 55 become zero, the current flows instantaneously in a spike shape after a short period of time, specifically 5 占 퐏, Is decreased.

이온 인입용 고주파 전력(56) 등의 출력값이 0이 된 후, 5㎲가 경과하고 나서 전류(57)가 흐르는 것은, 이온 인입용 고주파 전력(56) 등의 출력값이 0이 된 후, 전자 온도가 충분히 저하해서 시스(52)가 소멸할 때까지 5㎲ 정도 요하기 때문이라고 생각된다. 한편,전류(57)가 일순간 흐르고, 그 후, 급속하게 전류(57)의 전류치가 내려가는 것은, 상부 전극판(27)으로부터 방출되는 전자(53)의 생성에 필요한 양이온 밀도의 급격한 저하에 의한 것이라고 생각된다. 따라서, 일정량의 전자(53)를 홀(51)의 바닥부에 도입해서 체류하는 양이온(54)을 전기적으로 중화하기 위해서는, 이온 인입용의 고주파 전력(56) 등의 출력값이 0이 되는 상태, 즉, 이온 인입용 고주파 전력(56) 등이 인가되지 않는 상태가 적어도 5㎲ 계속되면 된다. The reason why the current 57 flows after the elapse of 5 占 퐏 after the output value of the ion attracting high frequency power 56 becomes 0 is that the output value of the ion attracting high frequency power 56 becomes 0 and then the electron temperature And the time required for the sheath 52 to disappear is about 5 占 퐏. On the other hand, the reason that the current 57 flows instantaneously and then the current value of the current 57 rapidly decreases is due to a sharp decrease in the cation density required for generation of the electrons 53 emitted from the upper electrode plate 27 I think. Therefore, in order to electrically neutralize the retained cation 54 by introducing a certain amount of electrons 53 into the bottom of the hole 51, a state in which the output value of the high-frequency power 56 for ion attraction is 0, That is, the state in which the high-frequency power for ion attraction 56 or the like is not applied may be continued for at least 5 mu sec.

그러므로, 펄스파 형상으로 인가되는 플라즈마 생성용 고주파 전력(55) 및 이온 인입용 고주파 전력에서는, 이온 인입용 고주파 전력(56) 등의 출력값이 0이 되는 상태를 길게 할 필요는 없다. 바꾸어 말하면, 플라즈마 생성용 고주파 전력(55) 및 이온 인입용 고주파 전력(56)의 듀티비를 높게 설정해도 좋다. 구체적으로는, 듀티비를 10% ∼90% 중에서 설정하면 좋고, 바람직하게는, 50%∼90% 중에서 설정하면 좋다. 이 경우, 듀티비는 최고이어도 90%이므로, 이온 인입용 고주파 전력(56) 등이 인가되지 않는 상태를 확실하게 만들어낼 수 있고, 따라서, 홀(51)의 바닥부에 전자(53)를 확실하게 도입할 수 있다. 또한, 이온 인입용 고주파 전력(56) 등이 인가되지 않는 상태에서는 시스(52)가 소멸하기 때문에, 양이온(54)에 의한 스퍼터가 저하하고, SiO2막(40)의 에칭 효율이 저하하지만, 이 경우, 듀티비는 최저이어도 50%이므로, 시스(52)가 소멸하는 상태가 발생하는 것을 적당히 억제하고, SiO2막(40)의 에칭 효율이 저하하는 것을 방지할 수 있다. 또, 본 실시 형태에 따른 에칭 처리 방법에서는, 듀티비가 70% 로 설정된다. Therefore, it is not necessary to lengthen the state in which the output value of the ion-attracting high-frequency power 56 becomes 0 in the plasma generating high-frequency power 55 and the ion attracting high-frequency power applied in the form of a pulse wave. In other words, the duty ratio of the plasma generating high frequency electric power 55 and the ion attracting high frequency electric power 56 may be set high. Specifically, the duty ratio may be set to 10% to 90%, preferably 50% to 90%. In this case, since the duty ratio is at most 90% even at the highest duty ratio, the state in which the high-frequency power 56 for ion attraction is not applied can be reliably produced, . In addition, in the state where the high-frequency power 56 for ion attraction is not applied, the sheath 52 disappears, so the sputter by the cation 54 decreases and the etching efficiency of the SiO 2 film 40 decreases. In this case, since the duty ratio is at least 50%, the occurrence of the disappearance of the sheath 52 can be appropriately suppressed and the etching efficiency of the SiO 2 film 40 can be prevented from being lowered. In the etching treatment method according to the present embodiment, the duty ratio is set to 70%.

또한, 플라즈마 생성용 고주파 전력(55) 및 이온 인입용 고주파 전력(56)의 펄스파의 주파수(펄스 주파수)가 높을수록 전자(53)가 홀(51)의 바닥부에 도입되는 빈도를 높일 수 있으므로, 해당 주파수는 높은 편이 바람직하다. 한편, 해당 주파수가 지나치게 높으면, 이온 인입용 고주파 전력(56) 등이 인가되지 않는 상태를 시스(52)가 소멸하기 위해서 필요한 시간 이상 유지할 수 없게 된다. 따라서, 플라즈마 생성용 고주파 전력(55) 및 이온 인입용 고주파 전력(56)의 펄스파의 주파수는, 1㎑∼50㎑ 범위이면 되고, 바람직하게는, 10㎑∼50㎑의 범위내이면 좋다. 또, 본 실시 형태에 따른 에칭 처리 방법에서는, 해당 펄스파의 주파수가 10㎑로 설정된다. The higher the frequency (pulse frequency) of the pulse wave of the plasma generating high frequency electric power 55 and the ion attracting high frequency electric power 56 is, the higher the frequency with which the electrons 53 are introduced into the bottom portion of the hole 51 Therefore, it is preferable that the frequency is high. On the other hand, if the frequency is excessively high, the state in which the high frequency electric power for ion attraction 56 or the like is not applied can not be maintained for a time required for the sheath 52 to disappear. Therefore, the frequency of the pulsed spark of the plasma generating high frequency electric power 55 and the ion attracting high frequency electric power 56 may be in the range of 1 kHz to 50 kHz, preferably in the range of 10 kHz to 50 kHz. In the etching treatment method according to the present embodiment, the frequency of the pulse wave is set to 10 kHz.

본 실시 형태에 따른 에칭 처리 방법에서는, 이온 인입용 고주파 전력(56) 등이 인가되지 않는 상태에 있어서도, 상부 전극판(27)으로 부 전위의 직류 전력의 인가가 계속되고 있기 때문에, 상부 전극판(27)의 전위도 부(-)로 된다. 한편, 이온 인입용 고주파 전력(56)이 서셉터(12)에 인가되지 않으면, 웨이퍼W에 바이어스 전압이 거의 발생하지 않기 때문에, 웨이퍼W 근방의 전위는 거의 0이 된다. 따라서, 웨이퍼W와 상부 전극판(27)의 전위차의 절대값을 소정값 이상 확보할 수 있고, 해당 전위차는 전자(53)를 웨이퍼W를 향해서 끌어당기기 때문에, 전자(53)의 홀(51)의 바닥부로의 도입을 촉진할 수 있다. 또한, 상부 전극판(27)으로 부전위의 직류 전력의 인가를 계속하는 것에 의해, 상부 전극판(27)으로부터의 전자(53)의 방출을 계속할 수 있고, 그것에 의해, 처리실(15) 내부의 전자 밀도를 높일 수 있고, 이에 따라, 전자(53)가 홀(51)의 바닥부에 도입되는 확률을 향상시킬 수 있다. In the etching treatment method according to the present embodiment, since the DC power of the negative potential continues to be applied to the upper electrode plate 27 even when the ion-attracting high-frequency power 56 or the like is not applied, (-) of the potential difference portion 27. On the other hand, if the high-frequency power 56 for ion attraction is not applied to the susceptor 12, the bias voltage is hardly generated in the wafer W, so that the potential near the wafer W becomes almost zero. Therefore, the absolute value of the potential difference between the wafer W and the upper electrode plate 27 can be ensured to a predetermined value or higher, and the potential difference pulls the electron 53 toward the wafer W, Can be facilitated to be introduced into the bottom portion. Further, by continuing the application of the direct current electric power to the upper electrode plate 27, the discharge of the electrons 53 from the upper electrode plate 27 can be continued, The electron density can be increased, and thus the probability that the electrons 53 are introduced into the bottom of the hole 51 can be improved.

또, 본 실시 형태에 따른 에칭 처리 방법에서는, 전자(53)를 홀(51)의 바닥부에 도입할 때, 이온 인입용 고주파 전력(56) 등의 출력값을 0으로 했지만, 웨이퍼W와 상부 전극판(27)의 전위차의 절대값을 소정값 이상 확보할 수 있는 것이라면, 전자(53)를 웨이퍼W를 향해서 끌어당길 수 있으므로, 이온 인입용 고주파 전력(56) 등의 출력값을 반드시 0으로 할 필요는 없다. 예를 들면, -300V의 직류 전력이 상부 전극판(27)에 인가되고 있을 경우, 웨이퍼W에 발생하는 바이어스 전압이 -300V보다도 높아지도록 이온 인입용 고주파 전력(56)의 값을 설정해도 좋다. In the etching treatment method according to the present embodiment, when introducing the electrons 53 into the bottom portion of the hole 51, the output value of the high-frequency power 56 for ion attraction is set to zero. However, If the absolute value of the potential difference of the plate 27 can be secured by a predetermined value or more, the electrons 53 can be pulled toward the wafer W, so that the output value of the high-frequency power 56 for ion- There is no. For example, when the direct current power of -300 V is applied to the upper electrode plate 27, the value of the ion attraction high frequency electric power 56 may be set so that the bias voltage generated in the wafer W becomes higher than -300 V.

그 후, 플라즈마 생성용 고주파 전력(55) 및 이온 인입용 고주파 전력(56)의 펄스파 형상의 인가를 계속하고, 도 4의 (d)에 도시하는 바와 같이, 카본막(41)이 소모되어 없어지고, SiO2막(40)에서, 예를 들면, 애스펙트비가 30 이상의 홀(51)이 형성되어, 해당 홀(51)의 바닥부에 실리콘부(39)가 노출하면, 본 실시 형태에 따른 에칭 처리 방법을 종료한다. Thereafter, the pulse-like shape of the plasma generating high-frequency power 55 and the ion-attracting high-frequency power 56 is continued to cause the carbon film 41 to be consumed as shown in Fig. 4 (d) When holes 51 having an aspect ratio of 30 or more are formed in the SiO 2 film 40 and the silicon part 39 is exposed at the bottom of the hole 51, The etching process is terminated.

본 실시 형태에 따른 에칭 처리 방법에 의하면, 포토 레지스트 막(45)에 형성된 홀(44)의 형상이 개량되므로, SiO2막(40)에 형성되는 홀(51)의 형상에 포토 레지스트 막(45)에 형성된 홀(44)의 형상불량(비뚤어짐 등)이 반영되는 것을 방지할 수 있다. The shape of the hole 44 formed in the photoresist film 45 is improved so that the shape of the hole 51 formed in the SiO 2 film 40 is formed with the photoresist film 45 (Skewing, etc.) of the hole 44 formed in the hole 44 can be prevented from being reflected.

또한, 전자(49)에 의해 포토 레지스트 막(45) 등이 경화되므로, SiO2막(40)이 플라즈마에서 에칭될 때, 포토 레지스트 막(45)이 조기에 소모되는 것을 방지할 수 있고, 그것에 의해, SiO2막(40)에 있어서 홀(51)을 확실하게 형성할 수 있다. In addition, since the photoresist film 45 is hardened by the electrons 49, it is possible to prevent the photoresist film 45 from being consumed prematurely when the SiO 2 film 40 is etched in the plasma, It is possible to reliably form the holes 51 in the SiO 2 film 40.

또한, SiO2막(40)이 플라즈마에 의해 에칭될 때, 부전위의 직류 전력이 상부 전극판(27)에 인가되는 동시에, 이온 인입용 고주파 전력(56)이 서셉터(12)에 펄스파 형상으로 인가되어서 이온 인입용 고주파 전력(56)이 서셉터(12)에 인가되지 않는 상태가 만들어지므로, 전자(53)를 대량으로 발생시킬 수 있는 동시에 웨이퍼W 표면상의 시스(52)가 소멸하는 상태를 만들어낼 수 있고, 그것에 의해, 전자(53)를 SiO2막(40)에 형성되는 홀(51)의 바닥부에 확실하게 도입할 수 있다. When the SiO 2 film 40 is etched by the plasma, the DC power of the negative potential is applied to the upper electrode plate 27 and the high-frequency power 56 for ion attraction is applied to the susceptor 12 by the pulse wave Frequency electric power 56 is not applied to the susceptor 12, so that a large amount of electrons 53 can be generated and the cis 52 on the surface of the wafer W disappears Thereby making it possible to reliably introduce the electrons 53 into the bottom of the hole 51 formed in the SiO 2 film 40.

그 결과, 형성되는 홀(51)의 애스펙트비가 높아도 홀(51)의 측부가 부풀어 커지거나 홀(51)의 비뚤어짐이 생기는 것을 방지할 수 있다. As a result, even if the aspect ratio of the holes 51 to be formed is high, it is possible to prevent the side portions of the holes 51 from becoming bulged and the holes 51 from becoming distorted.

또한, 본 실시 형태에 따른 에칭 처리 방법에서는, SiO2막(40)이 플라즈마에 의해 에칭될 때, 플라즈마 생성용 고주파 전력(55)도 펄스파 형상으로 인가되어서 플라즈마 생성용 고주파 전력(55)이 처리실(15) 내부에 인가되지 않는 상태가 만들어지므로, 그것에 의해, 시스(52)가 소멸하는 상태를 확실하게 만들어낼 수 있다. In the etching treatment method according to the present embodiment, when the SiO 2 film 40 is etched by plasma, the plasma generating high frequency electric power 55 is also applied in the form of a pulse wave so that the plasma generating high frequency electric power 55 A state in which the sheath 52 is not applied to the inside of the processing chamber 15 is created, thereby making it possible to reliably create a state in which the sheath 52 disappears.

또한, 본 실시 형태에 따른 에칭 처리 방법에서는, 플라즈마 생성용 고주파 전력(55)과 이온 인입용 고주파 전력(56)이 동기되어서 펄스파 형상으로 인가되므로, 플라즈마 생성용 고주파 전력(55) 및 이온 인입용 고주파 전력(56)이 함께 인가되지 않는 상태를 만들어낼 수 있고, 그것에 의해, 시스(52)가 소멸하는 상태를 더욱 확실하게 만들어낼 수 있다. In the etching treatment method according to the present embodiment, since the plasma generating high frequency electric power 55 and the ion attracting high frequency electric power 56 are synchronized and applied in the form of a pulse wave, the plasma generating high frequency electric power 55 and the ion attraction The high frequency power 56 for high frequency power can be generated in a state in which the high frequency power 56 is not applied together, whereby the state of disappearance of the sheath 52 can be made more reliably.

그런데, 플라즈마 생성용 고주파 전력(55)과 이온 인입용 고주파 전력(56)이 계속적으로 공급되면(이하, "연속 인가의 경우"라고 함), 도 14의 (a)에 도시하는 바와 같이, 홀(51)의 영역(63)에 있어서 카본막(41)에 데포지션이 부착되어 돌출부(41a)가 형성되어서 폭(63)이 좁아지는 일이 있다. 14 (a), when the plasma generating high frequency electric power 55 and the ion attracting high frequency electric power 56 are continuously supplied (hereinafter referred to as "continuous application case"), A depression is attached to the carbon film 41 in the region 63 of the substrate 51 to form the projecting portion 41a and the width 63 may be narrowed.

한편, 본 실시 형태와 같이 플라즈마 생성용 고주파 전력(55)과 이온 인입용 고주파 전력(56)이 동기되어 펄스파 형상으로 공급되면(이하, "펄스파 형상 인가의 경우"라고 함), 도 14의 (b)에 도시하는 바와 같이, 돌출부(41a)가 형성되지 않고, 폭(63)이 좁아지는 일이 없다. On the other hand, when the plasma generating high frequency electric power 55 and the ion attracting high frequency electric power 56 are supplied in synchronism with each other in the form of a pulse wave (hereinafter referred to as "pulsed wave form" The projecting portion 41a is not formed and the width 63 is not narrowed as shown in (b) of FIG.

본 발명자 등은 상술한 현상을 해명하기 위해서, 각종 검증을 실행한 바, 플라즈마 생성용 고주파 전력(55)과 이온 인입용 고주파 전력(56)을 동기해서 인가하느냐 아니냐에 따라, 플라즈마 생성시에 처리실(15)내에 발생하는 전자 밀도나 전자 온도가 변화되는 것을 확인했다. 구체적으로는, 도 15에 도시하는 바와 같이, 연속 인가의 경우, 전자 밀도는 변화되는 일없이 높은 값을 유지하는 것에 대해서, 펄스파 형상 인가의 경우, 전자 밀도는 이온 인입용 고주파 전력(56) 등이 인가되지 않을 때에 저하한다. 또한, 듀티비가 작아짐에 따라서 전자 밀도가 저하하는 시간이 길어지는 것을 확인했다. 또한, 도 16에 도시하는 바와 같이, 계속 공급의 경우, 전자 온도(보다 구체적으로는, 혼합 가스중의 Ar 가스가 여기했을 때의 발광 강도)는 변화하는 일이 없이 거의 일정값을 유지하는 것에 대해서, 펄스파 형상 공급의 경우, 전자 온도는 일순 상승하지만, 계속 공급의 경우보다 낮아지는 시간이 길고, 그 시간은 듀티비가 작아짐에 따라서 길어지는 것을 확인했다. 즉, 시간에 관해서 평균적으로 생각하면, 펄스파 형상 공급의 경우의 전자 밀도나 전자 온도는, 계속 공급의 경우의 전자 밀도나 전자 온도에 비교해서 낮아지는 것을 확인했다. In order to clarify the above phenomenon, the inventors of the present invention have conducted various kinds of verification to determine whether or not to apply the plasma generating high frequency electric power 55 and the ion attracting high frequency electric power 56 in synchronization with each other, It was confirmed that the electron density and the electron temperature generated in the substrate 15 change. Specifically, as shown in FIG. 15, the electron density is maintained at a high value without changing the electron density in the case of the continuous application, while the electron density is higher than the ion- Or the like is not applied. Further, it was confirmed that the time for the electron density to decrease becomes longer as the duty ratio becomes smaller. Further, as shown in Fig. 16, in the case of continuous feeding, the electron temperature (more specifically, the light emission intensity when the Ar gas in the mixed gas is excited) does not change, In the case of the pulsed wave shape supply, it was confirmed that the electron temperature rises for a while, but the time for lowering the time is longer and the time becomes longer as the duty ratio becomes smaller. In other words, it has been confirmed that the electron density and the electron temperature in the case of the pulsed wave shape supply are lowered in comparison with the electron density and the electron temperature in the case of continuously feeding when considering on the average in terms of time.

전자 밀도나 전자 온도가 저하하면, 혼합 가스의 래디컬로의 해리가 진행되지 않고, 해리도가 저하한다. 해리도가 저하하면 래디컬의 부착 계수가 높아진다. 여기서, 래디컬의 부착 계수란, 래디컬이 어떤 층에 충돌했을 때의 해당 층으로의 부착의 용이도를 나타내는 지표이며, 부착 계수가 높아지면 래디컬은 어떤 층으로 용이하게 부착된다. 또, 해리도가 저하하면 래디컬의 부착 계수가 높아지는 것은, 해리도가 저하하는 것은 래디컬의 에너지가 낮은 것을 나타내고, 래디컬의 에너지가 낮으면 래디컬은 어떤 층과 수회 충돌한 것만으로 에너지를 잃고, 그 자리에 머무르기 쉬워지기 때문이라고 생각되었다. When the electron density or the electron temperature is lowered, the dissociation of the mixed gas into radicals does not proceed, and the degree of dissociation is lowered. When the degree of dissociation is lowered, the adhesion coefficient of the radical increases. Here, the adhesion coefficient of the radical is an index indicating the ease of adhesion of the radical to the layer when the layer collides with a certain layer. When the adhesion coefficient is high, the radical is easily attached to a certain layer. When the degree of dissociation is lowered, the increase in the adhesion coefficient of the radicals means that the degree of dissociation is lowered, which means that the radical energy is lower. When the radical energy is lower, the radicals collide with a certain layer several times and lose energy. It was thought that it was easy to stay.

즉, 계속 공급의 경우, 전자 밀도나 전자 온도가 높기 때문에, 해리도가 상승하는 한편, 부착 계수가 저하한다. 그 결과, 도 17의 (a)에 도시하는 바와 같이, 혼합 가스로부터 발생한 래디컬, 특히, CF계의 래디컬(64)은 카본막(41)의 표면에 충돌을 반복해도 에너지를 조금밖에 잃지 않기 때문에 카본막(41)의 표면에 부착될 일이 없고, 해당 래디컬(64)은 폭(63)까지 도달해서 처음으로 카본막(41)으로부터 튀어오르는 것만큼의 에너지를 잃고, 그대로, 폭(63) 근방의 카본막(41)에 데포지션으로서 부착된다. 이에 따라, 폭(63)이 좁아진다. That is, in the case of continuous feeding, since the electron density and the electron temperature are high, the degree of dissociation increases and the adhesion coefficient decreases. As a result, as shown in Fig. 17A, since the radicals generated from the mixed gas, particularly the CF radical 64, do not lose a little energy even if they collide with the surface of the carbon film 41 The radical 64 does not adhere to the surface of the carbon film 41 and the energy of the radical 64 reaches the width 63 and loses energy as much as it jumps from the carbon film 41 for the first time, Is attached to the carbon film 41 as a deposition. As a result, the width 63 becomes narrow.

한편, 펄스파 형상 공급의 경우, 전자 밀도나 전자 온도가 낮기 때문에, 해리도가 저하하는 한편, 부착 계수가 높아진다. 그 결과, 도 17의 (b)에 도시하는 바와 같이, 혼합 가스로부터 발생한 CF계의 래디컬(64)은 카본막(41)의 표면에 충돌하면 쉽게 에너지를 잃고, 그대로 카본막(41)의 표면에 부착되기 때문에, 래디컬(64)이 폭(63)에 도달하는 일이 없고, 폭(63)이 좁아지는 일이 없다. On the other hand, in the case of the pulsed wave shape supply, since the electron density and the electron temperature are low, the degree of dissociation is lowered and the adhesion coefficient is increased. As a result, as shown in Fig. 17 (b), when the CF radical 64 generated from the mixed gas collides with the surface of the carbon film 41, the energy easily loses energy, The radical 64 does not reach the width 63 and the width 63 does not become narrow.

즉, 본 실시 형태에 따른 에칭 처리 방법에서는, 플라즈마 생성용 고주파 전력(55)과 이온 인입용 고주파 전력(56)이 동기되어 펄스파 형상으로 공급되므로, 혼합 가스로부터 발생한 래디컬(64)의 부착 계수가 높아지고, 래디컬(64)은 폭(63)까지 도달하는 일 없이 카본막(41)의 표면에 부착된다. 그 결과, 폭(63)이 좁아지는 일이 없고, 양이온(54)이 원활하게 홀(51)에 도입될 수 있고, 또한, 양이온(54)이 돌출부(41a)와 충돌해서 진로가 변경되는 일이 없다. 이에 따라, 홀(51)의 측부에서 부풀어 커지거나 홀(51)의 비뚤어짐이 발생하는 것을 확실하게 방지할 수 있다. That is, in the etching processing method according to the present embodiment, since the plasma generating high frequency electric power 55 and the ion attracting high frequency electric power 56 are supplied in synchronism with each other in a pulsed wave form, the adhesion coefficient of the radical 64 generated from the mixed gas And the radicals 64 are attached to the surface of the carbon film 41 without reaching the width 63. [ As a result, the width 63 is not narrowed, the cation 54 can be smoothly introduced into the hole 51, and the cation 54 collides with the protrusion 41a to change the course There is no. As a result, it is possible to reliably prevent the bulging from the side of the hole 51 and the occurrence of the distortion of the hole 51.

래디컬(64)의 부착 계수가 높을수록 폭(63)이 좁아질 가능성이 낮아지기 때문에, 래디컬(64)의 부착 계수는 높은 것이 바람직하지만, 일반적으로, 높은 차원의 CF계 가스, 예를 들면, C4F6 가스나 C4F8 가스 쪽이 저차원의 CF계 가스, 예를 들면, CF2 가스나 CF4 가스보다 발생하는 CF계 래디컬의 부착 계수가 높아지므로, 혼합 가스에 있어서의 CF계 가스로서는, C4F6 가스나 C4F8 가스를 이용하는 것이 바람직하다. 또, C4F6 가스나 C4F8 가스의 부착 계수는 0.1∼0.01 정도이며, CF2 가스나 CF4 가스의 부착 계수는 0.01∼0.0001 정도이다. It is preferable that the adhesion coefficient of the radical 64 is high. However, in general, a high-dimensional CF-based gas, for example, C 4 F 6 gas and C 4 F 8 gas side, for the low level of the CF-based gas, for example, a CF-based sticking coefficient of the radical generated than CF 2 gas and CF 4 gas is increased, CF-based in the mixed gas As the gas, it is preferable to use C 4 F 6 gas or C 4 F 8 gas. The adhesion coefficient of C 4 F 6 gas or C 4 F 8 gas is about 0.1 to 0.01, and the adhesion coefficient of CF 2 gas or CF 4 gas is about 0.01 to 0.0001.

또한, 펄스파 형상 공급의 경우, 듀티비가 낮을수록 전자 밀도나 전자 온도가 낮아져, CF계 래디컬의 부착 계수가 높아지므로, 듀티비는 낮은 편이 바람직하고, 예를 들면, 70% 이하, 보다 바람직하게는, 50% 이하인 것이 좋다. 이에 따라, 폭(63)이 좁아질 가능성을 더욱 낮게 할 수 있다. In the case of pulsed wave shape feeding, the lower the duty ratio, the lower the electron density and the electron temperature, and the higher the deposition coefficient of the CF-based radical, so that the duty ratio is preferably as low as possible, Is preferably 50% or less. Thus, the possibility of narrowing the width 63 can be further reduced.

상술한 본 실시 형태에 따른 에칭 처리 방법에서는, 플라즈마의 에칭에 의해 홀(51)을 형성할 때, C4F6 가스와, C4F8 가스와, CF4 가스와, Ar 가스 및 O2 가스의 혼합 가스를 처리실(15) 내부에 도입하고, 해당 혼합 가스로부터 플라즈마를 발생시켰지만, 희가스로서 Ar 가스 대신에 He(헬륨) 가스를 혼합해도 좋다. The C 4 F 6 gas, the C 4 F 8 gas, the CF 4 gas, the Ar gas, and the O 2 gas are used when the holes 51 are formed by the etching of the plasma in the above- Gas is introduced into the processing chamber 15 and plasma is generated from the mixed gas. Alternatively, He (helium) gas may be mixed as a rare gas instead of Ar gas.

Ar 가스의 양이온이 실리콘으로 이루어지는 상부 전극판(27)에 주입되면, 상부 전극판(27)은 2차전자를 방출하지만, He 가스의 양이온이 실리콘으로 이루어지는 상부 전극판(27)에 주입되면, 상부 전극판(27)은 보다 많은 2차전자를 방출한다. 구체적으로는, 실리콘의 He 양이온의 주입에 대한 2차전자 방출계수는 0.172이며, 실리콘의 Ar 양이온의 주입에 대한 2차전자 방출 계수는 0.024이다. 따라서, Ar 가스 대신에 He 가스를 혼합하는 것에 따라 상부 전극판(27)로부터 방출되는 2차전자의 양을 늘릴 수 있다. 그 결과, 홀(51)을 형성할 때, 이온 인입용 고주파 전력과 플라즈마 생성용 고주파 전력이 함께 인가되지 않는 제 2 기간에 있어서, 해당 홀(51)에 침입하는 전자(53)의 수를 늘릴 수 있고, 홀(51)의 바닥부에 체류하는 양이온(54)의 전기적 중화를 확실하게 실행할 수 있다. When the positive electrode of the He gas is injected into the upper electrode plate 27 made of silicon, the upper electrode plate 27 discharges secondary electrons when positive ions of the Ar gas are injected into the upper electrode plate 27 made of silicon, The upper electrode plate 27 emits more secondary electrons. Specifically, the secondary electron emission coefficient for the injection of the He cation of silicon is 0.172, and the secondary electron emission coefficient for injection of the Ar cation of silicon is 0.024. Therefore, the amount of the secondary electrons emitted from the upper electrode plate 27 can be increased by mixing the He gas in place of the Ar gas. As a result, when forming the holes 51, the number of electrons 53 entering the holes 51 is increased in the second period in which the high frequency electric power for ion attraction and the high frequency electric power for plasma generation are not applied together And the cation 54 residing at the bottom of the hole 51 can be surely electrically neutralized.

He 가스가 여기되면, 그 전자 온도는 Ar 가스가 여기 되었을 때의 전자 온도보다 높아지는 것이 본 발명자 등에 의해 확인되어 있다. 따라서, 혼합 가스에 He 가스를 혼합하면 해리도가 매우 높아지고, 래디컬의 부착 계수가 대폭 저하한다. When the He gas is excited, the inventors have confirmed that the electron temperature becomes higher than the electron temperature when the Ar gas is excited. Therefore, when the He gas is mixed with the mixed gas, the degree of dissociation becomes extremely high, and the adhesion coefficient of the radical is greatly reduced.

래디컬의 부착 계수가 대폭 저하하면, 도 18에 도시하는 바와 같이 래디컬(65)은 카본막(41)의 표면에 충돌을 반복해도 에너지를 조금씩밖에 잃지 않기 때문에 카본막(41)의 표면에 부착될 일이 없고, 래디컬(65)은 폭(63)에 도달해도 아직 에너지를 잃지 않았기 때문에, 폭(63)근방의 카본막(41)에 데포지션으로서 부착되는 일이 없고, 홀(51)내로 바닥부를 향해서 진입한다. 그 후, 홀(51)의 측벽과 수회 정도 충돌을 반복해서 에너지를 잃고, 그대로, 홀(51)의 측벽에 데포지션으로서 부착되어 데포지션 박막(4lb)을 형성한다. 즉, 폭(63)이 좁아지는 일이 없기 때문, 양이온(54)이 돌출부(41a)와 충돌해서 진로가 변경되는 일이 없다. 18, even if the radical 65 repeatedly collides with the surface of the carbon film 41, the energy is slightly lost, so that the adhesion to the surface of the carbon film 41 The radicals 65 do not adhere to the carbon film 41 in the vicinity of the width 63 as a deposition because the radicals 65 have not lost energy yet reach the width 63, Enter into the wealth. Thereafter, the energy collision with the side wall of the hole 51 is repeated several times, and energy is lost. As a result, the depression thin film 41b is formed as it is attached to the side wall of the hole 51 as a depression. That is, since the width 63 is not narrowed, the positive ions 54 do not collide with the protruding portions 41a, and the course is not changed.

또한, He 양이온은 Ar 양이온보다 질량이 훨씬 작기 때문에, 예를 들면, 홀(51)의 측벽에 충돌해도 해당 측벽을 에칭하지 않는다. Since the He cation is much smaller in mass than the Ar cation, the side wall is not etched even if it collides with the side wall of the hole 51, for example.

그 결과, 홀(51)의 측부의 부풀어커짐이나 홀(51)의 비뚤어짐의 발생을 방지 할 수 있다. As a result, it is possible to prevent the swelling of the side of the hole 51 and the occurrence of the distortion of the hole 51.

(제2실시형태)(Second Embodiment)

이하, 본 발명의 제 2 실시 형태에 따른 에칭 처리 방법에 대해서 상세히 설명한다. Hereinafter, the etching treatment method according to the second embodiment of the present invention will be described in detail.

본 실시 형태는, 그 구성 및 작용이 상술한 제 1 실시 형태와 기본적으로 동일하므로, 중복한 구성 및 작용에 대해서는 설명을 생략하고, 이하에서는 다른 구성 및 작용에 관한 설명을 실행한다. Since the configuration and operation of this embodiment are basically the same as those of the first embodiment described above, the redundant configuration and operation will not be described, and the description of other configurations and operations will be given below.

도 9는 본 실시 형태에 따른 에칭 처리 방법에 의해 처리되는 웨이퍼의 일부의 구조를 개략적으로 나타내는 단면도이다. Fig. 9 is a cross-sectional view schematically showing a structure of a part of a wafer to be processed by the etching treatment method according to the present embodiment.

도 9에서, 웨이퍼Wa는, 베이스부가 되는 실리콘부(39)와, 그 실리콘부(39) 상에 형성된,예를 들면, 두께가 2600㎚의 SiO2막(40)(에칭 대상막)과, 해당 SiO2막(40) 상에 형성된 폴리 실리콘 막(58)과, 해당 폴리 실리콘 막(58)상에 형성된 SiO2로 이루어지는 잔사(찌꺼기)막(59)을 구비한다. 폴리 실리콘 막(58) 및 잔사막(59)은 SiO2막(40)을 노출시키는 홀(60)을 가진다. 잔사막(59)은 폴리 실리콘 막(58)에 홀(60)을 형성할 때에 이용된 하드 마스크막으로서의 SiO2막의 잔사로 이루어진다. 또한, 폴리 실리콘 막(58) 및 잔사막(59)은 모두 무기계의 막(무기막)이다. 9, the wafer Wa includes a silicon portion 39 as a base, a SiO 2 film 40 (etching target film) formed on the silicon portion 39, for example, having a thickness of 2600 nm, A polysilicon film 58 formed on the SiO 2 film 40 and a residue film 59 made of SiO 2 formed on the polysilicon film 58. The polysilicon film 58 and the remaining film 59 have holes 60 through which the SiO 2 film 40 is exposed. The remnant film 59 is made of the residue of the SiO 2 film as the hard mask film used when the hole 60 is formed in the polysilicon film 58. The polysilicon film 58 and the remaining film 59 are both inorganic films (inorganic films).

도 10은 본 실시 형태에 따른 에칭 처리 방법을 나타내는 공정도이다. 10 is a process chart showing an etching processing method according to the present embodiment.

우선, 도 10의 (a)에 도시된 바와 같은 구조를 갖는 웨이퍼Wa를 챔버(11) 내부의 서셉터(12)에 탑재해서 정전척(23)에 흡착 유지시킨다. First, the wafer Wa having the structure shown in FIG. 10A is mounted on the susceptor 12 in the chamber 11 and held on the electrostatic chuck 23 by suction.

계속해서, 챔버(11) 내부를 배기관(17)에 의해 압력을 줄여, 해당 내부 압력을 APC 밸브에 의해, 예를 들면, 40mTorr(5.33 Pa)로 설정하고, 유량이, 예를 들면, 150sccm의 HBr 가스와, 유량이, 예를 들면, 5sccm의 O2 가스와, 유량이, 예를 들면, 7sccm의 NF3 가스의 혼합 가스를 샤워헤드(26)로부터 처리실(15) 내부에 도입하고, 상부 전극판(27)에 직류 전력을 인가하지 않고, 처리실(15) 내부에, 예를 들면, 900W의 플라즈마 생성용 고주파 전력을 인가하고, 또한 서셉터(12)에, 예를 들면, 150W의 이온 인입용 고주파 전력을 인가한다(패턴 형상 개량 스텝). Subsequently, the pressure inside the chamber 11 is reduced by the exhaust pipe 17, and the internal pressure is set to 40 mTorr (5.33 Pa), for example, by the APC valve, and the flow rate is set to 150 sccm A mixed gas of HBr gas and O 2 gas having a flow rate of, for example, 5 sccm and an NF 3 gas having a flow rate of, for example, 7 sccm is introduced into the processing chamber 15 from the showerhead 26, A high frequency electric power for plasma generation of, for example, 900 W is applied to the inside of the processing chamber 15 without applying DC power to the electrode plate 27 and the plasma is generated in the susceptor 12, Frequency high-frequency power is applied (pattern shape improving step).

이 때, 도 11의 (a)에 도시하는 바와 같이, 혼합 가스가 여기 되어서 플라즈마가 발생하는 동시에, 웨이퍼Wa의 표면상에 시스(61)가 발생한다. 이 경우에도, 이온 인입용 고주파 전력의 출력값이 비교적 낮으므로, 생성되는 시스(61)는 얇고, 플라즈마중의 양이온(62)을 그다지 가속하지 않는다. 따라서, 각 양이온(62)은 폴리실리콘 막(58)이나 잔사막(59)을 약하게 스퍼터한다. 이 때, 홀(60)의 비뚤어짐의 대부분을 구성하는 홀(60)의 하부(60a)나 돌출형상부(60b)가 우선적으로 스퍼터되어 제거된다. 또한, 플라즈마중의 래디컬도 하부(60a)나 돌출형상부(60b)와 우선적으로 화학반응해서 이들을 제거한다. 그 결과, 도 11의 (b)에 나타내는 것 같은 삐뚤어진 홀(60)의 형상이 개량되어, 도 11의 (c)에 나타내는 것과 같은 둥근 원형상에 근접한다. At this time, as shown in Fig. 11A, the mixed gas is excited to generate plasma, and a sheath 61 is generated on the surface of the wafer Wa. Also in this case, since the output value of the high-frequency power for ion attraction is relatively low, the produced sheath 61 is thin and does not accelerate the positive ions 62 in the plasma so much. Therefore, each of the positive ions 62 slightly sputter the polysilicon film 58 and the residual film 59. At this time, the lower portion 60a and the protruding portion 60b of the hole 60 constituting the majority of the distortion of the hole 60 are preferentially sputtered and removed. Also, the radicals in the plasma preferentially chemically react with the lower portion 60a and the protruding portion 60b to remove them. As a result, the shape of the crooked hole 60 as shown in Fig. 11 (b) is improved, and it is close to a round circular shape as shown in Fig. 11 (c).

상술한 홀(60)의 형상 개량시, 혼합 가스에, 상술한 HBr 가스나 NF3 가스가 아니라, 예를 들면, CF4 가스, Cl2 등의 할로겐계의 가스 중 어느 하나를 혼합해도 좋고, 또한, 필요에 따라서 희가스, 예를 들면, Ar 가스를 더욱 첨가해도 좋다. The above-described HBr gas or NF 3 gas, for example, any of halogen gas such as CF 4 gas and Cl 2 may be mixed into the mixed gas at the time of improving the shape of the hole 60 described above, If necessary, a rare gas such as an Ar gas may be further added.

또한, 챔버(11) 내부의 압력, 인가되는 플라즈마 생성용 고주파 전력 및 이온 인입용 고주파 전력의 출력값, 혼합 가스의 유량도 필요에 따라 변경해도 좋다. 예를 들면, 챔버(11) 내부의 압력을 10mTorr(1.33Pa)로 설정하고, 상술한 혼합 가스 대신에, 유량이, 예를 들면, 50sccm의 CF4 가스와, 유량이, 예를 들면, 400sccm의 Ar 가스와, 유량이, 예를 들면, 20sccm인 O2 가스의 혼합 가스를 처리실(15) 내부에 도입하고, 상부 전극판(27)에 직류 전력을 인가하지 않고, 처리실(15) 내부에, 예를 들면, 250W의 플라즈마 생성용 고주파 전력을 인가하고, 또한 서셉터(12)에, 예를 들면, 500W의 이온 인입용 고주파 전력을 인가해도 좋다. The pressure inside the chamber 11, the applied high-frequency power for plasma generation and the output value of high-frequency power for ion attraction and the flow rate of the mixed gas may be changed as necessary. For example, the pressure inside the chamber 11 may be set to 10 mTorr (1.33 Pa), and a CF 4 gas having a flow rate of, for example, 50 sccm and a flow rate of, for example, 400 sccm A mixed gas of an Ar gas and a flow rate of O 2 gas of 20 sccm is introduced into the processing chamber 15 and the DC power is not applied to the upper electrode plate 27, , For example, 250 W of high frequency electric power for plasma generation may be applied to the susceptor 12, and 500 W of high frequency electric power for ion attraction may be applied to the susceptor 12.

또한, 필요에 따라서 상부 전극판(27)에 직류 전력을 인가해도 좋다. 이 경우, 처리실(15) 내부의 플라즈마에 있어서의 전자 밀도 분포가 개선되어, 홀(60)의 형상 개량을 웨이퍼Wa의 전 표면에 걸쳐서 거의 균일하게 실행할 수 있다. Further, DC power may be applied to the upper electrode plate 27 as required. In this case, the electron density distribution in the plasma in the processing chamber 15 is improved, and the shape of the hole 60 can be improved substantially uniformly over the entire surface of the wafer Wa.

계속해서, 홀(60)의 형상을 개량한 후, 도 10의 (b)에 도시하는 바와 같이, SiO2막(40)에 플라즈마 에칭에 의해 홀(51)을 형성한다. 이 때의 처리 조건, 예를 들면, 챔버(11) 내부의 압력, 혼합 가스의 종류, 혼합 가스를 구성하는 각종 가스의 혼합비, 상부 전극판(27)에 인가되는 직류 전력의 출력값, 플라즈마 생성용 고주파 전력의 출력값, 및 이온 인입용 고주파 전력의 출력값은 제 1 실시 형태와 같고, 특히, 이온 인입용 고주파 전력 및 플라즈마 생성용 고주파 전력을 펄스파 형상으로 인가하는 것도, 그 주파수 및 듀티비를 포함해서 제 1 실시 형태와 같다. 이에 따라, 홀(51)의 바닥부에 체류하는 양이온(54)을 전기적으로 중화하면서 홀(51)을 형성할 수 있다. Subsequently, after the shape of the hole 60 is improved, a hole 51 is formed in the SiO 2 film 40 by plasma etching as shown in FIG. 10 (b). The processing conditions at this time, for example, the pressure inside the chamber 11, the kind of the mixed gas, the mixing ratio of various gases constituting the mixed gas, the output value of the direct current power applied to the upper electrode plate 27, The output value of the high-frequency power and the output value of the high-frequency power for ion attraction are the same as those of the first embodiment. In particular, the application of the high-frequency power for ion attraction and the high- And is the same as the first embodiment. Thereby, the holes 51 can be formed while electrically neutralizing the positive ions 54 staying at the bottom of the holes 51. [

이 때, 폴리실리콘 막(58)이나 잔사막(59)은 포토레지스트 막(45) 등보다 플라즈마에 의해 소모되기 어렵기 때문에, 폴리실리콘 막(58)이나 잔사막(59)을 경화시키지 않아도, 홀(51)의 형성시, 마스크막으로서 충분히 기재시킬 수 있다. At this time, the polysilicon film 58 and the residual film 59 are less likely to be consumed by the plasma than the photoresist film 45 and the like. Therefore, even if the polysilicon film 58 and the residual film 59 are not cured, When the hole 51 is formed, it can be sufficiently formed as a mask film.

그 후, 도 10의 (c)에 도시하는 바와 같이, 폴리실리콘 막(58)이나 잔사막(59)이 소모되어 없어지고, SiO2막(40)에 홀(51)이 형성되고, 해당 홀(51)의 바닥부에 실리콘부(39)가 노출하면, 본 실시 형태에 따른 에칭 처리 방법을 종료한다. Thereafter, as shown in Fig. 10C, the polysilicon film 58 and the residual film 59 are no longer consumed, holes 51 are formed in the SiO 2 film 40, When the silicon part 39 is exposed to the bottom of the silicon substrate 51, the etching treatment method according to the present embodiment is terminated.

본 실시 형태에 따른 에칭 처리 방법에 의하면,폴리실리콘 막(58)이나 잔사막(59)에 형성된 홀(60)의 형상이 개량되므로, SiO2막(40)에 형성되는 홀(51)의 형상에 폴리실리콘 막(58)이나 잔사막(59)에 형성된 홀(60)의 형상불량(비뚤어짐 등)이 반영되는 것을 방지할 수 있다. The shape of the hole 60 formed in the polysilicon film 58 and the residual film 59 is improved so that the shape of the hole 51 formed in the SiO 2 film 40 (Such as distortion) of the hole 60 formed in the polysilicon film 58 or the residual film 59 can be prevented from being reflected.

또한, SiO2막(40)이 플라즈마에 의해서 에칭될 때, 부전위의 직류 전력이 상부 전극판(27)에 인가되는 동시에, 이온 인입용 고주파 전력(56)이 서셉터(12)에 펄스파 형상으로 인가되어서 이온 인입용 고주파 전력(56)이 서셉터(12)에 인가되지 않는 상태가 만들어지므로, 전자(53)를 SiO2막(40)에 형성되는 홀(51)의 바닥부에 확실하게 도입할 수 있다. When the SiO 2 film 40 is etched by the plasma, the DC power of the negative potential is applied to the upper electrode plate 27 and the high-frequency power 56 for ion attraction is applied to the susceptor 12 by the pulse wave The electrons 53 are applied to the bottom portion of the hole 51 formed in the SiO 2 film 40 so that the high frequency electric power 56 is not applied to the susceptor 12. Therefore, .

그 결과, 형성되는 홀(51)의 애스펙트비가 높아도 홀(51)의 측부에서의 부풀어커짐이나 커지기나 홀(51)의 비뚤어짐의 발생을 방지할 수 있다. As a result, even if the aspect ratio of the holes 51 to be formed is high, it is possible to prevent swelling and enlargement of the side portions of the holes 51 and occurrence of distortion of the holes 51.

또한, 본 실시 형태에 따른 에칭 처리 방법에서는, 플라즈마의 에칭에 의해 홀(51)을 형성할 때, 폴리실리콘 막(58)이나 잔사막(59)이 마스크 막으로서 이용되었는데, 이들 막은 플라즈마에 의해 에칭될 때의 소모량이 작다. 따라서, 폴리실리콘 막(58)이나 잔사막(59)을 경화시킬 필요가 없고, 그것에 의해, 에칭 처리 방법의 효율을 향상시킬 수 있다. In the etching treatment method according to the present embodiment, the polysilicon film 58 and the residual film 59 are used as a mask film when the holes 51 are formed by plasma etching, The amount of consumption when etching is small. Therefore, it is not necessary to harden the polysilicon film 58 and the residual film 59, thereby improving the efficiency of the etching processing method.

상술한 각 실시 형태에 따른 에칭 처리 방법에서는, 플라즈마 생성용 고주파 전력과 이온 인입용 고주파 전력이 동기되어 펄스파 형상으로 인가되었는데, 웨이퍼W(Wa)의 표면상의 시스가 소멸하는 상태를 만들어낼 수 있으면, 이들 고주파 전력은 반드시 동기되어 인가되지 않아도 좋다. In the etching treatment method according to each of the above-described embodiments, the high-frequency power for plasma generation and the high-frequency power for ion attraction are applied in synchronism with each other in the form of a pulse wave, but a state in which the sheath on the surface of the wafer W These high frequency powers may not necessarily be synchronously applied.

또한, 상술한 각 실시 형태에 따른 에칭 처리 방법에서는, SiO2막(40)이 플라즈마에 의해서 에칭될 때, 이온 인입용 고주파 전력뿐만 아니라 플라즈마 생성용 고주파 전력도 펄스파 형상으로 인가되었지만, 웨이퍼W(Wa)의 표면상의 시스가 소멸하는 상태를 만들어낼 수 있으면, 플라즈마 생성용 고주파 전력은 반드시 펄스파 형상으로 인가될 필요는 없다. In the etching treatment method according to each of the above embodiments, not only the high-frequency power for ion attraction but also the high-frequency power for plasma generation are applied in the form of a pulse wave when the SiO 2 film 40 is etched by plasma, If the sheath on the surface of the wafer Wa can be made to disappear, the high frequency power for plasma generation does not necessarily have to be applied in the form of a pulse wave.

또한, 상술한 각 실시 형태에 따른 에칭 처리 방법은 플라즈마의 에칭에 의해 SiO2막(40), 즉, 산화막에 홀이 형성될 경우에 적용되었지만, 플라즈마의 에칭에 의해 질화막, 예를 들면, SiN막에 홀이 형성될 경우에 적용되어도 좋다. The etching treatment method according to each of the embodiments described above is applied to the case where holes are formed in the SiO 2 film 40, that is, the oxide film by plasma etching, but a nitride film, for example, SiN Or may be applied when holes are formed in the film.

상술한 각 실시 형태에 따른 에칭 처리 방법은, 서셉터(12)에 플라즈마 생성용 고주파 전력 및 이온 인입용 고주파 전력이 인가되는 기판 처리 장치(10)에 적용되었지만, 각 실시 형태에 따른 에칭 처리 방법은, 상부 전극판에 플라즈마 생성용 고주파 전력이 인가되고, 또한 서셉터에 이온 인입용 고주파 전력이 인가되는 기판 처리 장치에 적용되어도 좋다. The etching treatment method according to each of the above embodiments is applied to the substrate processing apparatus 10 to which the plasma generating high frequency power and the ion pulling high frequency power are applied to the susceptor 12, May be applied to a substrate processing apparatus in which high frequency electric power for plasma generation is applied to the upper electrode plate and high frequency electric power for ion attraction is applied to the susceptor.

상술한 각 실시 형태에 따른 에칭 처리 방법을 실행하는 기판 처리 장치가 플라즈마 에칭 처리를 실시하는 기판은, 반도체 디바이스용 웨이퍼에 한정되지 않고, LCD(Liquid Crystal Display) 등을 포함하는 FPD(Flat Panel Display) 등에 이용하는 각종 기판이나, 포토 마스크, CD 기판, 프린트 기판 등이어도 좋다. The substrate on which the substrate processing apparatus for performing the etching treatment method according to each of the above-described embodiments performs the plasma etching treatment is not limited to the wafer for the semiconductor device but may be a flat panel display (FPD) including a liquid crystal display ), A photomask, a CD substrate, a printed substrate, or the like.

이상, 본 발명에 대해서, 상기 각 실시 형태를 이용하여 설명했지만, 본 발명은 상기 각 실시 형태에 한정되는 것은 아니다. The present invention has been described above with reference to the above embodiments, but the present invention is not limited to the above embodiments.

본 발명의 목적은, 상술한 각 실시 형태의 기능을 실현하는 소프트웨어의 프로그램을 기록한 기억 매체를, 컴퓨터 등에 공급하고, 컴퓨터의 CPU가 기억 매체에 저장된 프로그램을 판독해서 실행함으로써도 달성된다. The object of the present invention can also be achieved by supplying a storage medium on which a software program for realizing the functions of the above-described embodiments is recorded, to a computer, and by causing the CPU of the computer to read and execute the program stored in the storage medium.

이 경우, 기억 매체로부터 판독된 프로그램 자체가 상술한 각 실시 형태의 기능을 실현하는 것이 되고, 프로그램 및 그 프로그램을 기억한 기억 매체는 본 발명을 구성하게 된다. In this case, the program itself read from the storage medium realizes the functions of the above-described embodiments, and the program and the storage medium storing the program constitute the present invention.

또한, 프로그램을 공급하기 위한 기억 매체로서는, 예를 들면, RAM, NV-RAM, 플로피(등록상표) 디스크, 하드 디스크, 광자기 디스크, CD-ROM, CD-R, CD-RW, DVD(DVD-ROM, DVD-RAM, DVD-RW, DVD+RW) 등의 광디스크, 자기 테이프, 비휘발성의 메모리 카드, 다른 ROM 등의 상기 프로그램을 기억할 수 있는 것이면 좋다. 혹은, 상기 프로그램은, 인터넷, 상용 네트워크, 혹은 LAN 등에 접속되는 도시하지 않은 다른 컴퓨터나 데이타베이스 등으로부터 다운로드함으로써 컴퓨터에 공급되어도 좋다. Examples of the storage medium for supplying the program include a RAM, an NV-RAM, a floppy (registered trademark) disk, a hard disk, a magneto-optical disk, a CD-ROM, a CD- Such as an optical disk such as a CD-ROM, a DVD-RAM, a DVD-RW, or a DVD + RW, a magnetic tape, a nonvolatile memory card, or another ROM. Alternatively, the program may be supplied to a computer by downloading from another computer or a database (not shown) connected to the Internet, a commercial network, or a LAN.

또한, 컴퓨터의 CPU가 판독한 프로그램을 실행하는 것에 의해, 상기 각 실시 형태의 기능이 실현될 뿐만 아니라, 그 프로그램의 지시에 근거해서, CPU 상에서 가동하고 있는 OS(오퍼레이팅 시스템) 등이 실제의 처리의 일부 또는 전부를 실행하고, 그 처리에 의해 상술한 각 실시 형태의 기능이 실현될 경우도 포함된다. Further, not only the functions of the above-described embodiments are realized by executing the program read by the CPU of the computer, but also the OS (operating system) operating on the CPU executes actual processing And the functions of the above-described embodiments are realized by the processing.

또한, 기억 매체로부터 판독된 프로그램이, 컴퓨터에 삽입된 기능 확장 보드나 컴퓨터에 접속된 기능 확장 유닛에 갖춰지는 메모리에 기입된 후, 그 프로그램의 지시에 근거해서, 그 기능 확장 보드나 기능 확장 유닛에 구비되는 CPU 등이 실제의 처리의 일부 또는 전부를 실행하고, 그 처리에 의해 상술한 각 실시 형태의 기능이 실현될 경우도 포함된다. After the program read from the storage medium is written in a memory provided in a function expansion board inserted in the computer or a function expansion unit connected to the computer, based on the instruction of the program, the function expansion board or the function expansion unit A CPU or the like provided in the computer executes part or all of the actual processing and the functions of the above-described embodiments are realized by the processing.

상기 프로그램의 형태는, 오브젝트 코드(object code), 인터프리터(interpreter)에 의해 실행되는 프로그램, OS에 공급되는 스크립트 데이터(script data) 등의 형태로 이루어져도 좋다.
The form of the program may be in the form of an object code, a program executed by an interpreter, or script data supplied to the OS.

W, Wa : 웨이퍼 10 : 기판 처리 장치
12 : 서셉터 15 : 처리실
18 : 제 1 고주파 전원 20 : 제 2 고주파 전원
40 : SiO2막 41 : 카본 막
42 : SiON막 43 : BARC막
44, 51, 60 : 홀 45 : 포토 레지스트 막
55 : 플라즈마 생성용의 고주파 전력
56 : 이온 인입용의 고주파 전력
58 : 폴리 실리콘 막
59 : 잔사막
W, Wa: Wafer 10: Substrate processing apparatus
12: susceptor 15: processing chamber
18: first high frequency power source 20: second high frequency power source
40: SiO 2 film 41: Carbon film
42: SiON film 43: BARC film
44, 51, 60: hole 45: photoresist film
55: High-frequency power for plasma generation
56: High-frequency power for ion attraction
58: polysilicon film
59: The Desert Desert

Claims (19)

내부에 플라즈마가 발생하는 처리실, 상기 처리실 내부에 배치된 탑재대, 상기 탑재대에 대향해서 상기 처리실 내부에 배치된 전극, 상기 처리실 내부에 제 1 고주파 전력을 인가하기 위한 제 1 고주파 전원, 상기 탑재대에 상기 제 1 고주파 전력보다도 주파수가 낮은 제 2 고주파 전력을 인가하기 위한 제 2 고주파 전원, 상기 전극에 직류 전력을 인가하기 위한 직류 전원을 구비하는 기판 처리 장치에 있어서 에칭 대상막과 상기 에칭 대상막상에 형성된 마스크막을 가지고, 상기 탑재대에 탑재된 기판에 에칭 처리를 실시하는 에칭 처리 방법으로서,
상기 기판상의 마스크막에 형성된 패턴의 형상을 개량하는 패턴 형상 개량 스텝과,
상기 패턴의 형상이 개량된 마스크막을 이용하여 상기 에칭 대상막을 플라즈마로 에칭하는 대상막 에칭 스텝
을 가지고,
상기 패턴 형상 개량 스텝에서는 상기 마스크막을 플라즈마로 에칭하고, 상기 대상막 에칭 스텝에서는, 상기 직류 전력을 상기 전극에 인가함과 아울러, 상기 제 2 고주파 전력을 상기 탑재대에 제 1 전력 레벨과 상기 제 1 전력 레벨보다 낮은 제 2 전력 레벨이 반복되는 펄스파 형상으로 인가하고,
상기 제 2 고주파 전력이 상기 탑재대에 상기 제 2 전력 레벨로 인가되는 것은, 상기 제 2 고주파 전력이 상기 탑재대에 인가되지 않는 상태를 포함하며,
상기 대상막 에칭 스텝에서는, 상기 직류 전력이 상기 전극에 인가되어 있는 동안에 상기 제 2 고주파 전력이 상기 탑재대에 인가되지 않는 상태를 만들어 내는 것에 의해, 상기 기판의 표면 상에 발생하는 시스를 소멸시켜 상기 직류 전력이 인가되는 상기 전극에서 발생하는 전자를 상기 에칭 대상막에 형성된 패턴으로 진입시키는 에칭 처리 방법.
A processing chamber in which a plasma is generated, a mounting table disposed inside the processing chamber, an electrode disposed inside the processing chamber opposite to the mounting table, a first high frequency power source for applying a first high frequency power to the inside of the processing chamber, Frequency power for applying a second high-frequency power having a frequency lower than the first high-frequency power, and a direct-current power source for applying direct-current power to the electrode, wherein in the substrate processing apparatus, An etching method for etching a substrate mounted on a mount table with a mask film formed on a film,
A pattern shape improving step of correcting a shape of a pattern formed on the mask film on the substrate,
A target film etching step for etching the target film with plasma using a mask film having an improved pattern shape;
To have,
In the pattern shape improving step, the mask film is etched by plasma, and in the target film etching step, the direct current power is applied to the electrode, and the second high frequency power is applied to the stage at the first power level and the second power level A second power level lower than the first power level is applied in the form of a repeating pulse wave,
The second high-frequency power is applied to the mount table at the second power level includes a state in which the second high-frequency power is not applied to the mount,
In the target film etching step, while the DC power is being applied to the electrode, the second high-frequency power is not applied to the mounting table, so that the sheath formed on the surface of the substrate is destroyed Wherein electrons generated at the electrode to which the direct current power is applied enter the pattern formed in the etching target film.
제 1 항에 있어서,
상기 대상막 에칭 스텝에서는, 상기 제 1 고주파 전력도 펄스파 형상으로 인가해서 상기 제 1 고주파 전력이 상기 처리실 내부에 인가되지 않는 상태를 만들어내는 에칭 처리 방법.
The method according to claim 1,
Wherein in the target film etching step, the first high frequency power is also applied in a pulsed wave form to create a state in which the first high frequency power is not applied to the inside of the processing chamber.
제 2 항에 있어서,
상기 대상막 에칭 스텝에서는, 상기 제 1 고주파 전력과 상기 제 2 고주파 전력을 동기시켜서 펄스파 형상으로 인가하는 에칭 처리 방법.
3. The method of claim 2,
And in the target film etching step, the first high-frequency power and the second high-frequency power are applied in a pulse-like shape in synchronization with each other.
제 1 항에 있어서,
상기 대상막 에칭 스텝에서 상기 기판에 발생하는 바이어스 전압의 전위보다 낮은 전위에서 상기 직류 전력을 상기 전극에 인가하는 에칭 처리 방법.
The method according to claim 1,
Wherein the direct current power is applied to the electrode at a potential lower than a potential of a bias voltage generated in the substrate in the target film etching step.
제 1 항에 있어서,
상기 대상막 에칭 스텝에서는, 상기 제 2 고주파 전력을 상기 탑재대에 1㎑∼50㎑ 중 어느 것인 주파수의 펄스파 형상으로 인가하는 에칭 처리 방법.
The method according to claim 1,
Wherein in the target film etching step, the second high frequency power is applied to the mounting table in a pulse shape of a frequency of 1 kHz to 50 kHz.
제 5 항에 있어서,
상기 주파수가 10㎑∼50㎑ 중 어느 것인 에칭 처리 방법.
6. The method of claim 5,
Wherein the frequency is 10 kHz to 50 kHz.
제 1 항에 있어서,
상기 대상막 에칭 스텝에서는, 펄스파 형상으로 인가되는 상기 제 2 고주파 전력의 듀티비가 10% ∼ 90% 중 어느 것인 에칭 처리 방법.
The method according to claim 1,
Wherein the duty ratio of the second high frequency power applied in the form of a pulse wave is 10% to 90% in the target film etching step.
제 7 항에 있어서,
상기 듀티비가 50% ∼ 90% 중 어느 것인 에칭 처리 방법.
8. The method of claim 7,
Wherein the duty ratio is 50% to 90%.
제 1 항에 있어서,
상기 대상막 에칭 스텝에서는, 상기 제 2 고주파 전력이 상기 탑재대에 인가되지 않는 상태를 적어도 5 ㎲동안 계속하는 에칭 처리 방법.
The method according to claim 1,
Wherein in the subject film etching step, the state in which the second high frequency power is not applied to the mounting table continues for at least 5 占 퐏.
제 1 항에 있어서,
상기 대상막 에칭 스텝에 있어서 상기 에칭 대상막에 형성되는 패턴의 애스펙트비는 30 이상인 에칭 처리 방법.
The method according to claim 1,
Wherein an aspect ratio of the pattern formed on the film to be etched in the target film etching step is 30 or more.
제 1 항에 있어서,
상기 마스크막은 유기막이며, 상기 패턴 형상 개량 스텝과 상기 대상막 에칭 스텝의 사이에, 상기 플라즈마로 에칭된 마스크막에 전자를 접촉시켜서 상기 마스크막을 경화시키는 마스크막 경화 스텝을 더 가지는 에칭 처리 방법.
The method according to claim 1,
Wherein the mask film is an organic film and further comprises a mask film curing step for curing the mask film by bringing electrons into contact with the mask film etched by the plasma between the pattern shape improving step and the target film etching step.
제 11 항에 있어서,
상기 마스크막 경화 스텝에서는, 상기 직류 전력을 상기 전극에 인가하는 에칭 처리 방법.
12. The method of claim 11,
And in the mask film hardening step, the direct current power is applied to the electrode.
제 12 항에 있어서,
상기 마스크막 경화 스텝에서는, 상기 인가되는 직류 전력의 전압은 -900V 이하인 에칭 처리 방법.

13. The method of claim 12,
Wherein in the mask film hardening step, the voltage of the applied direct current power is -900 V or less.

제 11 항에 있어서,
상기 마스크막 경화 스텝에서는, 퇴적성(depositive) 가스로부터 플라즈마를 생성시키는 에칭 처리 방법.
12. The method of claim 11,
In the mask film hardening step, a plasma is generated from depositive gas.
제 1 항에 있어서,
상기 마스크막은 무기막인 에칭 처리 방법.
The method according to claim 1,
Wherein the mask film is an inorganic film.
제 15 항에 있어서,
상기 무기막은 적어도 폴리실리콘 막을 포함하는 에칭 처리 방법.
16. The method of claim 15,
Wherein the inorganic film comprises at least a polysilicon film.
제 1 항에 있어서,
상기 패턴 형상 개량 스텝에서는, 상기 패턴의 형상을 개량함으로써, 상기 마스크막의 홀의 형상을 위쪽으로부터 바라보았을 경우에 있어서 둥근 원에 접근시키는 에칭 처리 방법.

The method according to claim 1,
Wherein in the pattern shape improving step, the shape of the pattern of the mask film is made closer to the round circle when the shape of the hole of the mask film is viewed from above.

제 1 항에 있어서,
상기 대상막 에칭 스텝에서는, 적어도 헬륨 가스를 포함하는 혼합 가스로부터 플라즈마를 생성시키는 에칭 처리 방법.
The method according to claim 1,
Wherein in the target film etching step, a plasma is generated from a mixed gas containing at least helium gas.
제 1 항에 있어서,
상기 대상막 에칭 스텝에서, 상기 제 2 전력 레벨은 상기 제 2 고주파 전력이 인가되지 않는 상태인 에칭 처리 방법.
The method according to claim 1,
Wherein in the target film etching step, the second power level is a state in which the second high frequency power is not applied.
KR1020110016251A 2010-02-24 2011-02-24 Etching processing method KR101760949B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2010039001 2010-02-24
JPJP-P-2010-039001 2010-02-24
JP2010179415A JP5662079B2 (en) 2010-02-24 2010-08-10 Etching method
JPJP-P-2010-179415 2010-08-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170090291A Division KR101860676B1 (en) 2010-02-24 2017-07-17 Etching processing method

Publications (2)

Publication Number Publication Date
KR20110097706A KR20110097706A (en) 2011-08-31
KR101760949B1 true KR101760949B1 (en) 2017-07-24

Family

ID=44877024

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020110016251A KR101760949B1 (en) 2010-02-24 2011-02-24 Etching processing method
KR1020170090291A KR101860676B1 (en) 2010-02-24 2017-07-17 Etching processing method

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170090291A KR101860676B1 (en) 2010-02-24 2017-07-17 Etching processing method

Country Status (3)

Country Link
JP (2) JP5662079B2 (en)
KR (2) KR101760949B1 (en)
TW (2) TWI518775B (en)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120022251A (en) * 2010-09-01 2012-03-12 삼성전자주식회사 Plasma etching method and apparatus thereof
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014082228A (en) * 2012-10-12 2014-05-08 Tokyo Electron Ltd Plasma etching method
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6029522B2 (en) * 2013-04-16 2016-11-24 東京エレクトロン株式会社 Method for forming a pattern
JP6320248B2 (en) * 2014-03-04 2018-05-09 東京エレクトロン株式会社 Plasma etching method
JP6151215B2 (en) * 2014-05-15 2017-06-21 東京エレクトロン株式会社 Plasma etching method
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6400425B2 (en) * 2014-10-15 2018-10-03 東京エレクトロン株式会社 Method for etching a multilayer film
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
JP6374781B2 (en) * 2014-12-10 2018-08-15 東京エレクトロン株式会社 Plasma processing method
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6462477B2 (en) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 Method for processing an object
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7138474B2 (en) 2018-05-15 2022-09-16 東京エレクトロン株式会社 Parts repair method and substrate processing system
JP6846387B2 (en) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 Plasma processing method and plasma processing equipment
JP2020009840A (en) 2018-07-04 2020-01-16 東京エレクトロン株式会社 Etching method and substrate processing apparatus
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10593518B1 (en) * 2019-02-08 2020-03-17 Applied Materials, Inc. Methods and apparatus for etching semiconductor structures
CN113035677B (en) * 2019-12-09 2023-01-24 中微半导体设备(上海)股份有限公司 Plasma processing apparatus and plasma processing method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005072518A (en) * 2003-08-28 2005-03-17 Hitachi Ltd Manufacturing method of semiconductor device and apparatus thereof
JP2008078515A (en) * 2006-09-25 2008-04-03 Tokyo Electron Ltd Plasma treatment method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4163857B2 (en) * 1998-11-04 2008-10-08 サーフィス テクノロジー システムズ ピーエルシー Method and apparatus for etching a substrate
DE10145297A1 (en) * 2001-09-14 2003-04-10 Bosch Gmbh Robert Method for etching structures into an etching body with a plasma
JP2004353066A (en) * 2003-05-30 2004-12-16 Toshio Goto Plasma source and plasma treatment system
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
JP5174319B2 (en) * 2005-11-11 2013-04-03 株式会社日立ハイテクノロジーズ Etching processing apparatus and etching processing method
WO2008021609A1 (en) * 2006-08-07 2008-02-21 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
SG140538A1 (en) * 2006-08-22 2008-03-28 Lam Res Corp Method for plasma etching performance enhancement
JP5192209B2 (en) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 Plasma etching apparatus, plasma etching method, and computer-readable storage medium
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
JP5578782B2 (en) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 Plasma processing method and computer-readable storage medium
JP5319150B2 (en) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and computer-readable storage medium
JP5213496B2 (en) * 2008-03-31 2013-06-19 東京エレクトロン株式会社 Plasma etching method and computer-readable storage medium

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005072518A (en) * 2003-08-28 2005-03-17 Hitachi Ltd Manufacturing method of semiconductor device and apparatus thereof
JP2008078515A (en) * 2006-09-25 2008-04-03 Tokyo Electron Ltd Plasma treatment method

Also Published As

Publication number Publication date
JP2015043470A (en) 2015-03-05
JP5662079B2 (en) 2015-01-28
TW201604958A (en) 2016-02-01
TWI567822B (en) 2017-01-21
KR101860676B1 (en) 2018-05-23
JP5802323B2 (en) 2015-10-28
KR20110097706A (en) 2011-08-31
KR20170087069A (en) 2017-07-27
TW201201274A (en) 2012-01-01
JP2011199243A (en) 2011-10-06
TWI518775B (en) 2016-01-21

Similar Documents

Publication Publication Date Title
KR101860676B1 (en) Etching processing method
US9496150B2 (en) Etching processing method
US10658189B2 (en) Etching method
KR102418244B1 (en) Etching method
KR102361782B1 (en) Etching method
US8404595B2 (en) Plasma processing method
US8449785B2 (en) Substrate processing method
KR102513051B1 (en) Etching method
CN105914144B (en) Etching method
KR102589406B1 (en) Etching method
TWI722187B (en) Etching method
JP2011060916A (en) Method for processing target object to be processed, and computer-readable storage medium
CN105810582B (en) etching method
TW201724252A (en) Etching method
JP2022115719A (en) Plasma processing apparatus and plasma processing method
TW202139787A (en) Substrate processing method and substrate processing apparatus
CN105810579B (en) Etching method
US20240087846A1 (en) Plasma processing apparatus and rf system
TWI835756B (en) Substrate processing method and substrate processing apparatus
KR20220147514A (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant