KR101734216B1 - 직교 결합 및 조정 - Google Patents

직교 결합 및 조정 Download PDF

Info

Publication number
KR101734216B1
KR101734216B1 KR1020167024953A KR20167024953A KR101734216B1 KR 101734216 B1 KR101734216 B1 KR 101734216B1 KR 1020167024953 A KR1020167024953 A KR 1020167024953A KR 20167024953 A KR20167024953 A KR 20167024953A KR 101734216 B1 KR101734216 B1 KR 101734216B1
Authority
KR
South Korea
Prior art keywords
frequency
signal
circuit
converted signal
combining
Prior art date
Application number
KR1020167024953A
Other languages
English (en)
Other versions
KR20160113300A (ko
Inventor
홍선 김
제임스 이안 자피
폴 쉬이
제레미 다렌 던워쓰
쳉-한 왕
프라사드 스리니바사 시바 구뎀
오자스 마헨드라 촉시
중동 박
Original Assignee
퀄컴 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 퀄컴 인코포레이티드 filed Critical 퀄컴 인코포레이티드
Publication of KR20160113300A publication Critical patent/KR20160113300A/ko
Application granted granted Critical
Publication of KR101734216B1 publication Critical patent/KR101734216B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/32Carrier systems characterised by combinations of two or more of the types covered by groups H04L27/02, H04L27/10, H04L27/18 or H04L27/26
    • H04L27/34Amplitude- and phase-modulated carrier systems, e.g. quadrature-amplitude modulated carrier systems
    • H04L27/36Modulator circuits; Transmitter circuits
    • H04L27/362Modulation using more than one carrier, e.g. with quadrature carriers, separately amplitude modulated
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/18Phase-modulated carrier systems, i.e. using phase-shift keying
    • H04L27/20Modulator circuits; Transmitter circuits
    • H04L27/2032Modulator circuits; Transmitter circuits for discrete phase modulation, e.g. in which the phase of the carrier is modulated in a nominally instantaneous manner
    • H04L27/2053Modulator circuits; Transmitter circuits for discrete phase modulation, e.g. in which the phase of the carrier is modulated in a nominally instantaneous manner using more than one carrier, e.g. carriers with different phases
    • H04L27/206Modulator circuits; Transmitter circuits for discrete phase modulation, e.g. in which the phase of the carrier is modulated in a nominally instantaneous manner using more than one carrier, e.g. carriers with different phases using a pair of orthogonal carriers, e.g. quadrature carriers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/18Phase-modulated carrier systems, i.e. using phase-shift keying
    • H04L27/20Modulator circuits; Transmitter circuits
    • H04L27/2032Modulator circuits; Transmitter circuits for discrete phase modulation, e.g. in which the phase of the carrier is modulated in a nominally instantaneous manner
    • H04L27/2053Modulator circuits; Transmitter circuits for discrete phase modulation, e.g. in which the phase of the carrier is modulated in a nominally instantaneous manner using more than one carrier, e.g. carriers with different phases
    • H04L27/206Modulator circuits; Transmitter circuits for discrete phase modulation, e.g. in which the phase of the carrier is modulated in a nominally instantaneous manner using more than one carrier, e.g. carriers with different phases using a pair of orthogonal carriers, e.g. quadrature carriers
    • H04L27/2067Modulator circuits; Transmitter circuits for discrete phase modulation, e.g. in which the phase of the carrier is modulated in a nominally instantaneous manner using more than one carrier, e.g. carriers with different phases using a pair of orthogonal carriers, e.g. quadrature carriers with more than two phase states
    • H04L27/2071Modulator circuits; Transmitter circuits for discrete phase modulation, e.g. in which the phase of the carrier is modulated in a nominally instantaneous manner using more than one carrier, e.g. carriers with different phases using a pair of orthogonal carriers, e.g. quadrature carriers with more than two phase states in which the data are represented by the carrier phase, e.g. systems with differential coding
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/32Carrier systems characterised by combinations of two or more of the types covered by groups H04L27/02, H04L27/10, H04L27/18 or H04L27/26
    • H04L27/34Amplitude- and phase-modulated carrier systems, e.g. quadrature-amplitude modulated carrier systems
    • H04L27/36Modulator circuits; Transmitter circuits
    • H04L27/362Modulation using more than one carrier, e.g. with quadrature carriers, separately amplitude modulated
    • H04L27/364Arrangements for overcoming imperfections in the modulator, e.g. quadrature error or unbalanced I and Q levels
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/0014Carrier regulation
    • H04L2027/0016Stabilisation of local oscillators

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Transceivers (AREA)
  • Superheterodyne Receivers (AREA)

Abstract

본 개시의 특정 양상들은, 직교 결합 및 조정을 수행하기 위한 방법들 및 장치를 제공한다. 일 예시적인 회로는, 제 1 내지 제 4 믹싱 회로들을 포함할 수 있다. 제 1 믹싱 회로는, 제 1 주파수 변환 신호를 생성하기 위해 라디오 주파수 신호를 제 1 국부 발진 신호와 곱할 수 있다. 제 2 믹싱 회로는, 제 2 주파수 변환 신호를 생성하기 위해 라디오 주파수(RF) 신호를 제 2 국부 발진 신호와 곱할 수 있으며, 제 2 국부 발진 신호는 제 1 국부 발진 신호와 위상이 약 90°다를 수 있다. 각각 제 3 및 제 4 주파수 변환 신호들을 생성하기 위해, 제 3 및 제 4 믹싱 회로들이 RF 신호를 각각 제 2 및 제 1 신호들과 곱할 수 있다. 제 1 결합 회로는 제 1 및 제 3 주파수 변환 신호들을 결합시킬 수 있고, 제 2 결합 회로는 제 2 및 제 4 주파수 변환 신호들을 결합시킬 수 있다.

Description

직교 결합 및 조정{QUADRATURE COMBINING AND ADJUSTING}
35 U.S.C. § 119 하의 우선권 주장
[0001] 본 출원은, 2014년 2월 19일자로 출원된 미국 가특허 출원 일련번호 제 61/941,908호 및 2014년 8월 21일자로 출원된 미국 특허 출원 제 14/465,442호를 우선권을 주장하고, 상기 출원 둘 모두는 그 전체가 인용에 의해 본원에 통합된다.
[0002] 본 개시내용의 특정 양상들은 일반적으로 라디오 주파수(RF) 전자 회로들에 관한 것으로, 더 상세하게는, 직교(quadrature) 결합 및 조정에 관한 것이다.
[0003] 무선 통신 네트워크들은 텔레포니(telephony), 비디오, 데이터, 메시징, 브로드캐스트들 등과 같은 다양한 통신 서비스들을 제공하기 위해 널리 배치되어 있다. 일반적으로 다중 액세스 네트워크들인 이러한 네트워크들은 이용가능한 네트워크 자원들을 공유함으로써 다수의 사용자들에 대한 통신들을 지원한다. 예를 들어, 하나의 네트워크는 EVDO(Evolution-Data Optimized), 1xRTT(1 times Radio Transmission Technology, 또는 단순히 1x), W-CDMA(Wideband Code Division Multiple Access), UMTS-TDD(Universal Mobile Telecommunications System ― Time Division Duplexing), HSPA(High Speed Packet Access), GPRS(General Packet Radio Service), 또는 EDGE(Enhanced Data rates for Global Evolution)를 포함하는 다양한 3G 라디오 액세스 기술(RAT; radio access technology)들 중 임의의 하나를 통해 네트워크 서비스를 제공할 수 있는 3G(제 3 세대의 모바일 폰 표준들 및 기술) 시스템일 수 있다. 3G 네트워크는, 음성 호(call)들에 부가하여, 고속 인터넷 액세스 및 비디오 텔레포니를 통합하도록 발전된 광역 셀룰러 텔레폰 네트워크이다. 또한, 3G 네트워크는 다른 네트워크 시스템들보다 더 잘 설정될 수 있고 그리고 더 큰 커버리지 영역들을 제공할 수 있다. 이러한 다중 액세스 네트워크들은 또한, 코드 분할 다중 액세스(CDMA; code division multiple access) 시스템들, 시분할 다중 액세스(TDMA; time division multiple access) 시스템들, 주파수 분할 다중 액세스(FDMA; frequency division multiple access) 시스템들, 직교 주파수 분할 다중 액세스(OFDMA; orthogonal frequency division multiple access) 시스템들, 단일-캐리어 FDMA(SC-FDMA) 네트워크들, 3세대 파트너쉽 프로젝트(3GPP; 3rd Generation Partnership Project) LTE(Long Term Evolution) 네트워크들, 및 LTE-A(Long Term Evolution Advanced) 네트워크들을 포함할 수 있다.
[0004] 무선 통신 네트워크는, 다수의 모바일 스테이션들에 대한 통신을 지원할 수 있는 다수의 기지국들을 포함할 수 있다. 모바일 스테이션(MS)은 다운링크 및 업링크를 통해 기지국(BS)과 통신할 수 있다. 다운링크(또는 순방향 링크)는 기지국으로부터 모바일 스테이션으로의 통신 링크를 지칭하고, 업링크(또는 역방향 링크)는 모바일 스테이션으로부터 기지국으로의 통신 링크를 지칭한다. 기지국은 다운링크 상에서 모바일 스테이션에 데이터 및 제어 정보를 송신할 수 있고 그리고/또는 모바일 스테이션으로부터 업링크 상에서 데이터 및 제어 정보를 수신할 수 있다.
[0005] 본 개시내용의 특정 양상들은 일반적으로, 라디오 주파수(RF) 회로들에서의 직교 결합 및 조정에 관한 것이다. 서로에 관해 위상이 90°(또는 거의 90°) 다른 신호들은 종종 “직교에 있는” 것으로 지칭된다.
[0006] 본 개시내용의 특정 양상들은, 주파수 변환 및 직교 결합을 위한 회로를 제공한다. 회로는 일반적으로, 제 1 주파수 변환 신호를 생성하기 위해 라디오 주파수(RF) 신호를 제 1 국부 발진(local oscillating) 신호와 곱하도록 구성되는 제 1 믹싱 회로; 제 2 주파수 변환된 신호를 생성하기 위해 RF 신호를 제 2 국부 발진 신호와 곱하도록 구성되는 제 2 믹싱 회로 ― 제 2 국부 발진 신호는 제 1 국부 발진 신호에 관하여 위상이 약 90°다름 ―; 제 3 주파수 변환 신호를 생성하기 위해 RF 신호를 제 2 국부 발진 신호와 곱하도록 구성되는 제 3 믹싱 회로; 제 4 주파수 변환 신호를 생성하기 위해 RF 신호를 제 1 국부 발진 신호와 곱하도록 구성되는 제 4 믹싱 회로; 제 1 주파수 변환 신호를 제 3 주파수 변환 신호와 결합시키도록 구성되는 제 1 결합 회로; 및 제 2 주파수 변환 신호를 제 4 주파수 변환 신호와 결합시키도록 구성되는 제 2 결합 회로를 포함한다.
[0007] 특정 양상들에 따르면, 회로는, 제 3 믹싱 회로와 제 1 결합 회로 사이에 개재(interpose)되고 그리고 제 1 주파수 변환 신호의 분율(fraction)인 진폭을 갖도록 제 3 주파수 변환 신호를 스케일링(scale)하게 구성되는 제 1 스케일링 회로; 및 제 4 믹싱 회로와 제 2 결합 회로 사이에 개재되고 그리고 제 2 주파수 변환 신호의 분율인 진폭을 갖도록 제 4 주파수 변환 신호를 스케일링하게 구성되는 제 2 스케일링 회로를 더 포함한다. 이러한 경우에서, 제 1 또는 제 2 스케일링 회로들 중 적어도 하나의 이득은 프로그래밍가능할 수 있다. 제 1 또는 제 2 스케일링 회로들 중 적어도 하나는, 각각, 제 3 또는 제 4 주파수 변환 신호의 위상을 인버팅(invert)하도록 구성될 수 있다. 제 1 또는 제 2 스케일링 회로들 중 적어도 하나의 위상 인버전(inversion)은 프로그래밍가능할 수 있다.
[0008] 특정 양상들에 따르면, 제 1 및 제 2 결합 회로들은, 개별적인 신호들을 전류 합산(current summing)시키기 위한 합산 노드들을 포함한다.
[0009] 특정 양상들에 따르면, 제 3 및 제 4 믹싱 회로들 중 적어도 하나는 프로그래밍가능할 수 있다.
[0010] 특정 양상들에 따르면, 제 1 및 제 2 국부 발진 신호들 및 제 1, 제 2, 제 3, 및 제 4 주파수 변환 신호들은 차동(differential) 신호들이다. 이러한 경우에서, RF 신호는 차동 신호일 수 있다.
[0011] 특정 양상들에 따르면, RF 신호는 트랜스컨덕턴스(transconductance) 증폭기로부터 수신될 수 있다.
[0012] 특정 양상들에 따르면, 제 1 발진 신호와 제 2 발진 신호 또는 제 1 믹싱 회로와 제 2 믹싱 회로 중 적어도 하나 사이의 위상 불균형(imbalance)은, 제 1 및 제 2 결합 회로들의 출력들에서 정정되거나 또는 적어도 조정될 수 있다.
[0013] 특정 양상들에 따르면, 회로는, 제 1 및 제 3 주파수 변환 신호들의 결합을 프로세싱하기 위한 제 1 기저대역 회로, 및 제 2 및 제 4 주파수 변환 신호들의 결합을 프로세싱하기 위한 제 2 기저대역 회로를 더 포함한다. 이러한 방식에서, 제 1 기저대역 회로와 제 2 기저대역 회로 사이의 위상 불균형은, 제 1 및 제 2 결합 회로들의 출력들에서 정정되거나 또는 적어도 조정되었을 수 있다.
[0014] 본 개시내용의 특정 양상들은, 주파수 변환 및 직교 결합을 위한 방법을 제공한다. 방법은 일반적으로, 제 1 주파수 변환 신호를 생성하기 위해 RF 신호를 제 1 국부 발진 신호와 곱하는 단계; 제 2 주파수 변환된 신호를 생성하기 위해 RF 신호를 제 2 국부 발진 신호와 곱하는 단계 ― 제 2 국부 발진 신호는 제 1 국부 발진 신호에 관하여 위상이 약 90°다름 ―; 제 3 주파수 변환 신호를 생성하기 위해 RF 신호를 제 2 국부 발진 신호와 곱하는 단계; 제 4 주파수 변환 신호를 생성하기 위해 RF 신호를 제 1 국부 발진 신호와 곱하는 단계; 제 1 주파수 변환 신호 및 제 3 주파수 변환 신호를 결합시키는 단계; 및 제 2 주파수 변환 신호 및 제 4 주파수 변환 신호를 결합시키는 단계를 포함한다.
[0015] 본 개시내용의 특정 양상들은, 주파수 변환 및 직교 결합을 위한 장치를 제공한다. 장치는 일반적으로, 제 1 주파수 변환 신호를 생성하기 위해 RF 신호를 제 1 국부 발진 신호와 곱하기 위한 수단; 제 2 주파수 변환된 신호를 생성하기 위해 RF 신호를 제 2 국부 발진 신호와 곱하기 위한 수단 ― 제 2 국부 발진 신호는 제 1 국부 발진 신호에 관하여 위상이 약 90°다름 ―; 제 3 주파수 변환 신호를 생성하기 위해 RF 신호를 제 2 국부 발진 신호와 곱하기 위한 수단; 제 4 주파수 변환 신호를 생성하기 위해 RF 신호를 제 1 국부 발진 신호와 곱하기 위한 수단; 제 1 주파수 변환 신호 및 제 3 주파수 변환 신호를 결합시키기 위한 수단; 및 제 2 주파수 변환 신호 및 제 4 주파수 변환 신호를 결합시키기 위한 수단을 포함한다.
[0016] 본 개시내용의 특정 양상들은, 주파수 변환 및 직교 결합을 위한 회로를 제공한다. 회로는 일반적으로, 제 1 주파수 변환 신호를 생성하기 위해 RF 신호를 제 1 국부 발진 신호와 곱하도록 구성되는 제 1 믹싱 회로; 제 2 주파수 변환된 신호를 생성하기 위해 RF 신호를 제 2 국부 발진 신호와 곱하도록 구성되는 제 2 믹싱 회로 ― 제 2 국부 발진 신호는 제 1 국부 발진 신호에 관하여 위상이 약 90°다름 ―; 제 1 주파수 변환 신호를 제 2 주파수 변환 신호의 부분과 결합시키도록 구성되는 제 1 결합 회로; 및 제 2 주파수 변환 신호를 제 1 주파수 변환 신호의 부분과 결합시키도록 구성되는 제 2 결합 회로를 포함한다.
[0017] 특정 양상들에 따르면, 회로는, (1) 제 2 믹싱 회로와 제 1 결합 회로 사이에 개재되고 그리고 제 1 주파수 변환 신호의 분율인 진폭을 갖도록 제 2 주파수 변환 신호의 부분을 스케일링하게 구성되는 제 1 스케일링 회로; 또는 (2) 제 1 믹싱 회로와 제 2 결합 회로 사이에 개재되고 그리고 제 2 주파수 변환 신호의 분율인 진폭을 갖도록 제 1 주파수 변환 신호의 부분을 스케일링하게 구성되는 제 2 스케일링 회로 중 적어도 하나를 더 포함한다. 이러한 경우에서, 제 1 또는 제 2 스케일링 회로 중 적어도 하나의 이득은 프로그래밍가능할 수 있다. 제 1 또는 제 2 스케일링 회로 중 적어도 하나는, 각각, 제 2 또는 제 1 주파수 변환 신호의 부분의 위상을 인버팅하도록 구성될 수 있다. 제 1 또는 제 2 스케일링 회로 중 적어도 하나의 위상 인버전은 프로그래밍가능할 수 있다. 특정 양상들에 대해, 제 1 또는 제 2 스케일링 회로 중 적어도 하나는, 제 1 또는 제 2 국부 발진 신호 중 적어도 하나에 의해 선택적으로 인에이블링(enable)될 수 있다.
[0018] 특정 양상들에 따르면, 제 1 및 제 2 결합 회로들은, 개별적인 신호들을 전류 합산시키기 위한 합산 노드들을 포함한다.
[0019] 특정 양상들에 따르면, 제 1 및 제 2 국부 발진 신호들 및 제 1 및 제 2 주파수 변환 신호들은 차동 신호들이다. RF 신호는 싱글-엔드형(single-ended) 또는 차동형 신호일 수 있다.
[0020] 특정 양상들에 따르면, RF 신호는 트랜스컨덕턴스 증폭기로부터 수신될 수 있다.
[0021] 특정 양상들에 따르면, 제 1 국부 발진 신호와 제 2 국부 발진 신호 또는 제 1 믹싱 회로와 제 2 믹싱 회로 중 적어도 하나 사이의 위상 불균형은, 제 1 및 제 2 결합 회로들의 출력들에서 정정되거나 또는 적어도 조정될 수 있다.
[0022] 특정 양상들에 따르면, 회로는, 제 1 주파수 변환 신호와 제 2 주파수 변환 신호의 부분의 결합을 프로세싱하도록 구성되는 제 1 기저대역 회로, 및 제 2 주파수 변환 신호와 제 1 주파수 변환 신호의 부분의 결합을 프로세싱하도록 구성되는 제 2 기저대역 회로를 더 포함한다. 이러한 방식에서, 제 1 기저대역 회로와 제 2 기저대역 회로 사이의 위상 불균형은, 제 1 주파수 변환 신호와 제 2 주파수 변환 신호의 부분의 결합 또는 제 2 주파수 변환 신호와 제 1 주파수 변환 신호의 부분의 결합에서 정정되었거나 또는 적어도 조정되었을 수 있다.
[0023] 본 개시내용의 특정 양상들은, 주파수 변환 및 직교 결합을 위한 방법을 제공한다. 방법은 일반적으로, 제 1 주파수 변환 신호를 생성하기 위해 RF 신호를 제 1 국부 발진 신호와 곱하는 단계; 제 2 주파수 변환된 신호를 생성하기 위해 RF 신호를 제 2 국부 발진 신호와 곱하는 단계 ― 제 2 국부 발진 신호는 제 1 국부 발진 신호에 관하여 위상이 약 90°다름 ―; 제 1 주파수 변환 신호를 제 2 주파수 변환 신호의 부분과 결합시키는 단계; 및 제 2 주파수 변환 신호를 제 1 주파수 변환 신호의 부분과 결합시키는 단계를 포함한다.
[0024] 본 개시내용의 특정 양상들은, 주파수 변환 및 직교 결합을 위한 장치를 제공한다. 장치는 일반적으로, 제 1 주파수 변환 신호를 생성하기 위해 RF 신호를 제 1 국부 발진 신호와 곱하기 위한 수단; 제 2 주파수 변환된 신호를 생성하기 위해 RF 신호를 제 2 국부 발진 신호와 곱하기 위한 수단 ― 제 2 국부 발진 신호는 제 1 국부 발진 신호에 관하여 위상이 약 90°다름 ―; 제 1 주파수 변환 신호를 제 2 주파수 변환 신호의 부분과 결합시키기 위한 수단; 및 제 2 주파수 변환 신호를 제 1 주파수 변환 신호의 부분과 결합시키기 위한 수단을 포함한다.
[0025] 본 개시내용의 위에 인용된 특성들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약되는 더 구체적인 설명은 양상들을 참조하여 행해질 수 있는데, 이러한 양상들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 이 설명은 다른 동등하게 효과적인 양상들에 대해 허용될 수 있기 때문에, 첨부된 도면들은 단지 본 개시의 특정한 통상적인 양상들만을 예시하며, 따라서, 본 개시내용의 범위에 대한 제한으로 고려되어서는 안됨이 유의되어야 한다.
[0026] 도 1은, 본 개시내용의 특정 양상들에 따른 예시적인 무선 통신 네트워크를 예시한다.
[0027] 도 2는 본 개시내용의 특정 양상들에 따른, 예시적인 액세스 포인트(AP) 및 사용자 단말들의 블록도이다.
[0028] 도 3은 본 개시내용의 특정 양상들에 따른 예시적인 트랜시버 프론트 엔드(front end)의 블록도이다.
[0029] 도 4a-4d는 각각 본 개시내용의 특정 양상들에 따른, 직교 신호들 간에 어떠한 위상 불균형도 없는 것, 직교 신호들 간의 위상 불균형, 직교 신호들 상에서의 심플렉스(simplex) 위상 정정, 및 직교 신호들 상에서의 듀플렉스(duplex) 위상 정정을 예시한다.
[0030] 도 5는 본 개시내용의 특정 양상들에 따른, 보조 믹서들을 사용하는 예시적인 개념적 위상 불균형 조정 회로를 예시한다.
[0031] 도 6a는 본 개시내용의 특정 양상들에 따른, 도 5의 위상 불균형 조정 회로의 예시적인 구현의 개략도이다.
[0032] 도 6b는 본 개시내용의 특정 양상들에 따른, 조정의 일 예시적인 효과의 간략화된 예시와 함께, 고정형 및 가변형 보조 믹서들을 갖는 도 6a의 위상 불균형 조정 회로의 예시적인 구현의 개략도이다.
[0033] 도 7은 본 개시내용의 특정 양상들에 따른, 보조 믹서들을 사용하는 예시적인 위상 불균형 조정 회로의 신호 수식(equation)들의 블록도이다.
[0034] 도 8은 본 개시내용의 특정 양상들에 따른, 도 6a의 구현에 대응하는 위상-정정된 신호 수식들과 연관된 예시적인 듀플렉스 위상 정정을 예시한다.
[0035] 도 9은 본 개시내용의 특정 양상들에 따른, 보조 믹서들을 사용하는 위상 불균형 조정 회로를 갖는 예시적인 라디오 주파수 프론트 엔드(RFFE; radio frequency front end)의 개략도이다.
[0036] 도 10은 본 개시내용의 특정 양상들에 따른, 믹서 출력 직교 신호들의 부분적 결합을 사용하는 위상 불균형 조정 회로를 갖는 예시적인 RFFE의 개략도이다.
[0037] 도 11은 본 개시내용의 특정 양상들에 따른, 믹서 출력 직교 신호들의 부분적 결합을 사용하는 예시적인 위상 불균형 조정 회로의 신호 수식들에 대한 블록도이다.
[0038] 도 12a 및 도 12b는 본 개시내용의 특정 양상들에 따른, 위상 불균형 조정 회로의 예시적인 구현들의 개략도들이며, 여기서, 국부 발진기(LO; local oscillator) 신호들이 부분적 결합을 제어한다.
[0039] 도 13은 본 개시내용의 특정 양상들에 따른, 도 10의 구현으로 수행될 수 있는 예시적인 위상 정정을 예시한다.
[0040] 도 14는 본 개시내용의 특정 양상들에 따른 예시적인 더블 밸런스드(double balanced) 믹서를 예시한다.
[0041] 도 15a-15f는 본 개시내용의 특정 양상들에 따른, 다양한 예시적인 극성 및/또는 이득 제어 회로들을 갖는 예시적인 믹서 구현을 예시한다.
[0042] 도 16 및 도 17은 본 개시내용의 특정 양상들에 따른, 위상 불균형을 정정하기 위한 노력으로의 직교 결합 및 조정을 위한 예시적인 동작들의 흐름도들이다.
[0043] 본 개시내용의 다양한 양상들이 아래에 설명된다. 본원에서의 교시들은 광범위하게 다양한 형태들로 구현될 수 있고, 본원에 개시되는 임의의 특정한 구조, 기능, 또는 둘 모두는 단지 견본일 뿐이라는 것이 명백할 것이다. 본원에서의 교시들에 기초하여, 당업자는, 본원에 개시된 일 양상이 임의의 다른 양상들과 독립적으로 구현될 수 있고, 그리고 이들 양상들 중 2개 또는 그 초과가 다양한 방식들로 결합될 수 있다는 것을 인식할 것이다. 예를 들어, 본원에 기재된 양상들 중 임의의 수의 양상들을 사용하여 장치가 구현될 수 있거나 또는 방법이 실시될 수 있다. 또한, 본원에 기술된 양상들 중 하나 또는 그 초과의 양상들에 부가하여 또는 그 이외의 다른 구조, 기능, 또는 구조 및 기능을 사용함으로써, 그러한 장치가 구현될 수 있거나 또는 그러한 방법이 실시될 수 있다. 또한, 양상은 청구항의 적어도 하나의 엘리먼트를 포함할 수 있다.
[0044] 용어 “예시적인”은, “예, 예증 또는 예시로서 기능하는” 것을 의미하도록 본원에서 사용된다. 본원에서 “예시적인” 것으로 설명되는 임의의 양상은 반드시 다른 양상들에 비해 바람직하거나 또는 유리한 것으로 해석될 필요는 없다.
[0045] 본원에 설명되는 기술들은, 코드 분할 다중 액세스(CDMA), 직교 주파수 분할 멀티플렉싱(OFDM), 시분할 다중 액세스(TDMA), 공간 분할 다중 액세스(SDMA), 단일 캐리어 주파수 분할 다중 액세스(SC-FDMA), 시분할 동기식 코드 분할 다중 액세스(TD-SCDMA) 등과 같은 다양한 무선 기술들과 결합하여 사용될 수 있다. 다수의 사용자 단말들은, 상이한, (1) CDMA에 대한 직교 코드 채널들, (2) TDMA에 대한 시간 슬롯들, 또는 (3) OFDM에 대한 서브-대역들을 통해 데이터를 동시에 송신/수신할 수 있다. CDMA 시스템은, IS-2000, IS-95, IS-856, 광대역-CDMA(W-CDMA), 또는 일부 다른 표준들을 구현할 수 있다. OFDM 시스템은, IEEE( Institute of Electrical and Electronics Engineers) 802.11(무선 로컬 영역 네트워크(WLAN)), IEEE 802.16(WiMAX; Worldwide Interoperability for Microwave Access), LTE(Long Term Evolution)(예컨대, TDD 및/또는 FDD 모드들로), 또는 몇몇 다른 표준들을 구현할 수 있다. TDMA 시스템은 GSM(Global System for Mobile Communications) 또는 몇몇 다른 표준들을 구현할 수 있다. 이들 다양한 표준들은 당업계에 알려져 있다. 본원에 설명되는 기술들은 또한, 글로벌 내비게이션 위성 시스템(GNSS; Global Navigation Satellite System), Bluetooth, IEEE 802.15(무선 개인 영역 네트워크(WPAN; Wireless Personal Area Network)), 근접 장 통신(NFC; Near Field Communication), 소형 셀(Small Cell), 주파수 변조(FM) 등을 포함하는 라디오 주파수(RF) 기술을 사용하는 다양한 다른 적절한 무선 시스템들 중 임의의 시스템에서 구현될 수 있다.
예시적인 무선 시스템
[0046] 도 1은, 액세스 포인트들 및 사용자 단말들을 갖는 무선 통신 시스템(100)을 예시한다. 단순화를 위해, 오직 하나의 액세스 포인트(110)가 도 1에 도시되어 있다. 액세스 포인트(AP)는 일반적으로, 사용자 단말들과 통신하는 고정 스테이션이고, 또한 기지국(BS), 이벌브드 노드 B(eNB; evolved Node B), 또는 일부 다른 용어로 지칭될 수 있다. 사용자 단말은(UT) 고정식이거나 또는 이동식일 수 있고, 또한 모바일 스테이션(MS), 액세스 단말, 사용자 장비(UE), 스테이션(STA), 클라이언트, 무선 디바이스 또는 일부 다른 용어로 지칭될 수 있다. 사용자 단말은, 무선 디바이스, 이를테면 셀룰러 폰, 개인 휴대 정보 단말(PDA), 핸드헬드(handheld) 디바이스, 무선 모뎀, 랩톱 컴퓨터, 태블릿, 개인용 컴퓨터 등일 수 있다.
[0047] 액세스 포인트(110)는 임의의 주어진 순간에 다운링크 및 업링크를 통해 하나 또는 그 초과의 사용자 단말들(120)과 통신할 수 있다. 다운링크(즉, 순방향 링크)는 액세스 포인트로부터 사용자 단말들로의 통신 링크이고, 업링크(즉, 역방향 링크)는 사용자 단말들로부터 액세스 포인트로의 통신 링크이다. 사용자 단말은 또한 다른 사용자 단말과 피어-투-피어로 통신할 수 있다. 시스템 제어기(130)는 액세스 포인트들에 커플링되고, 액세스 포인트들에 대한 조정 및 제어를 제공한다.
[0048] 시스템(100)은 다운링크 및 업링크를 통한 데이터 송신을 위해 다수의 송신 및 다수의 수신 안테나들을 이용한다. 액세스 포인트(110)는, 다운링크 송신들에 대한 송신 다이버시티(diversity) 및/또는 업링크 송신들에 대한 수신 다이버시티를 달성하기 위해 다수(Nap)의 안테나들을 구비한다. 선택된 사용자 단말들(120)의 세트(Nu)는, 다운링크 송신들을 수신하고 업링크 송신들을 송신할 수 있다. 각각의 선택된 사용자 단말은 액세스 포인트에 사용자-특정 데이터를 송신하고 그리고/또는 액세스 포인트로부터 사용자-특정 데이터를 수신한다. 일반적으로, 각각의 선택된 사용자 단말은 하나 또는 다수의 안테나들(즉, Nut≥1)을 구비할 수 있다. Nu개의 선택된 사용자 단말들은 동일한 수 또는 상이한 수의 안테나들을 가질 수 있다.
[0049] 무선 시스템(100)은 시분할 듀플렉스(TDD) 시스템 또는 주파수 분할 듀플렉스(FDD) 시스템일 수 있다. TDD 시스템의 경우, 다운링크 및 업링크는 동일한 주파수 대역을 공유한다. FDD 시스템의 경우, 다운링크 및 업링크는 상이한 주파수 대역들을 이용한다. 시스템(100)은 또한 송신을 위해 단일 캐리어 또는 다수의 캐리어들을 활용할 수 있다. 각각의 사용자 단말은 (예를 들어, 비용을 절감하기 위해) 단일 안테나 또는 (예를 들어, 부가적인 비용이 지원될 수 있는 경우) 다수의 안테나들을 구비할 수 있다.
[0050] 도 2는 무선 시스템(100)에서의 액세스 포인트(110) 및 2개의 사용자 단말들(120m 및 120x)의 블록도를 도시한다. 액세스 포인트(110)는 Nap개의 안테나들(224a 내지 224ap)을 구비한다. 사용자 단말(120m)은 Nut,m개의 안테나들(252ma 내지 252mu)을 구비하고, 사용자 단말(120x)은 Nut,x개의 안테나들(252xa 내지 252xu)을 구비한다. 액세스 포인트(110)는 다운링크에 대해서는 송신 엔티티이고 업링크에 대해서는 수신 엔티티이다. 각각의 사용자 단말(120)은 업링크에 대해서는 송신 엔티티이고 다운링크에 대해서는 수신 엔티티이다. 본원에서 사용되는 바와 같이, "송신 엔티티"는 주파수 채널을 통해 데이터를 송신하는 것이 가능한 독립적으로 동작되는 장치 또는 디바이스이고, "수신 엔티티"는 주파수 채널을 통해 데이터를 수신하는 것이 가능한 독립적으로 동작되는 장치 또는 디바이스이다. 다음의 설명에서, 아래첨자 "dn"은 다운링크를 나타내고, 아래첨자 "up"는 업링크를 나타내고, Nup개의 사용자 단말들은 업링크를 통한 동시 송신을 위해 선택되고, Ndn개의 사용자 단말들은 다운링크를 통한 동시 송신을 위해 선택되고, Nup는 Ndn과 동일할 수 있거나 또는 동일하지 않을 수 있고, Nup 및 Ndn은 정적 값들이거나, 또는 각각의 스케줄링 인터벌(interval)에 대해 변할 수 있다. 액세스 포인트 및 사용자 단말에서 빔-스티어링(steering) 또는 일부 다른 공간 프로세싱 기술이 이용될 수 있다.
[0051] 업링크 상에서, 업링크 송신을 위해 선택된 각각의 사용자 단말(120)에서, TX 데이터 프로세서(288)는 데이터 소스(286)로부터 트래픽 데이터 및 제어기(280)로부터 제어 데이터를 수신한다. TX 데이터 프로세서(288)는 사용자 단말에 대해 선택된 레이트와 연관되는 코딩 및 변조 방식들에 기초하여 사용자 단말에 대한 트래픽 데이터 {dup}를 프로세싱(예를 들어, 인코딩, 인터리빙 및 변조)하고, Nut,m개의 안테나들 중 하나에 데이터 심볼 스트림 {sup}를 제공한다. 트랜시버 프론트 엔드(TX/RX)(254)(라디오 주파수 프론트 엔드(RFFE)로 또한 알려져 있음)는 각각의 심볼 스트림을 수신 및 프로세싱(예를 들어, 아날로그로 변환, 증폭, 필터링 및 주파수 상향변환)하여, 업링크 신호를 생성한다. 트랜시버 프론트 엔드(254)는 또한, 예를 들어, RF 스위치를 통해서, 송신 다이버시티를 위해 Nut,m개의 안테나들 중 하나로 업링크 신호를 라우팅(route)할 수 있다. 제어기(280)는 트랜시버 프론트 엔드(254) 내의 라우팅을 제어할 수 있다.
[0052] 다수(Nup)의 사용자 단말들이 업링크를 통한 동시 송신을 위해 스케줄링될 수 있다. 이 사용자 단말들 각각은 자신의 프로세싱된 심볼 스트림의 세트를 업링크를 통해 액세스 포인트에 송신한다.
[0053] 액세스 포인트(110)에서, Nap개의 안테나들(224a 내지 224ap)은 업링크를 통해 송신하는 모든 Nup개의 사용자 단말들로부터 업링크 신호들을 수신한다. 수신 다이버시티의 경우, 트랜시버 프론트 엔드(222)는, 프로세싱을 위해 안테나들(224) 중 하나로부터 수신되는 신호들을 선택할 수 있다. 본 개시내용의 특정 양상들의 경우, 다수의 안테나들(224)로부터 수신되는 신호들의 결합이 향상된 수신 다이버시티를 위해 결합될 수 있다. 액세스 포인트의 트랜시버 프론트 엔드(222)는 또한, 사용자 단말의 트랜시버 프론트 엔드(254)에 의해 수행되는 것과 상보적인 프로세싱을 수행하고, 복원된 업링크 데이터 심볼 스트림을 제공한다. 복원된 업링크 데이터 심볼 스트림은 사용자 단말에 의해 송신되는 데이터 심볼 스트림 {sup}의 추정치이다. RX 데이터 프로세서(242)는 복원된 업링크 데이터 심볼 스트림을 그 스트림에 대해 사용된 레이트에 따라 프로세싱(예를 들어, 복조, 디인터리빙(deinterleave) 및 디코딩)하여, 디코딩된 데이터를 획득한다. 각각의 사용자 단말에 대해 디코딩된 데이터는 저장을 위해 데이터 싱크(sink)(244)에 제공될 수 있고, 그리고/또는 추가적 프로세싱을 위해 제어기(230)에 제공될 수 있다.
[0054] 다운링크 상에서, 액세스 포인트(110)에서, TX 데이터 프로세서(210)는, 다운링크 송신을 위해 스케줄링된 Ndn개의 사용자 단말들에 대한 데이터 소스(208)로부터 트래픽 데이터를, 제어기(230)로부터 제어 데이터를, 그리고 스케줄러(234)로부터 가능한 다른 데이터를 수신한다. 다양한 타입들의 데이터가 상이한 전송 채널들을 통해 전송될 수 있다. TX 데이터 프로세서(210)는 각각의 사용자 단말에 대해 선택된 레이트에 기초하여 각각의 사용자 단말에 대한 트래픽 데이터를 프로세싱(예를 들어, 인코딩, 인터리빙 및 변조)한다. TX 데이터 프로세서(210)는, Nap개의 안테나들 중 하나로부터 송신될, Ndn개의 사용자 단말들 중 하나 또는 그 초과에 대한 다운링크 데이터 심볼 스트림들을 제공할 수 있다. 트랜시버 프론트 엔드(222)는, 심볼 스트림을 수신하고 프로세싱(예컨대, 아날로그로 변환, 증폭, 필터링, 및 주파수 상향변환)하여 다운링크 신호를 생성한다. 트랜시버 프론트 엔드(222)는 또한, 예를 들어, RF 스위치를 통해서, 송신 다이버시티를 위해 Nap개의 안테나들(224) 중 하나 또는 그 초과로 다운링크 신호를 라우팅할 수 있다. 제어기(230)는 트랜시버 프론트 엔드(222) 내의 라우팅을 제어할 수 있다.
[0055] 각각의 사용자 단말(120)에서, Nut,m개의 안테나들(252)은 액세스 포인트(110)로부터 Nap개의 다운링크 신호들을 수신한다. 사용자 단말(120)에서의 수신 다이버시티의 경우, 트랜시버 프론트 엔드(254)는 프로세싱을 위해 안테나들(252) 중 하나로부터 수신되는 신호들을 선택할 수 있다. 본 개시내용의 특정 양상들의 경우, 다수의 안테나들(252)로부터 수신되는 신호들의 결합이 향상된 수신 다이버시티를 위해 결합될 수 있다. 사용자 단말의 트랜시버 프론트 엔드(254)는 또한, 액세스 포인트의 트랜시버 프론트 엔드(222)에 의해 수행되는 것과 상보적인 프로세싱을 수행하고, 복원된 다운링크 데이터 심볼 스트림을 제공한다. RX 데이터 프로세서(270)는 복원된 다운링크 데이터 심볼 스트림을 프로세싱(예를 들어, 복조, 디인터리빙 및 디코딩)하여, 사용자 단말에 대한 디코딩된 데이터를 획득한다.
[0056] 당업자들은, TDMA, SDMA 직교 주파수 분할 다중 액세스(OFDMA), CDMA, SC-FDMA, 및 이들의 결합들과 같은 다중 액세스 방식들 중 임의의 타입을 이용하는 시스템들에 본원에 설명되는 기술들이 일반적으로 적용될 수 있다는 것을 인지할 것이다.
[0057] 도 3은 본 개시내용의 특정 양상들에 따른, 예시적인 트랜시버 프론트 엔드(300), 이를테면 도 2의 트랜시버 프론트 엔드들(222, 254)의 블록도이다. 트랜시버 프론트 엔드(300)는, 하나 또는 그 초과의 안테나들을 통해 신호들을 송신하기 위한 송신(TX) 경로(302)(송신 체인(chain)으로서 또한 알려져 있음), 및 안테나들을 통해 신호들을 수신하기 위한 수신(RX) 경로(304)(수신 체인으로서 또한 알려져 있음)를 포함한다. TX 경로(302) 및 RX 경로(304)가 안테나(303)를 공유하는 경우, 경로들은 인터페이스(306)를 통해 안테나와 연결될 수 있는데, 인터페이스(306)는, 듀플렉서, 스위치, 다이플렉서(diplexer) 등과 같은 다양한 적절한 RF 디바이스들 중 임의의 디바이스를 포함할 수 있다.
[0058] 디지털-아날로그 변환기(DAC; digital-to-analog converter)(308)로부터 동상(in-phase)(I) 또는 직교위상(Q) 기저대역 아날로그 신호들을 수신하는 TX 경로(302)는, 기저대역 필터(BBF)(310), 믹서(312), 드라이버 증폭기(DA)(314) 및 전력 증폭기(316)를 포함할 수 있다. BBF(310), 믹서(312), 및 DA(314)는 라디오 주파수 집적 회로(RFIC) 내에 포함될 수 있지만, PA(316)는 종종 RFIC 외부에 있다. BBF(310)는 DAC(308)로부터 수신되는 기저대역 신호들을 필터링하고, 믹서(312)는, 관심 기저대역 신호를 상이한 주파수로 변환(예컨대, 기저대역으로부터 RF로 상향변환)하기 위해, 필터링된 기저대역 신호들을 송신 국부 발진기(LO) 신호와 믹싱한다. 이러한 주파수 변환 프로세스는, LO 주파수 및 관심 신호 주파수의 합(sum) 및 차(difference) 주파수들을 생성한다. 합 및 차 주파수들은 비트(beat) 주파수들로서 지칭된다. 비트 주파수들이 통상적으로 RF 범위에 있음으로써, 믹서(312)에 의해 출력되는 신호들이 통상적으로 RF 신호들이며, 이들 출력되는 신호들은 안테나(303)에 의한 송신 이전에 DA(314) 및 PA(316)에 의해 증폭된다.
[0059] RX 경로(304)는 저 잡음 증폭기(LNA)(322), 믹서(324) 및 기저대역 필터(BBF)(326)를 포함한다. LNA(322), 믹서(324), 및 BBF(326)는 라디오 주파수 집적 회로(RFIC) 내에 포함될 수 있으며, 이는 TX 경로 컴포넌트들을 포함하는 것과 동일한 RFIC일 수 있거나 또는 동일한 RFIC가 아닐 수 있다. 안테나(303)를 통해 수신되는 RF 신호들은 LNA(322)에 의해 증폭될 수 있고, 믹서(324)는, 관심 RF 신호를 상이한 기저대역 주파수로 변환(즉, 하향변환)하기 위해, 증폭된 RF 신호들을 수신 로컬 발진기(LO) 신호와 믹싱한다. 믹서(324)에 의해 출력되는 기저대역 신호들은, 디지털 신호 프로세싱을 위한 I 또는 Q 신호들을 아날로그-디지털 변환기(ADC; analog-to-digital converter)(328)에 의해 변환되기 이전에, BBF(326)에 의해 필터링될 수 있다.
[0060] LO의 출력에 대한 주파수를 안정되게 유지하는 것이 바람직하지만, 상이한 주파수들로 튜닝(tune)하는 것은 가변-주파수 발진기를 사용하는 것을 표시하며, 이는 안정성과 튜닝가능성 사이의 절충들을 수반한다. 당대의 시스템들은, 특정 튜닝 범위를 갖는 안정된 튜닝가능한 LO를 생성하기 위해, 전압-제어형 발진기(VCO)를 갖는 주파수 합성기(synthesizer)들을 이용한다. 따라서, 송신 LO는 통상적으로 TX 주파수 합성기(318)에 의해 생성되며, 송신 LO는 믹서(312)에서 기저대역 신호들과 믹싱되기 이전에 증폭기(320)에 의해 증폭되거나 또는 버퍼링될 수 있다. 유사하게, 수신 LO는 통상적으로 RX 주파수 합성기(330)에 의해 생성되며, 수신 LO는 믹서(324)에서 RF 신호들과 믹싱되기 이전에 증폭기(332)에 의해 증폭되거나 또는 버퍼링될 수 있다. 송신 LO(및/또는 수신 LO)는, 예를 들어, 정수 값으로 VCO 신호를 주파수 분할함으로써 생성되거나 또는 VCO 주파수를 LO 주파수로 변환하는 LO 생성 회로를 사용함으로써 생성될 수 있다. 예시적인 LO 생성 회로는, “Local Oscillator Leakage Control in Direct Conversion Processes”이라는 명칭으로 2001년 12월 10일자로 출원된 Peterzell 등의 미국 특허 제 6,960,962호에서 발견될 수 있으며, 상기 특허는 그 전체가 인용에 의해 본원에 통합된다. 도 3에 도시되진 않았지만, 당업자는, 송신 LO(또는 수신 LO) 주파수 분할 또는 생성 회로가 TX 주파수 합성기(318)(또는 RX 주파수 합성기(330) 내부에 존재한다는 것을 이해할 것이다.
예시적인 직교 결합 및 조정
[0061] 라디오 주파수(RF) 신호들을 송신하는 무선 통신 시스템들은 통상적으로, 동상(I) 및 직교위상(Q) 컴포넌트들을 이용하며, 여기서, Q 컴포넌트는 대략적으로 I 컴포넌트와 위상이 90°다르다. 이상적으로는, Q 컴포넌트가 I 컴포넌트와 정확히 위상이 90°다르도록, I와 Q 컴포넌트들 간에 어떠한 위상 미스매치(mismatch)도 존재하지 않을 것이다. 이러한 이상적인 상황이 도 4a의 벡터도(vector diagram)(400)에 예시되며, 여기서, “P” 및 “M”은 포지티브(positive) 및 네거티브(negative) 차동 신호들을 표현한다. 따라서, 벡터 QP는 차동 Q 신호의 +Q 신호의 이득 및 위상을 표현하는 한편, 벡터 QM은 -Q 신호의 이득 및 위상을 표현한다. 유사하게, 벡터 IP는 차동 I 신호의 +I 신호의 이득 및 위상을 표현하는 한편, 벡터 IM은 -I 신호의 이득 및 위상을 표현한다.
[0062] 그러나, 통상적으로, 도 4b의 벡터도(410)에 예시된 바와 같이, I 및 Q 컴포넌트들 간에 (이상적인 90°보다 더 크거나 또는 더 적은) 약간의 위상 불균형이 존재함으로써, 증가된 잔여 측대역(RSB; residual sideband)이 존재한다(즉, 이미지 리젝션(image rejection)이 악화됨). 그러한 위상 불균형은 현실-세계 RF 회로들에서 매우 일반적이며, 회로 컴포넌트들(예컨대, 트랜지스터들, 저항기들, 및 커패시터들)이 I 및 Q 경로들 간에 완전히 매칭되지 않는 경우 발생한다.
[0063] RSB 위상 에러를 제거하려는 시도에서, I 또는 Q 국부 발진기(LO) 및/또는 기저대역(BB) 중 어느 하나는, 도 4c의 벡터도(420)(여기서, IP/IM 신호들은, 예를 들어, 실선(422)으로부터 점선(424)으로 조정됨)에서 예시된 바와 같이, 심플렉스 위상 불균형 정정에서 (예컨대, 믹서들에 의해 생성되는 I 또는 Q 기저대역 신호 내에 의도적인 지연을 도입시킴으로써) 위상 시프팅(phase shift)될 수 있다. 그러나, 심플렉스 정정은, 점선(424)에 의해 예시되는 바와 같이 진폭 에러를 도입시키며, 여기서, 조정된 IP/IM 신호들은 QP/QM 신호들보다 더 작은 진폭을 갖는다.
[0064] 따라서, 진폭 에러를 도입시키지 않는 개선된 RSB 위상 에러 교정을 위한 기술들 및 장치가 요구된다.
보조 믹서들을 사용하는 위상 조정
[0065] 본 개시내용의 특정 양상들은, 기저대역(BB) I 및 Q 컴포넌트들에서의 위상 불균형을 정정하려는 노력으로, 무선 통신 디바이스의 RFFE 내의 I 및 Q 믹서들의 출력들에서 위상 불균형 조정을 수행한다. 특정 양상들에 대해, 이러한 조정은, 종래의 I 및 Q 믹서들과 함께 보조 믹서들을 사용하여 수행될 수 있다.
[0066] 도 5는 본 개시내용의 양상에 따른, 보조 믹서들(502, 504)을 사용하는 예시적인 개념적 위상 불균형 조정 회로(500)를 예시한다. 도 5의 상단에서부터 하단으로, I 보조 믹서(502), I 믹서(506), Q 믹서(508), 및 Q 보조 믹서(504)를 예시한다. 부분적 Q 출력(예컨대, Q 보조 믹서에 의해 출력되는 신호의 이득의 분율)과 I 출력을 결합(예컨대, 전류 결합)시키고 그리고 부분적 I 출력을 Q 출력과 결합시키기 위해 2개의 보조 믹서들(502, 504)이 사용된다. 이러한 예에서, I 보조 믹서(502)의 1/16 출력 이 Q 믹서(508)의 출력과 (예컨대, 전류 합산을 통해) 결합되고, Q 보조 믹서(504)의 1/16 출력이 I 믹서(506)의 출력과 결합된다. 본 개시내용의 특정 양상들은, 보조 믹서들(502, 504)의 출력의 임의의 적절한 부분을 사용할 수 있으며, 1/16의 분율로 제한되지 않는다. 이러한 방식으로 I 및 Q 믹서 출력들을 결합시킴으로써, 도 4d의 벡터도(430)에 도시된 바와 같이 듀플렉스 위상 불균형 조정이 달성될 수 있다. 듀플렉스 위상 불균형 조정으로, IP/IM 및 QP/QM 차동 신호 쌍들 둘 모두의 위상들은 각각 실선들(431, 432)로부터 점선들(433, 434)로 조정된다.
[0067] 도 6a는 본 개시내용의 양상에 따른, 차동 신호들을 사용하는 도 5의 위상 불균형 조정 회로(500)의 예시적인 구현의 개략도이다. 정상(normal) I 및 Q 믹서들(606, 608)은 굵은 선의 믹싱 스테이지들로 예시되는 한편, 보조 I 및 Q 믹서들(602, 604)은 얇은 스택형(stacked) 믹싱 스테이지들로 표현된다. 정상 I 및 Q 믹서들(606, 608) 및 보조 I 및 Q 믹서들(602, 604)은 싱글-밸런스드(single-balanced) 또는 더블-밸런스드 믹서들일 수 있다. 정상 I 및 Q 믹서들(606, 608) 및 보조 I 및 Q 믹서들(602, 604)은, 믹서 출력 신호들을 스케일링하는 것을 허용하는 임의의 믹서 구조, 이를테면, 본원에서 설명되는 믹서들, 및 인용에 의해 그 전체가 본원에 통합되는, “Quadrature Radio Frequency Mixer with Low Noise and Low Conversion Loss”이라는 명칭으로 2008년 1월 7일자로 출원된 Cicalini에 의한 미국 특허 제 8,072,255호에서 설명되는 믹서들로 구현될 수 있다. 또한, 정상 I 및 Q 믹서들(606, 608) 및 보조 I 및 Q 믹서들(602, 604)은, 수용가능한 잡음 및 변환 이득을 제공하는 듀티 사이클과 같은 임의의 적절한 듀티 사이클을 갖는 LO 신호들을 수신할 수 있다. 예를 들어, 믹서들은 공칭적으로 25% 듀티 사이클, 공칭적으로 25%보다 약간 더 큰 듀티 사이클, 또는 공칭적으로 50% 듀티 사이클 I 및 Q LO 신호들로 구현될 수 있다.
[0068] “X” 박스들은, 보조 I 및 Q 믹서들(602, 604)의 차동 출력들이 (2개의 차동 신호 라인들을 효과적으로 스와핑(swap)함으로써) 진폭 조정 및/또는 위상 인버팅될 수 있게 하는 극성 및/또는 이득 제어 회로들(610)을 표현한다. 극성 및/또는 이득 제허 회로들(610)의 분해도는, 예시적인 디바이스들(예컨대, 가변 저항들과 결합될 수 있거나 또는 트라이오드(triode) 영역에서 동작되는 트랜지스터들(612)과 결합될수 있거나 또는 그러한 트랜지스터들로 구현될 수 있는 스위치들), 및 극성 및/또는 이득 제어를 구현하기 위한 연결들을 예시한다. 극성 및/또는 이득 제어 회로들(610)의 더 상세한 예들이 아래에 설명된다.
[0069] 입력 RF 신호(RFin)는, 저 잡음 증폭기(LNA)(622)에 의해 증폭, 버퍼링, 또는 감쇠(attenuate)될 수 있다. LNA(622)는, 입력 전압을 수신하고 출력 전류를 생성하도록 구성되는 트랜스컨덕턴스 증폭기일 수 있다. LNA(622)는 싱글-엔드형 신호 또는 차동형 신호들을 출력할 수 있다. LNA(622)의 출력이 도 6a에 도시된 바와 같은 차동 신호이면, 정상 I 및 Q 믹서들(606, 608) 및 보조 I 및 Q 믹서들(602, 604)은 더블-밸런스드 믹서들일 가능성이 클 수 있다. 그러나, LNA(622)의 출력이 싱글-엔드형 신호이면, 정상 및 보조 I 및 Q 믹서들은 싱글-밸런스드 믹서들일 가능성이 클 수 있다.
[0070] LNA(622)로부터의 출력 신호는, 정상 I 믹서(606)에 의해 동상 LO(LO_I)와 믹싱되어, 정상 I 믹서(606)에 입력되는 2개의 신호들의 합 및 차에서의 주파수 컴포넌트들을 갖는 출력 동상 신호(I_out)를 생성할 수 있다. 유사하게, LNA(622)로부터의 출력 신호는 또한, 정상 Q 믹서(608)에 의해 직교 LO(LO_Q, 이는 LO_I와 위상이 90°다름)와 믹싱되어, 정상 Q 믹서(608)에 입력되는 2개의 신호들의 합 및 차에서의 주파수 컴포넌트들을 갖는 출력 직교 신호(Q_out)를 생성할 수 있다. 또한, 보조 I 믹서(602)는, LNA(622)로부터의 출력 신호를 LO_I와 믹싱할 수 있으며, 출력 믹싱된 신호는, 정상 Q 믹서(608)의 출력과 결합되어 Q_out을 형성한다. 특정 양상들에 대해, 극성 및/또는 이득 제어 회로(610)는, 보조 I 믹서(602)로부터의 출력 신호를, 정상 Q 믹서(608)의 출력과 결합하기 이전에 인버팅 및/또는 감쇠시키는데 사용될 수 있다. 유사하게, 보조 Q 믹서(604)는, LNA(622)로부터의 출력 신호를 LO_Q와 믹싱할 수 있으며, 이러한 출력 믹싱된 신호는, 정상 I 믹서(606)의 출력과 결합되어 I_out을 형성한다. 특정 양상들에 대해, 극성 및/또는 이득 제어 회로(610)는, 보조 Q 믹서(604)로부터의 출력 신호를, 정상 I 믹서(606)의 출력과 결합하기 이전에 인버팅 및/또는 감쇠시키는데 사용될 수 있다. 이러한 방식에서, 보조 믹서들(602, 604)은, 도 4d에 예시된 바와 같은 듀플렉스 위상 불균형 조정을 달성하기 위해 사용될 수 있다. 특정 양상들에 대해, 정상 및 보조 믹서들로부터의 신호들을 결합시키는 것은, 각각의 신호들을 전류 합산시키기 위한 합산 노드들(614)에서 발생할 수 있다.
[0071] 특정 양상들에 대해, 도 6a에 도시된 보조 믹서들(602, 604)은, 도 6b에 예시된 바와 같이, 고정형 및 가변형 보조 믹서들을 포함할 수 있다. 고정형 보조 I 및 Q 믹서들(602a, 604a)은, 각각 정상 Q 및 I 믹서들(608, 606)에 의해 생성되는 Q 및 I 기저대역 신호들(예컨대, Q_out 및 I_out)에 일정한 위상 시프트를 부가할 수 있다. 대조적으로, 가변형 보조 I 및 Q 믹서들(602b, 604b)은, 가변형 믹서들의 트랜지스터들의 게이트 전압들을 변경함으로써 RSB가 정정(또는 적어도 감소)될 수 있도록 조정가능하다. 고정형 보조 믹서들(602a, 604a)은, 전체 I_main 및 Q_main 축의 위상을 동일한 양만큼 회전시키는 효과를 가질 수 있다. 이것은, 도 6b의 간략화된 2-벡터 도면(650)에서 예시된다. IB_fix_aux의 위상 시프트는 Q_fix_aux의 시프트와 동일하고, 전체 성상도(constellation)(여기서는, Q_main 벡터 및 I_main 벡터)를 반시계방향으로 회전시킨다. IB_fix_aux 및 Q_fix_aux의 위상 시프트의 크기 및 각 방향은 도 6b에 의해 제한되지 않는다는 것이 이해되어야 한다. 고정형 컴포넌트들(IB_fix_aux 및 Q_fix_aux)과 대조적으로, 가변형 컴포넌트들(IB_var_aux 및 Q_var_aux)은 독립적으로 제어될 수 있고, 위상 불균형들을 정정하기(또는 적어도 감소시키기) 위해 I_main 및 Q_main 벡터들을 상이한 양들로 회전시킬 수 있다. 도 6b의 간략화된 페이저(phasor) 예는, 도 6b의 대응하는 회로에서의 믹서 출력 연결들과 일치하는, IB_fix_aux 및 Q_fix_aux에 부가하여 IB_var_aux 및 Q_var_aux를 도시한다. 그러나, IB_var_aux 및 Q_var_aux는, 가변형 보조 I 및 Q 믹서들(602b, 604b)에 대한 극성 제어들의 부가에 따라 어느 하나의 각 방향으로 조정될 수 있다. IB_var_aux 및 Q_var_aux로부터의 조정의 크기는 도 6b에 의해 제한되지 않으며, 조정 회로들에 없는 I_main 및 Q_main 신호 경로들에서의 오프셋들을 정정하도록 셋팅될 수 있다는 것이 이해되어야 한다. 도 4d는, 도 6b의 회로로 가능한 정정의 더 완전한 예시적인 벡터 표현이다.
[0072] 도 7은 본 개시내용의 양상에 따른, 보조 믹서들을 사용하는 위상 불균형 조정을 개념적으로 예시하고 그리고 연관된 신호 수식들을 제공하는 블록도이다. RF 신호(702)는 I 믹서(606) 및 Q 믹서(608)에 입력으로서 제공될 수 있다. 보조 브랜치(branch)들에서, 신호의 진폭(α/2)은 정상 믹서들의 출력들의 진폭의 분율일 수 있다. 다시 말해서, 보조 믹서들(602, 604)(또는 더 구체적으로는, 모보 믹서들로 구현되거나 또는 보조 믹서들과 연결되는 이득 제어 회로들(704, 706))은, 다른 믹서로부터의 출력과 결합시키기 위한 부분적 신호를 출력할 수 있다. 예를 들어, 예시된 바와 같이, Q 믹서(608)로부터의 출력(708)은 보조 I 믹서(602)로부터의 부분적 출력(710)과 결합(예컨대, 합산)될 수 있고, I 믹서(606)로부터의 출력(712)은 보조 Q 믹서(604)로부터의 부분적 출력(714)과 결합될 수 있다. 이들 신호 결합들(716, 718)은 각각 Q 및 I 기저대역(BB) 회로들(720, 722)(예컨대, BB 필터들)에서 프로세싱될 수 있고, 그에 의해, 도 7에 도시된 바와 같은 수식들과 연관된 위상-정정 동상 출력(PCIO; phase-corrected in-phase output) 및 위상-정정 직교위상 출력(PCQO; phase-corrected quadrature output) 신호들이 유도된다.
[0073] 도 8은 본 개시내용의 양상에 따른, 도 6a의 구현에 대응하는 듀플렉스 I/Q 위상 불균형 조정의 예를 예시한다. 도 8에 도시된 수식들에 따르면, PCIO는 위상-정정 I 출력을 표현하고, PCQO는 위상-정정된 Q 출력을 표현한다. 듀플렉스 위상 불균형 조정은, 최소의 진폭 변경을 수반하거나 또는 어떠한 진폭 변경도 수반하지 않을 수 있다. Δ(믹서 및 LO I 및 Q 위상 불균형 및 기저대역 입력-참조된 위상 불균형의 결합)가 충분히 작은 값이면, cos(Δ/2)의 값은 1일 수 있다. 예시된 바와 같이, α = 2tan(Δ/2)로 인한 진폭 하락들이 존재할 수 있다.
[0074] 도 9는 본 개시내용의 양상에 따른, 보조 믹서들(602, 604)을 사용하는 위상 불균형 조정 회로를 갖는 예시적인 RF 프론트 엔드(RFFE)(900)의 개략도이다. 저 잡음 트랜스컨덕턴스 증폭기(622)는 메인 및 보조 믹서들에 선행(precede)할 수 있고, RF 입력을 증폭하는데 사용될 수 있다. 메인 및 보조 믹서들은 싱글 밸런스드 믹서들 또는 더블 밸런스드 믹서들일 수 있다. 믹서 출력은 I-Q 결합 회로(902)에 제공될 수 있고, 여기서, X 박스들은 위에 설명된 바와 같은 극성 및/또는 이득 제어 회로들(610)을 표현한다. 하나 또는 그 초과의 제어 라인들(903)이 극성 및/또는 이득 제어 회로들(610)과 연결되어 그 회로들 내의 컴포넌트들을 제어할 수 있다(예컨대, 트랜지스터(612)의 온-저항(on-resistance)을 조정하거나, 가변 저항기(예컨대, 레오스탯(rheostat))의 저항을 수정하거나, 또는 스위치의 동작을 제어함). 선택적으로, 전류 모드 필터들(904, 906)(예컨대, 기저대역 필터들)은, 믹서들(602, 604, 606, 608) 및 I-Q 결합 회로(902)에 의해 출력되는 기저대역 신호들을 필터링하는데 사용될 수 있다. 전류 모드 필터들(904, 906)은, 저항기들, 커패시터들, 및 인덕터들의 기저대역 (저역-통과) 필터링을 위한 임의의 적절한 결합으로 구현될 수 있다. 믹싱된(그리고 선택적으로 필터링된) 신호들이 트랜스임피던스(transimpedance) 증폭기들(908, 910)에 제공되어, 전류-모드 기저대역 신호들을 부가적인 기저대역 프로세싱을 위해 전압-모드 기저대역 I 및 Q 신호들로 변환할 수 있다.
직교 믹서 출력들의 부분적 결합에 의해 위상 조정
[0075] 도 10은 본 개시내용의 양상에 따른, (예컨대, 위에 설명된 보조 믹서들(602, 604) 없이) 직교 믹서 출력 신호들의 부분적 결합을 사용하는 위상 불균형 조정 회로를 갖는 예시적인 RFFE(1000)의 개략도이다. 메인 및 보조 믹서들의 기능들은, 정상 I 및 Q 믹서들(606, 608)이 RF 입력(이는, 선택적 저 잡음 트랜스컨덕턴스 증폭기(622)에 의해 증폭될 수 있음)을 수신하도록, 도 10에서 효과적으로 결합될 수 있다. 증폭기(622)로부터의 싱글-엔드형 출력 신호가 도 10에 도시된 바와 같이 싱글-밸런스드 믹서들과 연결되지만, 대신, 증폭기(622)의 출력은 차동 신호일 수 있고, 그러한 경우에서, 더블-밸런스드 믹서들이 사용될 수 있다. 믹서 출력들은, 도시된 바와 같이 연결되는 I-Q 결합 회로(1002)에 제공될 수 있고, 여기서, X 박스들은 위에 설명된 바와 같은 극성 및/또는 이득 제어 회로들(610)을 표현한다. 특정 양상들에 대해, 극성 및/또는 이득 제어 회로들(610) 중 어느 하나가 포함될 수 있다(즉, X 박스들 중 하나가 선택적임). 선택적 전류 모드 필터들(904, 906)을 사용하여 필터링될 수 있는 결합형 기저대역 출력들이 트랜스임피던스 증폭기들(908, 910)에 제공되어, 부가적인 프로세싱을 위해 전류-모드 신호들을 전압-모드 신호들(예컨대, 기저대역 I 및 Q 신호들)로 변환할 수 있다.
[0076] 특정 양상들에 대해, 극성 및/또는 이득 제어 회로(610)는 4개의 트랜지스터들로 구현될 수 있는데, 트랜지스터들의 각각의 드레인 및 소스는 LOIP, LOIM, LOQP, 및 LOQM의 4개의 결합들 중 상이한 결합 간에 연결된다. 각각의 믹서 신호 라인과 트랜지스터의 드레인 또는 소스 사이에 저항기가 존재할 수 있는데, 즉 총 8개의 직렬 저항기들이 존재할 수 있다.
[0077] 도 11은 본 개시내용의 양상에 따른, 보조 믹서들을 사용하지 않는 위상 불균형 조정을 개념적으로 예시하고 그리고 연관된 신호 수식들을 제공하는 블록도이다. RF 신호는 I 믹서(606) 및 Q 믹서(608)에 입력으로서 제공될 수 있다. 하나의 믹서의 출력 신호에 대한 일 분율의 진폭(α/2)이 다른 믹서로부터의 출력 신호와 결합될 수 있다. 예를 들어, 예시된 바와 같이, Q 믹서(608)로부터의 출력(1102)은 I 믹서(606)로부터의 부분적 출력(1104)과 결합(예컨대, 합산)될 수 있고, I 믹서(606)로부터의 출력(1106)은 Q 믹서(608)로부터의 부분적 출력(1108)과 결합될 수 있다. 이들 신호 결합들은, 도 11에 도시된 바와 같은 수식들과 연관된 PCIO 및 PCQO 신호들을 유도한다.
[0078] 도 12a 및 도 12b는 본 개시내용의 양상들에 따른, 직교 믹서 출력 신호들의 부분적 결합을 사용하는 위상 불균형 조정 회로의 예시적인 구현들의 개략도들이다. 도 12a에서, 더블-밸런스드 믹서들이 도시되고, 국부 발진기 신호들 LO_I 및 LO_Q가 극성 및/또는 이득 제어 회로들(610)의 트랜지스터들(1202)의 게이트들에 연결됨으로써, 부분 결합의 타이밍이 동기화된다. 특정 양상들에 대해, LO_I+ 및 LO_I-는, 극성 및/또는 이득 제어 회로(610)에서, 도 12a에 도시된 것으로부터 상호교환될 수 있다. 유사하게, LO_Q+ 및 LO_Q-는 또한, 다른 극성 및/또는 이득 제어 회로(610)로부터 상호교환될 수 있다.
[0079] 특정 양상들에 대해, LO_I+ 및 LO_I- (및/또는 LO_Q+ 및 LO_Q-)를 상호교환시키는 것은, 트랜지스터들(1202)의 게이트들과 다양한 차동 직교 LO 신호들 간에 멀티플렉서(즉, mux)들을 배치함으로써 달성될 수 있다. mux들을 이용함으로써, +/- LO 연결들이 스와핑될 수 있다.
[0080] 특정 양상들에 대해, 커플링(즉, 부분적 결합)의 양은, 더 많거나 또는 더 적은 트랜지스터들(1202)을 활성화시킴으로써 제어될 수 있다. 활성화되는 트랜지스터들(1202)의 개수가 더 많으면 커플링의 양은 증가하고, 활성화되는 트랜지스터들의 개수가 더 적으면 커플링의 양은 감소한다. 각각의 트랜지스터(1202)의 활성화는, LO 드라이빙 경로에서의 버퍼들을 턴 온(turn on) 또는 턴 오프(trun off)시킴으로써 달성될 수 있다. 버퍼들이 온이면, 트랜지스터들(1202)은 활성화될 수 있는 반면, 버퍼들이 오프이면, 트랜지스터들은 비활성화될 수 있다.
[0081] 도 12a의 회로는 또한, 전류 버퍼 바이쿼드(CBBQ; current buffer biquad)들(1204)을 포함하며, 이들은 저 임피던스 입력들을 갖고 그리고 2차 기저대역 전달 함수를 제공하는 기저대역 필터들일 수 있다. 특정 양상들에 대해, CBBQ들(1204)은, 도 9 및 도 10에 예시된 바와 같이, 선택적 전류 모드 필터링이 선행될 수 있거나 또는 선택적 전류 모드 필터링을 갖춘 트랜스임피던스 증폭기들로 대체될 수 있다.
[0082] 도 12b는 싱글-밸런스드 믹서들에 대한 예시적인 구현의 개략도이다. 이러한 구현에서, LNA(622)의 출력은 싱글-엔드형일 수 있고, LNA(622)의 싱글-엔드형 출력을 정상 I 및 Q 믹서들(606, 608)에 커플링시키기 위해 AC 커플링 커패시터들(1206)이 사용될 수 있다. 그러나, 다른 양상들에 대해, 그 대신 단일 공통 커패시터가 사용될 수 있는데, LNA_I+ 및 LNA_Q+ 신호들이 동일한 진폭 및 위상을 가질 수 있기 때문이다. 믹서 출력들에서, ItoQ/IBtoQB 제어 신호에 의해 제어되는 결합 경로들을 통해 I가 Q에 커플링될 수 있다(그리고 IB는 QB에 커플링될 수 있음). 대안적으로, ItoQB/IbtoQ 제어 신호에 의해 제어되는 결합 경로들을 통해 I가 QB에 커플링될 수 있다(그리고 IB는 Q에 커플링될 수 있음). 병렬로 된 트랜지스터들 및 저항기들의 다수의 세트들로서 커플링 경로들이 구현되면, 커플링의 강도는, 제어 신호들로 인에이블링되는 트랜지스터들의 개수를 제어함으로써 수정될 수 있다. 추가로, I 및 Q 믹서 출력들의 이득 제어는, ItoIB 커플링 경로(이는, ItoIB 제어 신호에 의해 제어됨) 및 QtoQB 커플링 경로(이는, QtoQB 제어 신호에 의해 제어됨)에 의해 제공될 수 있다. 도 12a에 대한 바로서, CBBQ들(1204)은, 도 9 및 도 10에 예시된 바와 같이, 선택적 전류 모드 필터링이 선택적으로 선행될 수 있거나, 또는 전류 모드 필터링과 트랜스임피던스 증폭기들(TIA)의 임의의 결합에 의해 대체될 수 있다.
[0083] 도 13은, 이를테면 도 10의 RFFE(1000)에서 또는 그들의 구현들에서, 직교 믹서 출력 신호들의 부분적 결합을 사용하는 위상 불균형 조정 회로에 의해 수행될 수 있는 가능한 I-Q 정정들의 예를 예시한다. 도시된 바와 같이, IP/IM과 QP/QM 사이의 각도(1302)는 증가되거나 또는 감소될 수 있는데, 여기서 I 및 Q는 함께 조정된다. 이러한 구현에서는, 도 9의 RFFE(900) 또는 그들의 구현들과 같은 보조 믹서들을 사용하는 위상 불균형 조정 회로들과는 대조적으로, I 및 Q는 독립적으로 제어되지 않을 수 있다. 도 13에서의 정정은 다음의 수식들에 의해 요약될 수 있다.
I' = I + αQ
Q' = Q + αI
여기서, α는 예를 들어, -10% 및 10%를 포함하여 그들 사이에 있다. 그러나, 직교 믹서 출력 신호들의 부분적 결합이 도 12a에 관하여 설명된 바와 같이 시간 동기화로 구현되면, 보조 믹서들을 사용하는 위상 불균형 조정에 대한 경우에서와 같이, Q로부터 I로 그리고 I로부터 Q로의 커플링의 독립적인 제어를 구현하는 것이 가능할 수 있다.
예시적인 믹서 구현들
[0084] 도 14는 본 개시내용의 양상들에 따른, 위상 불균형 조정 회로에서 믹서로서, 즉, 메인 또는 보조 믹서들로서 사용될 수 있는 예시적인 더블 밸런스드 믹서(1400)를 예시한다. 믹서(1400)의 트랜지스터들(1402)은, 차동 RF 신호(RF InP 및 RF InM)를 차동 LO 신호(이를테면, LOIP 및 LOIM으로 구성되는 차동 I LO 신호)와 믹싱할 수 있다. 믹싱은, 차동 RF 및 LO 신호들의 합 및 차 주파수들에서의 주파수 컴포넌트들을 갖는 차동 (기저대역) 출력 신호(BBIP 및 BBIM)를 생성한다.
[0085] 보조 믹서 트랜지스터의 채널 폭-길이 비(W/L)는, 메인 믹서 트랜지스터의 W/L보다 더 작을 수 있다. 예를 들어, 보조 믹서 트랜지스터의 W/L은 메인 믹서 트랜지스터의 W/L보다 10배 내지 100배 더 작을 수 있다(예컨대, 보조 믹서 트랜지스터에 대한 0.3 내지 3의 W/L에 대하여 메인 믹서 트랜지스터에 대한 30). 보조 믹서는, 바람직한 위상 불균형 정정을 제공하기 위한 임의의 적절한 사이즈로 설계될 수 있으며, 앞선 예로 제한되지 않는다.
[0086] 도 15a-15f는 본 개시내용의 양상들에 따른, 다양한 예시적인 극성 및/또는 이득 제어 회로들(610)을 갖는 예시적인 믹서 구현을 예시한다. 도 15a에서, 보조 믹서(1502)는, 극성 제어 회로(1504)(4개의 트랜지스터들(1505)로 구성됨) 및 디지털 이득 제어 회로(1506)에 입력을 제공한다. 유효 보조 믹서 이득(즉, 보조 믹서 및 극성 및/또는 이득 제어 회로에 걸친 전체 이득)은, 예를 들어, 인에이블링되는 병렬의 트랜지스터들(1507)의 개수(N)를 (디지털방식으로) 제어함으로써 제어될 수 있다. 극성 및 이득 제어 회로들(1504, 1506)의 순서는 상호교환가능하다.
[0087] 도 15b는, 도 15a의 디지털 이득 제어 회로(1506)와 대조적으로, 극성 제어 회로(1504) 및 아날로그 이득 제어 회로(1510)에 입력을 제공하는 보조 믹서(1502)를 예시한다. 유효 보조 믹서 이득은, 예를 들어, 이득 제어 트랜지스터들(1511) 상의 게이트 바이어스(이는, 이득 제어 트랜지스터들의 Rds(on)을 제어할 수 있음)를 제어함으로써 제어될 수 있다. 극성 및 이득 제어 회로들(1504, 1510)의 순서는 상호교환가능하다. 특정 양상들에 대해, 디지털 이득 제어 회로(1506)는, 어느 하나의 순서로 아날로그 이득 제어 회로(1510)와 캐스케이딩(cascade)될 수 있다.
[0088] 도 15c는, 도 15b와 유사한 예시적인 회로를 예시하며, 이득 제어 회로(1520)의 이득 제어 트랜지스터들(1511)을 대신하여 사용되는 가변 저항기들(1521)을 갖는다. 가변 저항은, 예를 들어, 제어 라인 Vcntrl_res를 통해 아날로그 또는 디지털방식으로 제어될 수 있다.
[0089] 특정 양상들에 대해, 극성 및 이득 제어들은 병합될 수 있다. 예를 들어, 도 15d는, 도 15a와 유사한 예시적인 회로(1530)를 예시하며, 보조 믹서(1502)와 연결되는 4개의 그룹들의 선택적으로 인에이블링된 병렬 트랜지스터들(1531)로 병합되는 극성 및 이득 제어를 갖는다. 각각의 그룹에서 N개의 트랜지스터들의 다양한 결합들을 선택하기 위해 디지털 제어 라인이 사용될 수 있다. 회로(1530)의 극성 및/또는 이득을 효과적으로 제어하기 위한 디지털 로직(예컨대, 로직 게이트들(1535))이 또한 사용될 수 있다.
[0090] 도 15e는, 도 15b에 도시된 것과 유사한 예시적인 회로(1540)를 예시하지만, 극성 및 이득 제어가 4개의 트랜지스터들(1541)로 병합되지 않는다. 특정 양상들에 대해, 극성 및/또는 이득을 제어하기 위한 바이어스 신호들을 생성하기 위해 송신 게이트들(1545)(예컨대, 인버터 및 아날로그 디멀티플렉서(demultiplexer))이 사용될 수 있다.
[0091] 도 15f는, 도 6b의 가변형 보조 믹서들(602b, 604b)을 구현하기 위해 사용될 수 있는 예시적인 회로(1550)를 예시한다. 여기서, 극성은 (PLUS 및 MINUS 신호들에 의해 제어되는 스위치들 또는 트랜지스터들(1552)을 사용하여) LO 신호 극성을 선택적으로 스와핑시킴으로써 제어되고, 이득은, 제어 라인 Vbias_gain을 사용하여 보조 믹서 트랜지스터들(1402)의 게이트들 상의 DC 바이어스(1554)를 제어함으로써 제어된다.
[0092] 도 16은 본 개시내용의 특정 양상들에 따른, 위상 불균형을 정정하기 위한 노력으로의 직교 결합 및 조정을 위한 예시적인 동작들(1600)을 예시한다. 동작들(1600)은, 프로세싱 시스템에 의해 제어될 수 있는, 도 6a 또는 도 9에 도시된 회로와 같은 위상 불균형 조정 회로에 의해 수행될 수 있다. 동작들(1600)은, 제 1 주파수 변환 신호를 생성하기 위해 RF 신호를 제 1 국부 발진 신호와 곱함으로써 블록(1602)에서 시작될 수 있다. 블록(1604)에서, 회로는, 제 2 주파수 변환 신호를 생성하기 위해 RF 신호를 제 2 국부 발진 신호와 곱할 수 있다. 제 2 국부 발진 신호는, 제 1 국부 발진 신호에 관하여 위상이 약 90°다를 수 있다. 블록(1606)에서, 제 3 주파수 변환 신호를 생성하기 위해 RF 신호가 제 2 국부 발진 신호와 곱해질 수 있다. 블록(1608)에서, 제 4 주파수 변환 신호를 생성하기 위해 RF 신호가 제 1 국부 발진 신호와 곱해질 수 있다. 블록(1610)에서, 제 1 및 제 3 주파수 변환 신호들이 결합된다. 블록(1612)에서, 제 2 및 제 4 주파수 변환 신호들이 결합된다.
[0093] 특정 양상들에 따르면, 동작들(1600)은 추가로, 블록(1610)에서 제 1 및 제 3 주파수 변환 신호들을 결합시키기 이전에, 제 1 주파수 변환 신호의 분율인 진폭을 갖도록 제 3 주파수 변환 신호를 스케일링하는 것, 및 블록(1612)에서 제 2 및 제 4 주파수 변환 신호들을 결합시키기 이전에, 제 2 주파수 변환 신호의 분율인 진폭을 갖도록 제 4 주파수 변환 신호를 스케일링하는 것을 수반할 수 있다. 제 3 또는 제 4 주파수 변환 신호 중 적어도 하나의 스케일링은 프로그래밍가능할 수 있다. 특정 양상들에 대해, 동작들(1600)은 추가로, 제 3 또는 제 4 주파수 변환 신호 중 적어도 하나의 위상을 인버팅하는 것을 포함할 수 있다. 이러한 위상 인버전은 프로그래밍가능할 수 있다.
[0094] 특정 양상들에 따르면, 블록(1610)에서 제 1 및 제 3 주파수 변환 신호들을 결합시키는 것 및 블록(1612)에서 제 2 및 제 4 주파수 변환 신호들을 결합시키는 것은, 합산 노드들에서 각각의 신호들을 전류 합산시키는 것을 수반할 수 있다.
[0095] 특정 양상들에 따르면, 블록(1606) 및/또는 블록(1608)에서 제 3 또는 제 4 주파수 변환 신호 중 적어도 하나를 생성하도록 곱하는 것은, 프로그래밍가능하다.
[0096] 특정 양상들에 따르면, 제 1 및 제 2 국부 발진 신호들 및 제 1, 제 2, 제 3, 및 제 4 주파수 변환 신호들은 차동 신호들이다. RF 신호는 차동형 또는 싱글-엔드형 신호일 수 있다.
[0097] 특정 양상들에 따르면, 동작들(1600)은 추가로, 트랜스컨덕턴스 증폭기로부터 RF 신호를 수신하는 것을 포함할 수 있다.
[0098] 특정 양상들에 따르면, 제 1 국부 발진 신호와 제 2 국부 발진 신호 또는 제 1 믹싱 회로와 제 2 믹싱 회로 중 적어도 하나 사이의 위상 불균형은, 제 1 및 제 3 주파수 변환 신호들의 결합에서 그리고 제 2 및 제 4 주파수 변환 신호들의 결합에서 정정된다.
[0099] 특정 양상들에 따르면, 동작들(1600)은 추가로, 제 1 기저대역 회로에서 제 1 및 제 3 주파수 변환 신호들의 결합을 프로세싱하는 것, 및 제 2 기저대역 회로에서 제 2 및 제 4 주파수 변환 신호들의 결합을 프로세싱하는 것을 포함할 수 있다. 이러한 경우에서, 제 1 및 제 2 기저대역 회로들 간의 진폭 불균형 및 위상 불균형은, 제 1 및 제 3 주파수 변환 신호들의 결합 및 제 2 및 제 4 주파수 변환 신호들의 결합에서 정정되거나 또는 적어도 조정될 수 있다.
[0100] 도 17은 본 개시내용의 특정 양상들에 따른, 위상 불균형을 정정하기 위한 노력으로의 직교 결합 및 조정을 위한 예시적인 동작들(1700)을 예시한다. 동작들(1700)은, 프로세싱 시스템에 의해 제어될 수 있는, 도 10에 도시된 회로와 같은 위상 불균형 조정 회로에 의해 수행될 수 있다. 동작들(1700)은 블록(1702)에서 시작될 수 있으며, 여기서, 제 1 주파수 변환 신호(예컨대, I_out 신호)를 생성하기 위해 라디오 주파수(RF) 신호가 제 1 국부 발진 신호(예컨대, LO_I 신호)와 곱해질 수 있다. 블록(1704)에서, 제 2 주파수 변환 신호(예컨대, Q_out 신호)를 생성하기 위해 RF 신호가 제 2 국부 발진 신호(예컨대, LO_Q 신호)와 곱해질 수 있으며, 여기서, 제 2 국부 발진 신호는 제 1 국부 발진 신호에 관하여 위상이 약 90°다르다. 블록(1706)에서, 제 1 주파수 변환 신호는 제 2 주파수 변환 신호의 부분과 결합될 수 있다. 블록(1708)에서, 제 2 주파수 변환 신호는 제 1 주파수 변환 신호의 부분과 결합될 수 있다.
[0101] 특정 양상들에 따르면, 동작들(1700)은 추가로, (1) 블록(1706)에서 제 1 주파수 변환 신호를 제 2 주파수 변환 신호의 부분과 결합시키기 이전에, 제 1 주파수 변환 신호의 분율인 진폭을 갖도록 제 2 주파수 변환 신호의 부분을 스케일링하는 것; 또는 (2) 블록(1708)에서 제 2 주파수 변환 신호를 제 1 주파수 변환 신호의 부분과 결합시키기 이전에, 제 2 주파수 변환 신호의 분율인 진폭을 갖도록 제 1 주파수 변환 신호의 부분을 스케일링하는 것 중 적어도 하나를 수반할 수 있다. 특정 양상들에 대해, 제 1 또는 제 2 주파수 변환 신호의 부분 중 적어도 하나를 스케일링하는 것은 프로그래밍가능하다. 동작들(1700)은 또한, 제 1 또는 제 2 주파수 변환 신호의 부분 중 적어도 하나의 위상을 인버팅하는 것을 포함할 수 있다. 이러한 위상 인버전은 프로그래밍가능할 수 있다. 특정 양상들에 대해, 제 1 또는 제 2 주파수 변환 신호의 부분 중 적어도 하나를 스케일링하는 것은, (예컨대, 도 12a에 도시된 바와 같이) 제 1 또는 제 2 국부 발진 신호 중 적어도 하나에 의해 선택적으로 인에이블링된다.
[0102] 특정 양상들에 따르면, 블록(1706)에서 제 1 주파수 변환 신호와 제 2 주파수 신호의 부분을 결합시키는 것 및 블록(1708)에서 제 2 주파수 변환 신호와 제 1 주파수 신호의 부분을 결합시키는 것은, 합산 노드들에서 각각의 신호들을 전류 합산시키는 것을 수반할 수 있다.
[0103] 특정 양상들에 따르면, 블록(1702) 및/또는 블록(1704)에서 제 1 또는 제 2 주파수 변환 신호 중 적어도 하나를 생성하기 위해 곱하는 것은, 프로그래밍가능하다.
[0104] 특정 양상들에 따르면, 제 1 및 제 2 국부 발진 신호들 및 제 1 및 제 2 주파수 변환 신호들은 차동 신호들이다. RF 신호는 차동형 또는 싱글-엔드형일 수 있다.
[0105] 특정 양상들에 따르면, 동작들(1700)은 추가로, 트랜스컨덕턴스 증폭기로부터 RF 신호를 수신하는 것을 수반할 수 있다.
[0106] 특정 양상들에 따르면, 제 1 국부 발진 신호와 제 2 국부 발진 신호 또는 제 1 믹싱 회로와 제 2 믹싱 회로 중 적어도 하나 사이의 위상 불균형은, 제 1 주파수 변환 신호와 제 2 주파수 변환 신호의 부분의 결합 및 제 2 주파수 변환 신호와 제 1 주파수 변환 신호의 부분의 결합에서 정정되었거나 또는 적어도 조정되었을 수 있다.
[0107] 특정 양상들에 따르면, 동작들(1700)은 추가로, 제 1 주파수 변환 신호와 제 2 주파수 변환 신호의 부분의 결합을 제 1 기저대역 회로에서 프로세싱하는 것, 및 제 2 주파수 변환 신호와 제 1 주파수 변환 신호의 부분의 결합을 제 2 기저대역 회로에서 프로세싱하는 것을 수반할 수 있다. 이러한 방식에서, 제 1 기저대역 회로와 제 2 기저대역 회로 사이의 위상 불균형은, 제 1 주파수 변환 신호와 제 2 주파수 변환 신호의 부분의 결합 또는 제 2 주파수 변환 신호와 제 1 주파수 변환 신호의 부분의 결합에서 정정되었거나 또는 적어도 조정되었을 수 있다.
결론
[0108] 본 개시내용의 특정 양상들은 일반적으로, 보조 믹서들을 사용하거나 또는 사용하지 않는, 라디오 주파수(RF) 회로들에서의 직교 결합 및 조정에 관한 것이다. 이들 기술들을 사용하여, RF 회로들에서의 믹서 I/Q 위상 불균형, LO I/Q 위상 불균형, 및/또는 BB I/Q 위상 불균형이 정정되거나 또는 적어도 조정될 수 있다.
[00109] 위에 설명된 다양한 동작들 또는 방법들은 대응하는 기능들을 수행하는 것이 가능한 임의의 적절한 수단에 의해 수행될 수 있다. 이 수단은, 회로, 주문형 집적 회로(ASIC), 또는 프로세서를 포함하는(그러나, 이에 제한되지는 않음) 다양한 하드웨어 및/또는 소프트웨어 컴포넌트(들) 및/또는 모듈(들)을 포함할 수 있다. 일반적으로, 도면들에 예시된 동작들이 존재하는 경우, 이 동작들은 유사한 넘버링을 갖는 상응하는 대응 수단 + 기능(means-plus-function) 컴포넌트들을 가질 수 있다.
[00110] 예를 들어, 송신하기 위한 수단은, 송신기(예컨대, 도 2에 도시된 사용자 단말(120)의 트랜시버 프론트 엔드(254) 또는 도 2에 도시된 액세스 포인트(110)의 트랜시버 프론트 엔드(222)) 및/또는 안테나(예컨대, 도 2에 표현된 사용자 단말(120m)의 안테나들(252ma 내지 252mu) 또는 도 2에 예시된 액세스 포인트(110)의 안테나들(224a 내지 224ap))를 포함할 수 있다. 수신하기 위한 수단은, 수신기(예컨대, 도 2에 도시된 사용자 단말(120)의 트랜시버 프론트 엔드(254) 또는 도 2에 도시된 액세스 포인트(110)의 트랜시버 프론트 엔드(222)) 및/또는 안테나(예컨대, 도 2에 표현된 사용자 단말(120m)의 안테나들(252ma 내지 252mu) 또는 도 2에 예시된 액세스 포인트(110)의 안테나들(224a 내지 224ap))를 포함할 수 있다. 프로세싱하기 위한 수단 또는 결정하기 위한 수단은, 도 2에 예시된 사용자 단말(120)의 RX 데이터 프로세서(270), TX 데이터 프로세서(288) 및/또는 제어기(280)와 같은 하나 또는 그 초과의 프로세서들을 포함할 수 있는 프로세싱 시스템을 포함할 수 있다. 곱하기 위한 수단은, 믹싱 회로, 이를테면 I 믹서(606), Q 믹서(608), I 보조 믹서(602), Q 보조 믹서(604), 믹서(1400), 또는 보조 믹서(1502)를 포함할 수 있다. 결합시키기 위한 수단은, 전류 합산 노드, 이를테면 도 6a에 예시된 합산 노드들(614)을 포함할 수 있다.
[00111] 본원에서 사용되는 용어 "결정"은 광범위한 동작들을 포함한다. 예를 들어, "결정"은 계산, 컴퓨팅, 프로세싱, 유도, 검사, 검색(예를 들어, 표, 데이터베이스 또는 다른 데이터 구조에서의 검색), 확인 등을 포함할 수 있다. 또한, "결정"은 수신(예를 들어, 정보 수신), 액세스(예를 들어, 메모리 내의 데이터에 액세스) 등을 포함할 수 있다. 또한, "결정"은 해결, 선택, 선정, 설정 등을 포함할 수 있다.
[00112] 본원에서 사용되는 바와 같이, 아이템들의 리스트 "중 적어도 하나"로 지칭되는 구문은 단일 멤버들을 포함하여 그 아이템들의 임의의 조합을 지칭한다. 예로서, “a, b, 또는 c 중 적어도 하나”는, a, b, c, a-b, a-c, b-c, 및 a-b-c를 커버하도록 의도된다.
[00113] 본 개시내용과 관련하여 설명되는 다양한 예시적인 로직 블록들, 모듈들, 및 회로들이 범용 프로세서, 디지털 신호 프로세서(DSP), 주문형 집적회로(ASIC), 필드 프로그래머블 게이트 어레이(FPGA) 또는 다른 프로그래머블 논리 디바이스(PLD), 이산 게이트 또는 트랜지스터 로직, 이산 하드웨어 컴포넌트들 또는 본원에 설명된 기능들을 수행하도록 설계된 이들의 임의의 결합으로 구현되거나 또는 수행될 수 있다. 범용 프로세서는 마이크로프로세서일 수도 있지만, 대안으로 프로세서는 임의의 상업적으로 이용가능한 프로세서, 제어기, 마이크로제어기 또는 상태 머신일 수도 있다. 프로세서는 또한 컴퓨팅 디바이스들의 조합, 예를 들어 DSP 및 마이크로프로세서의 조합, 복수의 마이크로프로세서들, DSP 코어와 결합된 하나 또는 그 초과의 마이크로프로세서들, 또는 임의의 다른 이러한 구성으로서 구현될 수 있다.
[00114] 본원에 개시된 방법들은 설명된 방법을 달성하기 위한 하나 또는 그 초과의 단계들 또는 동작들을 포함한다. 방법 단계들 및/또는 동작들은 청구항들의 범위를 벗어나지 않고 서로 교환될 수 있다. 즉, 단계들 또는 동작들의 특정 순서가 명시되지 않으면, 특정 단계들 및/또는 동작들의 순서 및/또는 사용은 청구항들의 범위를 벗어나지 않으면서 변형될 수 있다.
[00115] 설명된 기능들은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 결합으로 구현될 수 있다. 하드웨어로 구현되는 경우, 예시적인 하드웨어 구성은 무선 노드 내의 프로세싱 시스템을 포함할 수 있다. 프로세싱 시스템은 버스 아키텍쳐를 통해 구현될 수 있다. 버스는 프로세싱 시스템의 특정 애플리케이션 및 전체적인 설계 제약들에 따라, 임의의 개수의 상호접속 버스들 및 브릿지들을 포함할 수 있다. 버스는 프로세서, 머신-판독가능 매체, 및 버스 인터페이스를 포함하는 다양한 회로들을 함께 링크시킬 수 있다. 버스 인터페이스는, 그 중에서도, 버스를 통해 프로세싱 시스템에 네트워크 어댑터를 연결시키는데 사용될 수 있다. 네트워크 어댑터는 PHY 계층의 신호 프로세싱 기능들을 구현하는데 사용될 수 있다. 사용자 단말(120)(도 1 참조)의 경우에서, 사용자 인터페이스(예컨대, 키패드, 디스플레이, 마우스, 조이스틱 등)는 또한 버스에 연결될 수 있다. 버스는 또한, 당업계에 잘 알려져 있어서 그에 따라 더 이상 추가로 설명되지 않을, 타이밍 소스들, 주변기기들, 전압 조정기들, 전력 관리 회로들 등과 같은 다양한 다른 회로들을 링크할 수 있다.
[00116] 프로세싱 시스템은, 프로세서 기능성을 제공하는 하나 또는 그 초과의 마이크로프로세서들 그리고 머신-판독가능 매체들의 적어도 일부를 제공하는 외부 메모리를 가지며 이들 모두가 외부 버스 아키텍쳐를 통해 다른 지원 회로와 함께 링크되는, 범용 프로세싱 시스템으로서 구성될 수 있다. 대안적으로, 프로세싱 시스템은 프로세서, 버스 인터페이스, (액세스 단말의 경우) 사용자 인터페이스, 지원 회로, 및 단일 칩으로 통합되는 머신-판독가능 매체의 적어도 일부분을 가지는 ASIC(Application Specific Integrated Circuit)를 이용하여 구현되거나, 또는 하나 또는 그 초과의 FPGA들(Field Programmable Gate Arrays), PLD들(Programmable Logic Devices), 제어기들, 상태 머신들, 게이트 로직(gated logic), 이산 하드웨어 컴포넌트들, 또는 임의의 다른 적절한 회로, 또는 이 개시내용 전반에 걸쳐 설명된 다양한 기능성을 수행할 수 있는 회로들의 임의의 결합을 이용하여 구현될 수 있다. 당업자는 전체 시스템에 부과된 전체 설계 제약들 및 특정 애플리케이션에 따라 프로세싱 시스템에 대해 설명된 기능성을 최상으로 구현하는 방법을 인지할 것이다.
[00117] 청구항들이 위에서 예시된 정확한 구성 및 컴포넌트들에 제한되지 않는다는 것이 이해될 것이다. 다양한 수정들, 변경들 및 변형들이 청구항들의 범위로부터 벗어나지 않으면서 위에 설명된 방법들 및 장치의 어레인지먼트(arrangement), 동작, 및 세부사항들에서 이루어질 수 있다.

Claims (36)

  1. 주파수 변환 및 직교 결합을 위한 회로로서,
    제 1 주파수 변환 신호를 생성하기 위해 라디오 주파수(RF; radio frequency) 신호를 제 1 국부 발진(local oscillating) 신호와 곱(multiply)하도록 구성되는 제 1 믹싱(mixing) 회로;
    제 2 주파수 변환 신호를 생성하기 위해 상기 RF 신호를 제 2 국부 발진 신호와 곱하도록 구성되는 제 2 믹싱 회로 ― 상기 제 2 국부 발진 신호는 상기 제 1 국부 발진 신호에 관하여 위상이 90°다름 ―;
    제 3 주파수 변환 신호를 생성하기 위해 상기 RF 신호를 상기 제 2 국부 발진 신호와 곱하도록 구성되는 제 3 믹싱 회로;
    제 4 주파수 변환 신호를 생성하기 위해 상기 RF 신호를 상기 제 1 국부 발진 신호와 곱하도록 구성되는 제 4 믹싱 회로;
    상기 제 1 주파수 변환 신호를 상기 제 3 주파수 변환 신호와 결합시키도록 구성되는 제 1 결합 회로;
    상기 제 2 주파수 변환 신호를 상기 제 4 주파수 변환 신호와 결합시키도록 구성되는 제 2 결합 회로;
    상기 제 3 믹싱 회로와 상기 제 1 결합 회로 사이에 개재(interpose)되고 그리고 상기 제 1 주파수 변환 신호의 분율(fraction)인 진폭을 갖도록 상기 제 3 주파수 변환 신호를 스케일링(scale)하게 구성되는 제 1 스케일링 회로; 및
    상기 제 4 믹싱 회로와 상기 제 2 결합 회로 사이에 개재되고 그리고 상기 제 2 주파수 변환 신호의 분율인 진폭을 갖도록 상기 제 4 주파수 변환 신호를 스케일링하게 구성되는 제 2 스케일링 회로를 포함하는, 주파수 변환 및 직교 결합을 위한 회로.
  2. 제 1 항에 있어서,
    상기 제 1 스케일링 회로 또는 상기 제 2 스케일링 회로 중 적어도 하나의 이득은 프로그래밍가능한, 주파수 변환 및 직교 결합을 위한 회로.
  3. 제 1 항에 있어서,
    상기 제 1 스케일링 회로 또는 상기 제 2 스케일링 회로 중 적어도 하나는, 각각, 상기 제 3 주파수 변환 신호 또는 상기 제 4 주파수 변환 신호의 위상을 인버팅(invert)하도록 구성되는, 주파수 변환 및 직교 결합을 위한 회로.
  4. 제 3 항에 있어서,
    상기 제 1 스케일링 회로 또는 상기 제 2 스케일링 회로 중 적어도 하나의 위상 인버전(inversion)은 프로그래밍가능한, 주파수 변환 및 직교 결합을 위한 회로.
  5. 제 1 항에 있어서,
    상기 제 1 결합 회로 및 상기 제 2 결합 회로는, 개별적인 신호들을 전류 합산(current summing)시키기 위한 합산 노드들을 포함하는, 주파수 변환 및 직교 결합을 위한 회로.
  6. 제 1 항에 있어서,
    상기 제 3 믹싱 회로 및 상기 제 4 믹싱 회로 중 적어도 하나는 프로그래밍가능한, 주파수 변환 및 직교 결합을 위한 회로.
  7. 제 1 항에 있어서,
    상기 제 1 국부 발진 신호와 상기 제 2 국부 발진 신호 또는 상기 제 1 믹싱 회로와 상기 제 2 믹싱 회로 중 적어도 하나 사이의 위상 불균형은, 상기 제 1 결합 회로 및 상기 제 2 결합 회로의 출력들에서 정정되는, 주파수 변환 및 직교 결합을 위한 회로.
  8. 제 1 항에 있어서,
    상기 제 1 주파수 변환 신호와 상기 제 3 주파수 변환 신호의 결합을 프로세싱하기 위한 제 1 기저대역 회로; 및
    상기 제 2 주파수 변환 신호와 상기 제 4 주파수 변환 신호의 결합을 프로세싱하기 위한 제 2 기저대역 회로를 더 포함하며,
    상기 제 1 기저대역 회로와 상기 제 2 기저대역 회로 간의 위상 불균형은 상기 제 1 결합 회로 및 상기 제 2 결합 회로의 출력들에서 정정되거나 또는 적어도 조정되는, 주파수 변환 및 직교 결합을 위한 회로.
  9. 주파수 변환 및 직교 결합을 위한 방법으로서,
    제 1 주파수 변환 신호를 생성하기 위해 제 1 믹싱 회로에 의해 라디오 주파수(RF) 신호를 제 1 국부 발진 신호와 곱하는 단계;
    제 2 주파수 변환 신호를 생성하기 위해 제 2 믹싱 회로에 의해 상기 RF 신호를 제 2 국부 발진 신호와 곱하는 단계 ― 상기 제 2 국부 발진 신호는 상기 제 1 국부 발진 신호에 관하여 위상이 90°다름 ―;
    제 3 주파수 변환 신호를 생성하기 위해 상기 RF 신호를 상기 제 2 국부 발진 신호와 곱하는 단계;
    제 4 주파수 변환 신호를 생성하기 위해 상기 RF 신호를 상기 제 1 국부 발진 신호와 곱하는 단계;
    상기 제 1 주파수 변환 신호와 상기 제 3 주파수 변환 신호를 결합시키는 단계;
    상기 제 2 주파수 변환 신호와 상기 제 4 주파수 변환 신호를 결합시키는 단계;
    상기 제 1 주파수 변환 신호와 상기 제 3 주파수 변환 신호를 결합시키는 단계 이전에, 상기 제 1 주파수 변환 신호의 분율인 진폭을 갖도록 상기 제 3 주파수 변환 신호를 스케일링하는 단계; 및
    상기 제 2 주파수 변환 신호와 상기 제 4 주파수 변환 신호를 결합시키는 단계 이전에, 상기 제 2 주파수 변환 신호의 분율인 진폭을 갖도록 상기 제 4 주파수 변환 신호를 스케일링하는 단계를 포함하는, 주파수 변환 및 직교 결합을 위한 방법.
  10. 제 9 항에 있어서,
    상기 제 3 주파수 변환 신호 또는 상기 제 4 주파수 변환 신호 중 적어도 하나의 위상을 인버팅하는 단계를 더 포함하는, 주파수 변환 및 직교 결합을 위한 방법.
  11. 제 9 항에 있어서,
    상기 제 1 주파수 변환 신호와 상기 제 3 주파수 변환 신호를 결합시키는 단계 및 상기 제 2 주파수 변환 신호와 상기 제 4 주파수 변환 신호를 결합시키는 단계는, 합산 노드들에서 개별적인 신호들을 전류 합산시키는 단계를 포함하는, 주파수 변환 및 직교 결합을 위한 방법.
  12. 제 9 항에 있어서,
    상기 제 1 국부 발진 신호와 상기 제 2 국부 발진 신호 또는 상기 제 1 믹싱 회로와 상기 제 2 믹싱 회로 중 적어도 하나 사이의 위상 불균형은, 상기 제 1 주파수 변환 신호와 상기 제 3 주파수 변환 신호의 결합 및 상기 제 2 주파수 변환 신호와 상기 제 4 주파수 변환 신호의 결합에서 정정되는, 주파수 변환 및 직교 결합을 위한 방법.
  13. 제 9 항에 있어서,
    상기 제 1 주파수 변환 신호와 상기 제 3 주파수 변환 신호의 결합을 제 1 기저대역 회로에서 프로세싱하는 단계; 및
    상기 제 2 주파수 변환 신호와 상기 제 4 주파수 변환 신호의 결합을 제 2 기저대역 회로에서 프로세싱하는 단계를 더 포함하며,
    상기 제 1 기저대역 회로와 상기 제 2 기저대역 회로 사이의 위상 불균형은, 상기 제 1 주파수 변환 신호와 상기 제 3 주파수 변환 신호의 결합 및 상기 제 2 주파수 변환 신호와 상기 제 4 주파수 변환 신호의 결합에서 정정되거나 또는 적어도 조정되는, 주파수 변환 및 직교 결합을 위한 방법.
  14. 주파수 변환 및 직교 결합을 위한 회로로서,
    제 1 주파수 변환 신호를 생성하기 위해 라디오 주파수(RF) 신호를 제 1 국부 발진 신호와 곱하도록 구성되는 제 1 믹싱 회로;
    제 2 주파수 변환 신호를 생성하기 위해 상기 RF 신호를 제 2 국부 발진 신호와 곱하도록 구성되는 제 2 믹싱 회로 ― 상기 제 2 국부 발진 신호는 상기 제 1 국부 발진 신호에 관하여 위상이 90°다름 ―;
    상기 제 1 주파수 변환 신호를 상기 제 2 주파수 변환 신호의 부분과 결합시키도록 구성되는 제 1 결합 회로; 및
    상기 제 2 주파수 변환 신호를 상기 제 1 주파수 변환 신호의 부분과 결합시키도록 구성되는 제 2 결합 회로를 포함하고,
    상기 주파수 변환 및 직교 결합을 위한 회로는,
    상기 제 2 믹싱 회로와 상기 제 1 결합 회로 사이에 개재되고 그리고 상기 제 1 주파수 변환 신호의 분율인 진폭을 갖도록 상기 제 2 주파수 변환 신호의 부분을 스케일링하게 구성되는 제 1 스케일링 회로; 또는
    상기 제 1 믹싱 회로와 상기 제 2 결합 회로 사이에 개재되고 그리고 상기 제 2 주파수 변환 신호의 분율인 진폭을 갖도록 상기 제 1 주파수 변환 신호의 부분을 스케일링하게 구성되는 제 2 스케일링 회로
    중 적어도 하나를 더 포함하는, 주파수 변환 및 직교 결합을 위한 회로.
  15. 제 14 항에 있어서,
    상기 제 1 스케일링 회로 또는 상기 제 2 스케일링 회로 중 적어도 하나의 이득은 프로그래밍가능한, 주파수 변환 및 직교 결합을 위한 회로.
  16. 제 14 항에 있어서,
    상기 제 1 스케일링 회로 또는 상기 제 2 스케일링 회로 중 적어도 하나는, 각각, 상기 제 2 주파수 변환 신호의 부분 또는 상기 제 1 주파수 변환 신호의 부분의 위상을 인버팅하도록 구성되고, 그리고
    상기 제 1 스케일링 회로 또는 상기 제 2 스케일링 회로 중 적어도 하나의 위상 인버전은 프로그래밍가능한, 주파수 변환 및 직교 결합을 위한 회로.
  17. 제 14 항에 있어서,
    상기 제 1 스케일링 회로 또는 상기 제 2 스케일링 회로 중 적어도 하나는, 상기 제 1 국부 발진 신호 또는 상기 제 2 국부 발진 신호 중 적어도 하나에 의해 선택적으로 인에이블링(enable)되는, 주파수 변환 및 직교 결합을 위한 회로.
  18. 제 14 항에 있어서,
    상기 제 1 결합 회로 및 상기 제 2 결합 회로는, 개별적인 신호들을 전류 합산시키기 위한 합산 노드들을 포함하는, 주파수 변환 및 직교 결합을 위한 회로.
  19. 제 14 항에 있어서,
    상기 제 1 국부 발진 신호와 상기 제 2 국부 발진 신호 또는 상기 제 1 믹싱 회로와 상기 제 2 믹싱 회로 중 적어도 하나 사이의 위상 불균형은, 상기 제 1 결합 회로 및 상기 제 2 결합 회로의 출력들에서 정정되거나 또는 적어도 조정되는, 주파수 변환 및 직교 결합을 위한 회로.
  20. 제 14 항에 있어서,
    상기 제 1 주파수 변환 신호와 상기 제 2 주파수 변환 신호의 부분의 결합을 프로세싱하도록 구성되는 제 1 기저대역 회로; 및
    상기 제 2 주파수 변환 신호와 상기 제 1 주파수 변환 신호의 부분의 결합을 프로세싱하도록 구성되는 제 2 기저대역 회로를 더 포함하며,
    상기 제 1 기저대역 회로와 상기 제 2 기저대역 회로 사이의 위상 불균형은, 상기 제 1 주파수 변환 신호와 상기 제 2 주파수 변환 신호의 부분의 결합 및 상기 제 2 주파수 변환 신호와 상기 제 1 주파수 변환 신호의 부분의 결합에서 정정되거나 또는 적어도 조정되는, 주파수 변환 및 직교 결합을 위한 회로.
  21. 주파수 변환 및 직교 결합을 위한 방법으로서,
    제 1 주파수 변환 신호를 생성하기 위해 제 1 믹싱 회로에 의해 라디오 주파수(RF) 신호를 제 1 국부 발진 신호와 곱하는 단계;
    제 2 주파수 변환 신호를 생성하기 위해 제 2 믹싱 회로에 의해 상기 RF 신호를 제 2 국부 발진 신호와 곱하는 단계 ― 상기 제 2 국부 발진 신호는 상기 제 1 국부 발진 신호에 관하여 위상이 90°다름 ―;
    상기 제 1 주파수 변환 신호와 상기 제 2 주파수 변환 신호의 부분을 결합시키는 단계; 및
    상기 제 2 주파수 변환 신호와 상기 제 1 주파수 변환 신호의 부분을 결합시키는 단계를 포함하고,
    상기 주파수 변환 및 직교 결합을 위한 방법은,
    상기 제 1 주파수 변환 신호와 상기 제 2 주파수 변환 신호의 부분을 결합시키는 단계 이전에, 상기 제 1 주파수 변환 신호의 분율인 진폭을 갖도록 상기 제 2 주파수 변환 신호의 부분을 스케일링하는 단계; 또는
    상기 제 2 주파수 변환 신호와 상기 제 1 주파수 변환 신호의 부분을 결합시키는 단계 이전에, 상기 제 2 주파수 변환 신호의 분율인 진폭을 갖도록 상기 제 1 주파수 변환 신호의 부분을 스케일링하는 단계
    중 적어도 하나를 더 포함하는, 주파수 변환 및 직교 결합을 위한 방법.
  22. 제 21 항에 있어서,
    상기 제 1 주파수 변환 신호의 부분 또는 상기 제 2 주파수 변환 신호의 부분 중 적어도 하나의 위상을 인버팅하는 단계를 더 포함하는, 주파수 변환 및 직교 결합을 위한 방법.
  23. 제 21 항에 있어서,
    상기 제 1 주파수 변환 신호의 부분 또는 상기 제 2 주파수 변환 신호의 부분 중 적어도 하나를 스케일링하는 것은, 상기 제 1 국부 발진 신호 또는 상기 제 2 국부 발진 신호 중 적어도 하나에 의해 선택적으로 인에이블링되는, 주파수 변환 및 직교 결합을 위한 방법.
  24. 제 21 항에 있어서,
    상기 제 1 주파수 변환 신호와 상기 제 2 주파수 변환 신호의 부분을 결합시키는 단계 및 상기 제 2 주파수 변환 신호와 상기 제 1 주파수 변환 신호의 부분을 결합시키는 단계는, 합산 노드들에서 개별적인 신호들을 전류 합산시키는 단계를 포함하는, 주파수 변환 및 직교 결합을 위한 방법.
  25. 제 21 항에 있어서,
    상기 제 1 국부 발진 신호와 상기 제 2 국부 발진 신호 또는 상기 제 1 믹싱 회로와 상기 제 2 믹싱 회로 중 적어도 하나 사이의 위상 불균형은, 상기 제 1 주파수 변환 신호와 상기 제 2 주파수 변환 신호의 부분의 결합 및 상기 제 2 주파수 변환 신호와 상기 제 1 주파수 변환 신호의 부분의 결합에서 정정되거나 또는 적어도 조정되는, 주파수 변환 및 직교 결합을 위한 방법.
  26. 제 21 항에 있어서,
    상기 제 1 주파수 변환 신호와 상기 제 2 주파수 변환 신호의 부분의 결합을 제 1 기저대역 회로에서 프로세싱하는 단계; 및
    상기 제 2 주파수 변환 신호와 상기 제 1 주파수 변환 신호의 부분의 결합을 제 2 기저대역 회로에서 프로세싱하는 단계를 더 포함하며,
    상기 제 1 기저대역 회로와 상기 제 2 기저대역 회로 사이의 위상 불균형은, 상기 제 1 주파수 변환 신호와 상기 제 2 주파수 변환 신호의 부분의 결합 및 상기 제 2 주파수 변환 신호와 상기 제 1 주파수 변환 신호의 부분의 결합에서 정정되거나 또는 적어도 조정되는, 주파수 변환 및 직교 결합을 위한 방법.
  27. 주파수 변환 및 직교 결합을 위한 회로로서,
    제 1 주파수 변환 신호를 생성하기 위해 라디오 주파수(RF) 신호를 제 1 국부 발진 신호와 곱하도록 구성되는 제 1 믹싱 회로;
    제 2 주파수 변환 신호를 생성하기 위해 상기 RF 신호를 제 2 국부 발진 신호와 곱하도록 구성되는 제 2 믹싱 회로 ― 상기 제 2 국부 발진 신호는 상기 제 1 국부 발진 신호에 관하여 위상이 90°다름 ―;
    제 3 주파수 변환 신호를 생성하기 위해 상기 RF 신호를 상기 제 2 국부 발진 신호와 곱하도록 구성되고 그리고 상기 제 3 주파수 변환 신호의 진폭을 스케일링하도록 구성되는 제 3 믹싱 회로;
    제 4 주파수 변환 신호를 생성하기 위해 상기 RF 신호를 상기 제 1 국부 발진 신호와 곱하도록 구성되고 그리고 상기 제 4 주파수 변환 신호의 진폭을 스케일링하도록 구성되는 제 4 믹싱 회로;
    상기 제 1 주파수 변환 신호를 상기 제 3 믹싱 회로로부터의 스케일링된 제 3 주파수 변환 신호와 결합시킴으로써 상기 제 1 주파수 변환 신호의 위상을 조정하도록 구성되는 제 1 결합 회로; 및
    상기 제 2 주파수 변환 신호를 상기 제 4 믹싱 회로로부터의 스케일링된 제 4 주파수 변환 신호와 결합시킴으로써 상기 제 2 주파수 변환 신호의 위상을 조정하도록 구성되는 제 2 결합 회로를 포함하는, 주파수 변환 및 직교 결합을 위한 회로.
  28. 제 27 항에 있어서,
    상기 제 3 믹싱 회로는, 상기 제 3 주파수 변환 신호의 진폭을 상기 제 1 주파수 변환 신호의 진폭의 분율이 되게 스케일링하도록 구성되고, 그리고 상기 제 4 믹싱 회로는, 상기 제 4 주파수 변환 신호의 진폭을 상기 제 2 주파수 변환 신호의 진폭의 분율이 되게 스케일링하도록 구성되는, 주파수 변환 및 직교 결합을 위한 회로.
  29. 제 27 항에 있어서,
    상기 제 3 믹싱 회로 또는 상기 제 4 믹싱 회로 중 적어도 하나의 이득은 프로그래밍가능한, 주파수 변환 및 직교 결합을 위한 회로.
  30. 제 27 항에 있어서,
    상기 제 3 믹싱 회로 또는 상기 제 4 믹싱 회로 중 적어도 하나는, 각각, 상기 제 3 주파수 변환 신호 또는 상기 제 4 주파수 변환 신호의 위상을 인버팅하도록 구성되는, 주파수 변환 및 직교 결합을 위한 회로.
  31. 제 30 항에 있어서,
    상기 제 3 믹싱 회로 또는 상기 제 4 믹싱 회로 중 적어도 하나의 위상 인버전은 프로그래밍가능한, 주파수 변환 및 직교 결합을 위한 회로.
  32. 제 1 항에 있어서,
    상기 제 1 국부 발진 신호 또는 상기 제 2 국부 발진 신호 중 적어도 하나는 25 퍼센트의 공칭 듀티 사이클(nominal duty cycle)을 갖는, 주파수 변환 및 직교 결합을 위한 회로.
  33. 제 9 항에 있어서,
    상기 제 1 국부 발진 신호 또는 상기 제 2 국부 발진 신호 중 적어도 하나는 25 퍼센트의 공칭 듀티 사이클을 갖는, 주파수 변환 및 직교 결합을 위한 방법.
  34. 제 14 항에 있어서,
    상기 제 1 국부 발진 신호 또는 상기 제 2 국부 발진 신호 중 적어도 하나는 25 퍼센트의 공칭 듀티 사이클을 갖는, 주파수 변환 및 직교 결합을 위한 회로.
  35. 제 21 항에 있어서,
    상기 제 1 국부 발진 신호 또는 상기 제 2 국부 발진 신호 중 적어도 하나는 25 퍼센트의 공칭 듀티 사이클을 갖는, 주파수 변환 및 직교 결합을 위한 방법.
  36. 제 27 항에 있어서,
    상기 제 1 국부 발진 신호 또는 상기 제 2 국부 발진 신호 중 적어도 하나는 25 퍼센트의 공칭 듀티 사이클을 갖는, 주파수 변환 및 직교 결합을 위한 회로.
KR1020167024953A 2014-02-19 2015-02-03 직교 결합 및 조정 KR101734216B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461941908P 2014-02-19 2014-02-19
US61/941,908 2014-02-19
US14/465,442 US9088471B1 (en) 2014-02-19 2014-08-21 Quadrature combining and adjusting
US14/465,442 2014-08-21
PCT/US2015/014265 WO2015126616A1 (en) 2014-02-19 2015-02-03 Quadrature combining and adjusting

Publications (2)

Publication Number Publication Date
KR20160113300A KR20160113300A (ko) 2016-09-28
KR101734216B1 true KR101734216B1 (ko) 2017-05-11

Family

ID=53540231

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167024953A KR101734216B1 (ko) 2014-02-19 2015-02-03 직교 결합 및 조정

Country Status (6)

Country Link
US (1) US9088471B1 (ko)
EP (1) EP3108630B1 (ko)
JP (1) JP6224264B2 (ko)
KR (1) KR101734216B1 (ko)
CN (1) CN106031114B (ko)
WO (1) WO2015126616A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011085027A1 (en) * 2010-01-05 2011-07-14 Maxlinear, Inc. High dynamic range radio architecture with enhanced image rejection
JP6235899B2 (ja) * 2013-12-25 2017-11-22 パナソニック株式会社 送信装置及び歪み補償方法
US9300264B2 (en) 2014-08-22 2016-03-29 Mediatek Inc. Receiver arrangement and method of performing operations of receiver
US9768728B2 (en) * 2014-11-12 2017-09-19 Mediatek Singapore Pte. Ltd. Regenerative frequency divider
JP2016167781A (ja) * 2015-03-10 2016-09-15 富士通株式会社 無線通信装置及び無線通信装置の制御方法
ITUB20161030A1 (it) * 2016-02-24 2017-08-24 Indena Spa Composizioni utili nella prevenzione e/o nel trattamento dell’infiammazione e del dolore
US10027358B2 (en) * 2016-08-15 2018-07-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Harmonic selective full-band capture receiver with digital harmonic rejection calibration
CN108370256B (zh) * 2016-09-29 2019-10-15 华为技术有限公司 一种微波发射机和进行信号调整的方法
KR102055192B1 (ko) * 2017-08-25 2019-12-12 한밭대학교 산학협력단 직접 변환 송신기의 i/q 불균형 보상 장치 및 방법
JP6929203B2 (ja) * 2017-11-15 2021-09-01 旭化成エレクトロニクス株式会社 ダイレクトコンバージョン受信機

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013505667A (ja) 2009-09-25 2013-02-14 インテル コーポレイション ループバック位相シフトによる直交不平衡の較正

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091940A (en) 1998-10-21 2000-07-18 Parkervision, Inc. Method and system for frequency up-conversion
US6061551A (en) 1998-10-21 2000-05-09 Parkervision, Inc. Method and system for down-converting electromagnetic signals
US7515896B1 (en) 1998-10-21 2009-04-07 Parkervision, Inc. Method and system for down-converting an electromagnetic signal, and transforms for same, and aperture relationships
US6330290B1 (en) 1998-09-25 2001-12-11 Lucent Technologies, Inc. Digital I/Q imbalance compensation
US6230000B1 (en) 1998-10-15 2001-05-08 Motorola Inc. Product detector and method therefor
US7039372B1 (en) 1998-10-21 2006-05-02 Parkervision, Inc. Method and system for frequency up-conversion with modulation embodiments
US6542722B1 (en) 1998-10-21 2003-04-01 Parkervision, Inc. Method and system for frequency up-conversion with variety of transmitter configurations
US6370371B1 (en) 1998-10-21 2002-04-09 Parkervision, Inc. Applications of universal frequency translation
US6704549B1 (en) 1999-03-03 2004-03-09 Parkvision, Inc. Multi-mode, multi-band communication system
US6873836B1 (en) 1999-03-03 2005-03-29 Parkervision, Inc. Universal platform module and methods and apparatuses relating thereto enabled by universal frequency translation technology
US7065162B1 (en) 1999-04-16 2006-06-20 Parkervision, Inc. Method and system for down-converting an electromagnetic signal, and transforms for same
US6963734B2 (en) 1999-12-22 2005-11-08 Parkervision, Inc. Differential frequency down-conversion using techniques of universal frequency translation technology
US7010286B2 (en) 2000-04-14 2006-03-07 Parkervision, Inc. Apparatus, system, and method for down-converting and up-converting electromagnetic signals
ATE493820T1 (de) * 2000-11-03 2011-01-15 Qualcomm Inc Modulator mit geringer anfälligkeit für amplituden- und phasenfehler des trägersignals
US6960962B2 (en) 2001-01-12 2005-11-01 Qualcomm Inc. Local oscillator leakage control in direct conversion processes
EP1271871A1 (en) * 2001-06-20 2003-01-02 Motorola, Inc. Compensation of mismatch between quadrature paths
GB2429349B (en) * 2005-08-16 2010-09-01 Zarlink Semiconductor Ltd Quadrature frequency changer, tuner and modulator
JP2007104007A (ja) * 2005-09-30 2007-04-19 Toshiba Corp 直交変調器及び直交変調器におけるベクトル補正方法
US7817747B2 (en) * 2006-02-15 2010-10-19 Texas Instruments Incorporated Precise delay alignment between amplitude and phase/frequency modulation paths in a digital polar transmitter
US7519349B2 (en) 2006-02-17 2009-04-14 Orca Systems, Inc. Transceiver development in VHF/UHF/GSM/GPS/bluetooth/cordless telephones
US8160529B1 (en) 2006-03-07 2012-04-17 Marvell International Ltd. Adaptive FM/AM radio architecture
WO2008003061A2 (en) 2006-06-28 2008-01-03 Maxlinear, Inc. Method and apparatus for calibrating the sideband rejection of a receiver
US7826816B2 (en) 2006-07-11 2010-11-02 Qualcomm Incorporated Systems, methods, and apparatus for frequency conversion
US7769361B2 (en) 2006-07-19 2010-08-03 Qualcomm Incorporated Systems, methods, and apparatus for frequency conversion
WO2008067584A1 (en) * 2006-12-05 2008-06-12 Commonwealth Scientific And Industrial Research Organisation Wireless frequency-domain multi-channel communications
US8976849B2 (en) * 2007-01-22 2015-03-10 Freescale Semiconductor, Inc. Calibration signal generator
US20080181337A1 (en) * 2007-01-31 2008-07-31 Silicon Laboratories, Inc. Spur and Distortion Management Techniques for an RF Receiver
KR100865538B1 (ko) * 2007-02-08 2008-10-27 지씨티 세미컨덕터 인코포레이티드 Iq 불일치 측정 장치
US7856050B1 (en) 2007-08-15 2010-12-21 L-3 Communications Titan Corporation Receiver and transmitter calibration to compensate for frequency dependent I/Q imbalance
US8085877B2 (en) * 2007-09-28 2011-12-27 Broadcom Corporation Method and system for quadrature local oscillator generator utilizing a DDFS for extremely high frequencies
US8615205B2 (en) * 2007-12-18 2013-12-24 Qualcomm Incorporated I-Q mismatch calibration and method
US8072255B2 (en) 2008-01-07 2011-12-06 Qualcomm Incorporated Quadrature radio frequency mixer with low noise and low conversion loss
US8126036B2 (en) * 2008-06-21 2012-02-28 Vyycore Corporation Predistortion and post-distortion correction of both a receiver and transmitter during calibration
US8135055B2 (en) * 2008-07-30 2012-03-13 Qualcomm Incorporated I/Q calibration of transmit and receive paths in OFDM FDD communication systems
US8571510B2 (en) * 2008-08-18 2013-10-29 Qualcomm Incorporated High linearity low noise receiver with load switching
US20110013724A1 (en) 2009-04-24 2011-01-20 Qualcomm Incorporated I/q imbalance estimation and compensation for a transmitter and a receiver
US8451941B2 (en) * 2010-04-15 2013-05-28 Research In Motion Limited Communications device with separate I and Q phase power amplification having selective phase and magnitude adjustment and related methods
JP5574293B2 (ja) * 2010-07-29 2014-08-20 公立大学法人会津大学 複素型直交変調器、複素型直交復調器及びこれらに用いる直交ミキサ
WO2012014307A1 (ja) 2010-07-29 2012-02-02 富士通株式会社 信号生成回路及びそれを有する無線送受信装置
EP2434640B1 (en) 2010-09-24 2012-12-05 Telefonaktiebolaget L M Ericsson (PUBL) Correction of imbalances in a complex intermediate frequency mixer
US8908746B2 (en) 2012-02-15 2014-12-09 Blackberry Limited Method and apparatus to use auxiliary receiver to compensate multiple transmitters based upon one of the transmitters
US9264156B2 (en) * 2012-04-20 2016-02-16 Telefonaktiebolaget L M Ericsson (Publ) Technique for calibrating a receiver apparatus
US8976914B2 (en) * 2012-07-27 2015-03-10 Texas Instruments Incorporated Multi-tap IQ imbalance estimation and correction circuit and method
US9094079B2 (en) 2012-10-08 2015-07-28 Qualcomm Incorporated System and method for I-Q imbalance correction
US9325358B2 (en) * 2013-06-10 2016-04-26 Imec Method for reducing second order distortion in harmonic rejection mixer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013505667A (ja) 2009-09-25 2013-02-14 インテル コーポレイション ループバック位相シフトによる直交不平衡の較正

Also Published As

Publication number Publication date
EP3108630B1 (en) 2021-05-05
WO2015126616A1 (en) 2015-08-27
JP2017513278A (ja) 2017-05-25
CN106031114A (zh) 2016-10-12
US9088471B1 (en) 2015-07-21
CN106031114B (zh) 2018-01-09
EP3108630A1 (en) 2016-12-28
KR20160113300A (ko) 2016-09-28
JP6224264B2 (ja) 2017-11-01

Similar Documents

Publication Publication Date Title
KR101734216B1 (ko) 직교 결합 및 조정
CN107112951B (zh) 相移混频器
US20150118980A1 (en) Transmitter (tx) residual sideband (rsb) and local oscillator (lo) leakage calibration using a reconfigurable tone generator (tg) and lo paths
CN109565291B (zh) 通过基于限幅振荡的校准的双二阶滤波器的中心频率和q调谐
JP2017521889A (ja) キャリアアグリゲーショントランシーバにおける複数のシンセサイザを有する多方向ダイバーシティ受信機
US9356768B2 (en) Phase detecting circuit for interchain local oscillator (LO) divider phase alignment
JP2009077420A (ja) 通信装置、マルチバンド受信装置及び受信装置
US9231716B2 (en) Methods and apparatus for generating two-tone calibration signals for performing linearity calibration
US9685931B2 (en) High accuracy millimeter wave/radio frequency wideband in-phase and quadrature generation
US9998138B1 (en) Time-multiplexed successive approximation register (SAR) analog-to-digital converter (ADC) circuits for multi-channel receivers
US9558845B2 (en) Sampling network and clocking scheme for a switched-capacitor integrator
WO2014139437A1 (en) Noise cancellation apparatus and method
US20160065195A1 (en) Multiphase oscillating signal generation and accurate fast frequency estimation
CN111108691B (zh) 多频带滤波器架构
US9577576B1 (en) Biased passive mixer
US9793861B1 (en) Amplification systems
WO2021056001A2 (en) Hybrid distortion suppression system and method
CN116918260A (zh) 谐波抑制接收器
US20160079985A1 (en) Quadrature local oscillator phase synthesis and architecture for divide-by-odd-number frequency dividers
WO2024026184A1 (en) Split main and predistortion signal paths with separate digital-to- analog converters for supporting digital predistortion in transmitters
KR20230008718A (ko) 결합된 신호 및 이미지 수동 믹서들을 사용한 밴드틸트 정정

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant