KR101598200B1 - III-V semiconductor compound device package and method of manufacturing the same - Google Patents

III-V semiconductor compound device package and method of manufacturing the same Download PDF

Info

Publication number
KR101598200B1
KR101598200B1 KR1020140086884A KR20140086884A KR101598200B1 KR 101598200 B1 KR101598200 B1 KR 101598200B1 KR 1020140086884 A KR1020140086884 A KR 1020140086884A KR 20140086884 A KR20140086884 A KR 20140086884A KR 101598200 B1 KR101598200 B1 KR 101598200B1
Authority
KR
South Korea
Prior art keywords
layer
substrate
electrode
gate electrode
element region
Prior art date
Application number
KR1020140086884A
Other languages
Korean (ko)
Other versions
KR20160007013A (en
Inventor
김남영
왕종
조성진
Original Assignee
광운대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 광운대학교 산학협력단 filed Critical 광운대학교 산학협력단
Priority to KR1020140086884A priority Critical patent/KR101598200B1/en
Publication of KR20160007013A publication Critical patent/KR20160007013A/en
Application granted granted Critical
Publication of KR101598200B1 publication Critical patent/KR101598200B1/en

Links

Images

Abstract

본 발명에 따른 반도체 소자 패키지는 액티브 소자 영역과 패시브 소자 영역이 정의된 기판; 상기 기판의 상기 액티브 소자 영역 상에 형성되는 반도체 소자; 및 상기 기판의 상기 패시브 소자 영역 상에 형성되는 커패시터를 포함하고, 상기 반도체 소자는, 바디부 및 상기 바디부 상부의 헤드부를 포함하는 게이트 전극, 상기 게이트 전극을 사이에 두고 서로 이격되어 위치하는 소스 전극 및 드레인 전극, 및 상기 게이트 전극을 둘러싸는 패시베이션 구조물을 구비하며, 상기 커패시터는, 하부 전극, 상기 하부 전극 상의 커패시터 유전막, 및 상기 커패시터 유전막 상의 상부 전극을 구비하며, 상기 패시베이션 구조물과 상기 커패시터 유전막은 동일한 물질을 포함한다.A semiconductor device package according to the present invention includes a substrate on which an active element region and a passive element region are defined; A semiconductor element formed on the active element region of the substrate; And a capacitor formed on the passive element region of the substrate, the semiconductor element comprising: a gate electrode including a body portion and a head portion on the body portion; a source electrode spaced apart from the gate electrode, And a passivation structure surrounding the gate electrode, the capacitor having a lower electrode, a capacitor dielectric layer on the lower electrode, and an upper electrode on the capacitor dielectric layer, wherein the passivation structure and the capacitor dielectric layer Includes the same material.

Figure R1020140086884
Figure R1020140086884

Description

III-V족 화합물 반도체 소자 패키지 및 그 제조 방법{III-V semiconductor compound device package and method of manufacturing the same}III-V compound semiconductor device package and a method of manufacturing the same

본 발명은 III-V족 반도체 소자 패키지 및 그 제조 방법에 관한 것으로서, 더욱 상세하게는, 부정형 고전자이동도 트랜지스터 소자(Pseudomorphic high electron mobility transistor device, pHEMT) 패키지 및 그 제조 방법에 관한 것이다.The present invention relates to a III-V semiconductor device package and a manufacturing method thereof, and more particularly, to a Pseudomorphic high electron mobility transistor device (PHEMT) package and a method of manufacturing the same.

정보통신기술의 발달과 함께 고주파, 고온, 고전력 전자소자에 대한 요구가 증가되고 있으며, 특히 고전력을 제어할 수 있는 파워 소자에 대한 다양한 연구가 진행되고 있다. III-V족 화합물 반도체 계열의 이종접합 구조를 이용하는 부정형 고전자이동도 트랜지스터 소자의 경우, 접합 계면에서의 밴드 불연속(band-discontinuity)이 크기 때문에 접합 계면에 높은 농도의 전자가 집중될 수 있고, 전자 이동도(electron mobility)를 더욱 높일 수 있다. 따라서, 높은 항복전압(breakdown voltage), 높은 시트 캐리어 밀도, 높은 포화 전류 등을 갖는 이종접합 구조를 사용한 III-V족 화합물 반도체 계열의 트랜지스터에 대한 연구가 다양하게 수행되고 있다.With the development of information and communication technology, there is a growing demand for high frequency, high temperature, and high power electronic devices. Particularly, various studies are being conducted on power devices capable of controlling high power. In the case of the amorphous high electron mobility transistor device using the heterojunction structure of the III-V compound semiconductor series, since the band-discontinuity at the junction interface is large, a high concentration of electrons can be concentrated at the junction interface, The electron mobility can be further increased. Therefore, a variety of researches have been conducted on transistors of III-V family semiconductor series using a heterojunction structure having high breakdown voltage, high sheet carrier density, high saturation current and the like.

그러나, 일반적으로 III-V족 화합물 반도체 계열의 반도체 소자는 열전도도가 낮은 사파이어 등의 절연 기판을 사용하고, 이를 채용한 파워 소자의 구동 전압이 높으므로, 상기 반도체 소자의 방열 특성 및 내전압성을 향상시킬 필요가 있다.However, in general, a III-V compound semiconductor semiconductor device uses an insulating substrate such as sapphire having a low thermal conductivity, and the driving voltage of the power device employing the insulating substrate is high, so that the heat radiation characteristic and the withstand voltage Need to improve.

본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 방열 특성 및 내전압성이 우수한 III-V족 화합물 반도체 소자 패키지를 제공하는 것이다.A technical object of the present invention is to provide a III-V compound semiconductor device package having excellent heat dissipation characteristics and withstanding voltage resistance.

본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 방열 특성 및 내전압성이 우수한 III-V족 화합물 반도체 소자 패키지의 제조 방법을 제공하는 것이다.The technical problem to be solved by the present invention is to provide a method of manufacturing a III-V compound semiconductor device package having excellent heat dissipation characteristics and withstanding voltage resistance.

상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상에 따른 반도체 소자 패키지는, 액티브 소자 영역과 패시브 소자 영역이 정의된 기판; 상기 기판의 상기 액티브 소자 영역 상에 형성되는 반도체 소자; 및 상기 기판의 상기 패시브 소자 영역 상에 형성되는 커패시터를 포함하고, 상기 반도체 소자는, 바디부 및 상기 바디부 상부의 헤드부를 포함하는 게이트 전극, 상기 게이트 전극을 사이에 두고 서로 이격되어 위치하는 소스 전극 및 드레인 전극, 및 상기 게이트 전극을 둘러싸는 패시베이션 구조물을 구비하며, 상기 커패시터는, 하부 전극, 상기 하부 전극 상의 커패시터 유전막, 및 상기 커패시터 유전막 상의 상부 전극을 구비하며, 상기 패시베이션 구조물과 상기 커패시터 유전막은 동일한 물질을 포함한다.According to an aspect of the present invention, there is provided a semiconductor device package comprising: a substrate having an active device region and a passive device region defined therein; A semiconductor element formed on the active element region of the substrate; And a capacitor formed on the passive element region of the substrate, the semiconductor element comprising: a gate electrode including a body portion and a head portion on the body portion; a source electrode spaced apart from the gate electrode, And a passivation structure surrounding the gate electrode, the capacitor having a lower electrode, a capacitor dielectric layer on the lower electrode, and an upper electrode on the capacitor dielectric layer, wherein the passivation structure and the capacitor dielectric layer Includes the same material.

예시적인 실시예들에 있어서, 상기 게이트 전극은 T자 형상을 가질 수 있다.In exemplary embodiments, the gate electrode may have a T shape.

예시적인 실시예들에 있어서, 상기 헤드부의 폭은 상기 바디부의 폭보다 클 수 있다.In exemplary embodiments, the width of the head portion may be greater than the width of the body portion.

예시적인 실시예들에 있어서, 상기 패시베이션 구조물은, 상기 게이트 전극의 상기 바디부의 측벽을 둘러싸는 제1 패시베이션층; 및 상기 제1 패시베이션층 상에 형성되며, 상기 게이트 전극의 상기 헤드부의 측벽 및 상면을 둘러싸는 제2 패시베이션층을 포함할 수 있다.In exemplary embodiments, the passivation structure may include: a first passivation layer surrounding a sidewall of the body portion of the gate electrode; And a second passivation layer formed on the first passivation layer and surrounding a side wall and an upper surface of the head portion of the gate electrode.

예시적인 실시예들에 있어서, 상기 커패시터 유전막은 상기 제2 패시베이션층과 동일한 물질을 포함할 수 있다.In exemplary embodiments, the capacitor dielectric layer may comprise the same material as the second passivation layer.

예시적인 실시예들에 있어서, 상기 기판의 상기 패시브 소자 영역 상에 형성된 레지스터를 더 포함할 수 있다.In exemplary embodiments, it may further comprise a resistor formed on the passive element region of the substrate.

예시적인 실시예들에 있어서, 상기 기판의 전면(front side) 상에 상기 소스 전극이 형성되고, 상기 소스 전극과 오버랩되도록, 상기 기판의 배면(rear face)으로부터 상기 기판을 관통하는 제1 비아홀이 형성되며, 상기 제1 비아홀 내벽 상에 상기 소스 전극과 전기적으로 연결되는 소스 그라운드 비아를 더 포함할 수 있다.In exemplary embodiments, the source electrode is formed on a front side of the substrate, and a first via hole penetrating the substrate from a rear face of the substrate is formed so as to overlap with the source electrode. And a source ground via electrically connected to the source electrode on the inner wall of the first via hole.

예시적인 실시예들에 있어서, 상기 커패시터와 오버랩되도록 상기 기판의 상기 배면으로부터 상기 기판을 관통하는 제2 비아홀이 형성되며, 상기 제2 비아홀 내벽 상에 상기 커패시터의 상기 하부 전극과 전기적으로 연결되는 방열 비아를 더 포함할 수 있다.In the exemplary embodiments, a second via hole is formed through the substrate from the backside of the substrate to overlap with the capacitor, and a heat dissipation layer electrically connected to the lower electrode of the capacitor on the inner wall of the second via hole Vias. ≪ / RTI >

예시적인 실시예들에 있어서, 상기 방열 비아와 상기 소스 그라운드 비아는 동일한 물질을 포함할 수 있다.In exemplary embodiments, the heat sink vias and the source ground vias may comprise the same material.

예시적인 실시예들에 있어서, 상기 기판의 배면 상에 형성되며, 상기 소스 그라운드 비아와 연결되는 소스 그라운드층을 더 포함할 수 있다.In exemplary embodiments, it may further comprise a source ground layer formed on the backside of the substrate and connected to the source ground vias.

본 발명에 따른 III-V족 화합물 반도체 소자 패키지는, 기판의 액티브 소자 영역 상에 부정형 고전자이동도 트랜지스터를 포함하는 반도체 소자를 실장하고, 기판의 패시브 소자 영역 상에 커패시터 등의 패시브 소자를 실장하여 컴팩트한 반도체 소자 패키지를 구현할 수 있다. 또한, 방열 비아 및 소스 그라운드 비아를 구비하여, 방열 특성 및 내전압성이 향상될 수 있다.A III-V group compound semiconductor device package according to the present invention comprises a semiconductor element mounted on an active element region of a substrate, the element including a monomorphic high electron mobility transistor, a passive element such as a capacitor mounted on a passive element region of the substrate, So that a compact semiconductor device package can be realized. Also, heat radiation vias and source ground vias are provided to improve the heat dissipation characteristics and withstand voltage.

도 1은 본 발명의 예시적인 실시예들에 따른 III-V족 화합물 반도체 소자 패키지를 나타내는 단면도이다.
도 2a 내지 도 2f는 본 발명의 예시적인 실시예들에 따른 게이트 전극의 제조 방법을 나타내는 단면도들이다.
도 3a 내지 도 3h는 본 발명의 예시적인 실시예들에 따른 III-V족 화합물 반도체 소자 패키지의 제조 방법을 나타내는 단면도들이다.
도 4 내지 도 6은 본 발명에 따른 III-V족 화합물 반도체 소자 패키지의 전기적 특성을 나타내는 그래프들이다.
1 is a cross-sectional view illustrating a III-V compound semiconductor device package in accordance with exemplary embodiments of the present invention.
2A to 2F are cross-sectional views illustrating a method of manufacturing a gate electrode according to exemplary embodiments of the present invention.
3A-3H are cross-sectional views illustrating a method of fabricating a III-V compound semiconductor device package in accordance with exemplary embodiments of the present invention.
4 to 6 are graphs showing electrical characteristics of the III-V group compound semiconductor device package according to the present invention.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명의 기술적 사상을 더욱 완전하게 설명하기 위하여 제공되는 것이며, 하기 실시예는 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 기술적 사상의 범위가 하기 실시예에 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하고, 당업자에게 본 발명의 기술적 사상을 완전하게 전달하기 위하여 제공되는 것이다. 본 명세서에서 사용된 바와 같이, 용어 "및/또는"은 해당 열거된 항목 중 어느 하나 및 하나 이상의 모든 조합을 포함한다. 동일한 부호는 시종 동일한 요소를 의미한다. 나아가, 도면에서의 다양한 요소와 영역은 개략적으로 그려진 것이다. 따라서, 본 발명의 기술적 사상은 첨부한 도면에 그려진 상대적인 크기나 간격에 의해 제한되지 않는다. Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. It will be apparent to those skilled in the art that the present invention may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of the invention to those skilled in the art. The scope of technical thought is not limited to the following examples. Rather, these embodiments are provided so that this disclosure will be more thorough and complete, and will fully convey the scope of the invention to those skilled in the art. As used herein, the term "and / or" includes any and all combinations of one or more of the listed items. The same reference numerals denote the same elements at all times. Further, various elements and regions in the drawings are schematically drawn. Accordingly, the technical spirit of the present invention is not limited by the relative size or spacing depicted in the accompanying drawings.

도 1은 본 발명의 예시적인 실시예들에 따른 III-V족 화합물 반도체 소자 패키지(1000)를 나타내는 단면도이다. 1 is a cross-sectional view illustrating a III-V compound semiconductor device package 1000 in accordance with exemplary embodiments of the present invention.

도 1을 참조하면, III-V족 화합물 반도체 소자 패키지(1000)는 기판(110) 상에 형성된 반도체 소자(100), 레지스터(200) 및 커패시터(300)를 포함할 수 있다.Referring to FIG. 1, a III-V compound semiconductor device package 1000 may include a semiconductor device 100, a resistor 200, and a capacitor 300 formed on a substrate 110.

기판(110)에는 액티브 소자 영역(I) 및 패시브 소자 영역(II)가 정의될 수 있다. 액티브 소자 영역(I)에는 반도체 소자(100)가 형성될 수 있고, 패시브 소자 영역(II)에는 레지스터(200) 및 커패시터(300)가 형성될 수 있다. 도시되지는 않았지만, 패시브 소자 영역(II)에는 레지스터(200) 및 커패시터(300) 이외에도 반도체 소자(100)의 구동을 위하여 필요한 인덕터(inductor) 등의 패시브 소자들이 더 형성될 수 있다. The active element region I and the passive element region II may be defined in the substrate 110. [ The semiconductor device 100 may be formed in the active device region I and the resistor 200 and the capacitor 300 may be formed in the passive device region II. Passive elements such as an inductor necessary for driving the semiconductor device 100 may be further formed in the passive element region II in addition to the resistor 200 and the capacitor 300. [

기판(110)에는 소자 분리 영역(140)이 형성될 수 있다. 소자 분리 영역(140)은 액티브 소자 영역(I)의 일부분에 형성되어 반도체 소자(100)가 형성될 액티브 영역(도시되지 않음)을 정의할 수 있다. 예를 들어, 기판(110)의 상면에서 액티브 소자 영역(I)의 에지 부분 및 패시브 소자 영역(II)에 소자 분리 영역(140)이 형성되며, 소자 분리 영역(140)이 형성되지 않은 기판(110) 표면 상에 반도체 소자(100)가 배치될 수 있다.An element isolation region 140 may be formed in the substrate 110. The element isolation region 140 may be formed in a portion of the active element region I to define an active region (not shown) in which the semiconductor element 100 is to be formed. For example, an element isolation region 140 is formed in the edge portion of the active element region I and the passive element region II in the upper surface of the substrate 110, and a substrate (not shown) in which the element isolation region 140 is not formed The semiconductor device 100 may be disposed on the surface of the semiconductor device 100.

이하에서는 액티브 소자 영역(I)에 형성되는 반도체 소자(100)에 대하여 설명한다.Hereinafter, the semiconductor element 100 formed in the active element region I will be described.

반도체 소자(100)는 기판(110) 상에 형성된 제1 버퍼층(112), 제2 버퍼층(114), 채널층(122), 게이트 콘택층(124), 식각 정지막(130), 캡핑층(132), 오믹층(134), 게이트 전극(150), 패시베이션 구조물(160), 소스 전극(172), 및 드레인 전극(174)을 포함할 수 있다. 또한, 반도체 소자(100)의 소스 전극(172)과 연결된 소스 그라운드 전극 구조물(180)이 더 형성될 수 있다.The semiconductor device 100 includes a first buffer layer 112 formed on a substrate 110, a second buffer layer 114, a channel layer 122, a gate contact layer 124, an etch stop layer 130, a capping layer 132, a ohmic layer 134, a gate electrode 150, a passivation structure 160, a source electrode 172, and a drain electrode 174. Further, a source ground electrode structure 180 connected to the source electrode 172 of the semiconductor device 100 may be further formed.

기판(110)은 갈륨 비소 기판, 실리콘 카바이드 기판, 실리콘 기판, 알루미늄 질화물 기판, 사파이어 기판 등을 포함할 수 있다. 예시적인 실시예들에 있어서, 기판(110)은 반절연성 갈륨 비소 기판을 포함할 수 있다. 그러나, 기판(110)의 종류가 이에 한정되는 것은 아니다.The substrate 110 may include a gallium arsenide substrate, a silicon carbide substrate, a silicon substrate, an aluminum nitride substrate, a sapphire substrate, or the like. In the exemplary embodiments, the substrate 110 may comprise a semi-insulating gallium arsenide substrate. However, the type of the substrate 110 is not limited thereto.

기판(110) 상에는 제1 버퍼층(112) 및 제2 버퍼층(114)이 순차적으로 적층될 수 있다. 제1 및 제2 버퍼층들(112, 114)은 기판(110) 물질과 채널층(122) 사이의 격자상수 차이에 기인한 미스핏 전위(misfit dislocation) 등의 결함 발생에 의한 결정 품질 열화를 방지하는 역할을 할 수 있다.A first buffer layer 112 and a second buffer layer 114 may be sequentially stacked on the substrate 110. The first and second buffer layers 112 and 114 prevent crystal quality deterioration due to a defect such as a misfit dislocation due to a difference in lattice constant between the substrate 110 material and the channel layer 122 Can play a role.

제1 버퍼층(112)은 초격자층(superlattice layer)일 수 있다. 예를 들어, 기판(110)이 반절연성 갈륨 비소 기판을 포함할 때, 제1 버퍼층(112)은 갈륨 비소를 포함하는 초격자층일 수 있다. The first buffer layer 112 may be a superlattice layer. For example, when the substrate 110 comprises a semi-insulating gallium arsenide substrate, the first buffer layer 112 may be a superlattice layer comprising gallium arsenide.

제2 버퍼층(114)은 갈륨 비소를 포함하는 제1 층(도시되지 않음) 및 알루미늄 갈륨 비소를 포함하는 제2 층(도시되지 않음)이 적층된 구조로 형성될 수 있다. 예시적인 실시예에 따르면, 제2 버퍼층(114)은 제1 버퍼층(112)과 접하는 제2 버퍼층(114)의 바닥면으로부터 채널층(122)과 접하는 제2 버퍼층(114)의 상면까지 수직 방향을 따라 알루미늄의 함량이 달라지는 복수 개의 층들(도시되지 않음)이 순차적으로 적층된 적층 구조물일 수도 있다. 예를 들어, 제2 버퍼층(114)의 바닥면으로부터 상면까지 수직 방향을 따라, 갈륨 비소로부터 알루미늄 갈륨 비소(Al1-xGaxAs, 0 < x < 1)의 특정한 조성까지 알루미늄의 함량, 즉 x가 순차적으로 증가할 수 있다.The second buffer layer 114 may be formed of a stacked structure of a first layer (not shown) containing gallium arsenide and a second layer (not shown) containing aluminum gallium arsenide. The second buffer layer 114 may extend in a vertical direction from the bottom surface of the second buffer layer 114 in contact with the first buffer layer 112 to the top surface of the second buffer layer 114 in contact with the channel layer 122. In this case, And a plurality of layers (not shown) in which the content of aluminum is changed along the thickness direction of the substrate. For example, along the vertical direction from the bottom surface to the top surface of the second buffer layer 114, the content of aluminum from gallium arsenide to a specific composition of aluminum gallium arsenide (Al 1-x Ga x As, 0 <x <1) That is, x may increase sequentially.

도 1에 도시되지는 않았지만, 제2 버퍼층(114)과 채널층(122) 사이에는 스페이서(도시되지 않음)가 선택적으로 더 형성될 수도 있다.Although not shown in FIG. 1, a spacer (not shown) may be optionally formed between the second buffer layer 114 and the channel layer 122.

채널층(122) 및 게이트 콘택층(124)은 제2 버퍼층(114) 상부에 순차적으로 형성될 수 있다. 예시적인 실시예들에 있어서, 채널층(122)은 인듐 갈륨 비소를 포함할 수 있고, 게이트 콘택층(124)은 n형 알루미늄 갈륨 비소를 포함할 수 있다. 특히, 게이트 콘택층(124)에는 실리콘(Si), 게르마늄(Ge) 또는 주석(Sn)과 같은 n형 불순물이 도핑될 수 있다. 채널층(122)과 게이트 콘택층(124) 사이의 계면 근처의 채널층(122) 내에 2차원의 전자 가스 층(2-dimensional electron gas layer, 2DEG 층)(도시되지 않음)이 형성될 수 있고, 이러한 2DEG 층이 반도체 소자(100)의 채널 영역(channel region)을 구성할 수 있다. The channel layer 122 and the gate contact layer 124 may be sequentially formed on the second buffer layer 114. In the exemplary embodiments, the channel layer 122 may comprise indium gallium arsenide and the gate contact layer 124 may comprise n-type aluminum gallium arsenide. In particular, the gate contact layer 124 may be doped with an n-type impurity such as silicon (Si), germanium (Ge), or tin (Sn). A two-dimensional electron gas layer (not shown) may be formed in the channel layer 122 near the interface between the channel layer 122 and the gate contact layer 124 , This 2DEG layer can constitute the channel region of the semiconductor device 100. [

게이트 콘택층(124) 상부에는 식각 정지막(130) 및 캡핑층(132)이 더 형성될 수 있다. 식각 정지막(130)은 게이트 전극(150) 형성을 위한 리세스(도시되지 않음) 식각 공정에서 하부의 채널층(122) 및 게이트 콘택층(124)의 손상을 방지하기 위한 보호막 역할을 할 수 있다. 예시적인 실시예들에 있어서, 식각 정지막(130)은 알루미늄 비소를 포함할 수 있다. 예시적인 실시예들에 따르면, 캡핑층(132)은 n형 갈륨 비소를 포함할 수 있다. 이밖에도, 캡핑층(132)은 갈륨 비소와 인듐 갈륨 비소의 적층 구조물로 형성될 수 있다.An etch stop layer 130 and a capping layer 132 may be further formed on the gate contact layer 124. The etch stop layer 130 may serve as a protective layer to prevent damage to the underlying channel layer 122 and the gate contact layer 124 in a recessed (not shown) etching process for forming the gate electrode 150 have. In the exemplary embodiments, the etch stop layer 130 may comprise aluminum arsenide. According to exemplary embodiments, the capping layer 132 may comprise n-type gallium arsenide. In addition, the capping layer 132 may be formed of a laminated structure of gallium arsenide and indium gallium arsenide.

게이트 전극(150)은 게이트 콘택층(124) 상부에 형성될 수 있다. 게이트 전극(150)은 바디부(152) 및 헤드부(154)를 포함하도록 형성되며, T-자 형상을 가질 수 있다. 바디부(152)는 게이트 콘택층(124)과 접촉하며 소정의 폭과 두께로 형성될 수 있다. 헤드부(154)는 바디부(152) 상부에서 바디부(152)보다 큰 폭을 갖도록 형성될 수 있다. 게이트 전극(150)이 T-자 형상을 갖도록 형성되는 경우에, 바디부(152)가 게이트 콘택층(124)과 접촉하는 면적이 줄어들 수 있어 기생 저항이 감소될 수 있다. 또한, 헤드부(154)의 폭이 바디부(152)의 폭보다 크게 형성됨에 따라 게이트 전극(150)의 저항이 감소되고 게이트 전극(150)의 기생 커패시턴스(parasitic capacitance)를 감소시킬 수 있다. 게이트 전극의 수직 단면이 직사각형 형상으로 형성되는 경우에 기생 저항 감소를 위하여 게이트 전극의 폭을 작게 형성하기 어려우나, 본 발명에서는 이중 노광 방법을 사용하여 게이트 전극의 폭을 작게 형성할 수 있다. 이러한 형상의 게이트 전극(150)을 형성하는 방법은 추후에 도 2a 내지 도 2f를 참조로 상세하게 설명한다.A gate electrode 150 may be formed over the gate contact layer 124. The gate electrode 150 is formed to include the body portion 152 and the head portion 154, and may have a T-shape. The body portion 152 may be formed with a predetermined width and thickness in contact with the gate contact layer 124. The head portion 154 may be formed to have a greater width than the body portion 152 above the body portion 152. When the gate electrode 150 is formed to have a T-shape, the area in which the body portion 152 contacts the gate contact layer 124 can be reduced, and the parasitic resistance can be reduced. The width of the head portion 154 is greater than the width of the body portion 152 so that the resistance of the gate electrode 150 can be reduced and the parasitic capacitance of the gate electrode 150 can be reduced. In the case where the vertical cross section of the gate electrode is formed in a rectangular shape, it is difficult to form the gate electrode with a small width in order to reduce the parasitic resistance. However, in the present invention, the width of the gate electrode can be reduced by using the double exposure method. A method of forming the gate electrode 150 having such a shape will be described in detail later with reference to FIGS. 2A to 2F.

패시베이션 구조물(160)은 게이트 전극(150)을 둘러싸도록 형성될 수 있다. 패시베이션 구조물(160)은 제1 패시베이션층(162) 및 제2 패시베이션층(164)을 포함할 수 있다. 제1 패시베이션층(162)은 게이트 콘택층(124) 상부와 접촉하는 바디부(152)의 측벽부를 둘러싸며, 제2 패시베이션층(164)은 제1 패시베이션층(162) 상에서 바디부(152)의 측벽 및 헤드부(154)를 감싸는 형상으로 형성될 수 있다. 제1 패시베이션층(162) 및 제2 패시베이션층(164)의 두께는 달라질 수 있다. 예시적인 실시예들에 있어서, 제1 및 제2 패시베이션층들(162, 164)은 실리콘 산화물, 실리콘 질화물, 높은 유전 상수를 갖는 고유전 물질 등을 포함할 수 있다. 예를 들어, 제1 및 제2 패시베이션층들(162, 164)은 동일한 물질을 포함할 수도 있고, 서로 다른 물질들을 포함할 수도 있다. The passivation structure 160 may be formed to surround the gate electrode 150. The passivation structure 160 may include a first passivation layer 162 and a second passivation layer 164. The first passivation layer 162 surrounds the sidewalls of the body portion 152 contacting the top of the gate contact layer 124 and the second passivation layer 164 surrounds the body portion 152 on the first passivation layer 162. [ And the head portion 154 may be formed in a shape that surrounds the side wall and the head portion 154. [ The thicknesses of the first passivation layer 162 and the second passivation layer 164 may vary. In the exemplary embodiments, the first and second passivation layers 162 and 164 may comprise silicon oxide, silicon nitride, high dielectric constant materials, and the like. For example, the first and second passivation layers 162 and 164 may comprise the same material or may comprise different materials.

패시베이션 구조물(160)은 하부의 게이트 콘택층(124) 및 채널층(122)이 노출되는 경우 산화되거나, 습기 및 다른 오염에 의해 손상되는 것을 방지하도록 하부의 게이트 콘택층(124) 및 채널층(122)을 보호하는 보호막 역할을 할 수 있다. 또한, 패시베이션 구조물(160) 중 제1 패시베이션층(162)은 게이트 전극(150)의 바디부(152)의 측벽부를 감싸므로 게이트 전극(150)의 콘택 저항을 감소시킬 수 있다. The passivation structure 160 may be formed by depositing a lower gate contact layer 124 and a channel layer 122 to prevent oxidation of the lower gate contact layer 124 and channel layer 122 when exposed or damage by moisture and other contaminants. 122 may be protected. The first passivation layer 162 of the passivation structure 160 covers the sidewalls of the body portion 152 of the gate electrode 150, thereby reducing the contact resistance of the gate electrode 150.

소스 전극(172) 및 드레인 전극(174)은 캡핑층(132) 상부에서, 게이트 전극(150)을 사이에 두고 서로 이격되어 형성될 수 있다. 한편, 소스 전극(172)과 캡핑층(132) 사이에는 소스 패드(176) 및 오믹층(134)이 더 형성될 수 있고, 드레인 전극(174)과 캡핑층(132) 사이에는 드레인 패드(178) 및 오믹층(134)이 더 형성될 수 있다. 오믹층(134)은 소스 및 드레인 전극들(172, 174)과 게이트 콘택층(124) 사이의 접촉 저항을 감소시키는 역할을 할 수 있고, 예를 들어 오믹층(134)은 AuGe/Au/Ni의 적층 구조일 수 있다.The source electrode 172 and the drain electrode 174 may be formed on the capping layer 132 and spaced apart from each other with the gate electrode 150 therebetween. A source pad 176 and an ohmic layer 134 may be further formed between the source electrode 172 and the capping layer 132. A drain pad 178 may be formed between the drain electrode 174 and the capping layer 132. [ And the ohmic layer 134 may be further formed. The ohmic layer 134 may serve to reduce the contact resistance between the source and drain electrodes 172 and 174 and the gate contact layer 124. For example, the ohmic layer 134 may include AuGe / Au / Ni . &Lt; / RTI &gt;

소스 그라운드 전극 구조물(180)은 기판(110)의 하부면, 즉 소스 전극(172)이 형성되는 기판(110) 상면의 반대면에 형성된다. 소스 그라운드 전극 구조물(180)은 소스 그라운드 비아(182) 및 소스 그라운드층(184)을 포함할 수 있다. 소스 그라운드 비아(182)는 기판(110)의 상기 하부면으로부터 소정의 깊이로 형성된 비아홀(181) 내벽 상에 형성될 수 있다. 소스 그라운드층(184)은 기판(110)의 바닥면 상에 형성될 수 있다. 이때, 비아홀(181)이 기판(110)을 관통하여 소스 패드(176)를 노출하도록 형성되므로, 소스 그라운드 비아(182)는 소스 패드(176)를 통해 소스 전극(172)과 전기적으로 연결될 수 있고, 소스 그라운드층(184)은 소스 그라운드 비아(182) 및 소스 패드(176)을 통해 소스 전극(172)과 전기적으로 연결될 수 있다. 소스 그라운드 전극 구조물(180)은 소스 전극(172)으로부터 기판(110)의 하부면으로 연결되는 접지층으로 작용하며, 소스 그라운드층(184)이 기판(110)의 하부면 전체를 덮도록 형성됨에 따라 반도체 소자(100)에서 발생할 수 있는 열을 기판(110) 외부로 방출하는 방열판(heat sink)으로도 작용할 수 있다.The source ground electrode structure 180 is formed on the lower surface of the substrate 110, that is, on the opposite surface of the upper surface of the substrate 110 on which the source electrode 172 is formed. The source ground electrode structure 180 may include a source ground via 182 and a source ground layer 184. The source ground vias 182 may be formed on the inner wall of the via hole 181 formed at a predetermined depth from the lower surface of the substrate 110. A source ground layer 184 may be formed on the bottom surface of the substrate 110. Since the via hole 181 is formed to expose the source pad 176 through the substrate 110, the source ground via 182 can be electrically connected to the source electrode 172 through the source pad 176 The source ground layer 184 may be electrically connected to the source electrode 172 through the source ground via 182 and the source pad 176. [ The source ground electrode structure 180 serves as a ground layer connected from the source electrode 172 to the lower surface of the substrate 110 and the source ground layer 184 covers the entire lower surface of the substrate 110 And may act as a heat sink for discharging heat generated in the semiconductor device 100 to the outside of the substrate 110. [

선택적으로, 소스 전극(172)과 소스 패드(176) 사이, 드레인 전극(174)과 드레인 패드(178) 사이에는 시드층(seed layer)(190)이 더 형성될 수 있다. 예시적인 실시예들에 있어서, 시드층(190)은 소스 전극(172)와 드레인 전극(174)의 하부면을 따라 소정의 두께로 컨포말하게 형성될 수 있다. 또한, 시드층(190)은 소스 그라운드 전극 구조물(180)과 기판(110) 사이에서 소정의 두께로 형성될 수 있다. A seed layer 190 may be further formed between the source electrode 172 and the source pad 176 and between the drain electrode 174 and the drain pad 178. [ In exemplary embodiments, the seed layer 190 may be conformally formed to a predetermined thickness along the bottom surface of the source electrode 172 and the drain electrode 174. In addition, the seed layer 190 may be formed to have a predetermined thickness between the source ground electrode structure 180 and the substrate 110.

전술한 반도체 소자(100)는 인듐 갈륨 비소를 채널층(122)으로 사용하는 부정형 고전자이동도 트랜지스터(pHEMT) 소자를 구성할 수 있다. 특히, 인듐 갈륨 비소를 사용하는 pHEMT 소자는 드레인 전류 밀도가 높고 게이트 기생 저항이 작아 높은 항복전압 특성을 보일 수 있다.The above-described semiconductor device 100 may constitute a pseudomorphic high electron mobility transistor (pHEMT) device using indium gallium arsenide as the channel layer 122. In particular, pHEMT devices using indium gallium arsenide can exhibit high breakdown voltage characteristics due to high drain current density and small gate parasitic resistance.

레지스터(200)는 패시브 소자 영역(II)의 소자 분리 영역(140) 상에 형성될 수 있다. 예시적인 실시예들에 있어서, 패시브 소자 영역(II)의 소자 분리 영역(140) 상에 제1 절연층(210)이 형성되고, 제1 절연층(210) 상부에 레지스터(200)가 형성될 수 있다. 레지스터(200) 상에 도전 패턴(220)이 형성되며, 레지스터(200)는 도전 패턴(220)을 통해 소스 전극(172)과 전기적으로 연결될 수 있다. 한편, 레지스터(200) 상부에 제2 절연층(215)이 더 형성될 수 있다. The resistor 200 may be formed on the element isolation region 140 of the passive element region II. The first insulating layer 210 is formed on the element isolation region 140 of the passive element region II and the resistor 200 is formed on the first insulating layer 210 . A conductive pattern 220 is formed on the resistor 200 and the resistor 200 may be electrically connected to the source electrode 172 through the conductive pattern 220. Meanwhile, a second insulating layer 215 may be further formed on the resistor 200.

예시적인 실시예들에 있어서, 제1 및 제2 절연층들(210, 215)은 각각 제1 및 제2 패시베이션층들(162, 164)과 동일한 물질을 포함할 수 있다. 이러한 경우에, 제1 및 제2 패시베이션층들(162, 164)의 형성 과정에서 패시브 소자 영역(II) 상에 제1 및 제2 절연층들(210, 215)을 동시에 형성할 수 있다. 이와는 달리, 제1 및 제2 절연층들(210, 215)은 제1 및 제2 패시베이션층들(162, 164) 물질과 다른 물질을 포함할 수도 있다.In the exemplary embodiments, the first and second insulating layers 210 and 215 may comprise the same materials as the first and second passivation layers 162 and 164, respectively. In this case, the first and second insulating layers 210 and 215 may be simultaneously formed on the passive device region II in the process of forming the first and second passivation layers 162 and 164. Alternatively, the first and second insulating layers 210 and 215 may comprise materials different from the first and second passivation layers 162 and 164 materials.

커패시터(300)는 패시브 소자 영역(II)의 소자 분리 영역(140) 상에 형성될 수 있다. 예시적인 실시예들에 있어서, 커패시터(300)는 상부 전극(305), 커패시터 유전막(315) 및 하부 전극(320)을 포함할 수 있다. 특히, 패시브 소자 영역(II) 상의 소자 분리 영역(140) 상에 하부 전극(320)이 형성되고, 하부 전극(320) 상부에 커패시터 유전막(315)이 형성되고, 커패시터 유전막(315) 상에 상부 전극(305)이 형성될 수 있다.The capacitor 300 may be formed on the element isolation region 140 of the passive element region II. In the exemplary embodiments, the capacitor 300 may include an upper electrode 305, a capacitor dielectric layer 315, and a lower electrode 320. Particularly, a lower electrode 320 is formed on the element isolation region 140 on the passive element region II, a capacitor dielectric film 315 is formed on the lower electrode 320, An electrode 305 may be formed.

예시적인 실시예들에 있어서, 커패시터(300)의 상부 전극(305)은 소스 및 드레인 전극들(172, 174)의 물질들과 동일한 물질을 포함할 수 있다. 이러한 경우에, 소스 및 드레인 전극들(172, 174)의 형성 과정에서 패시브 소자 영역(II) 상에 상부 전극(305)을 동시에 형성할 수 있다. 이와는 달리, 상부 전극(305)은 소스 및 드레인 전극들(172, 174) 물질과 다른 물질을 포함할 수도 있다.In the exemplary embodiments, the upper electrode 305 of the capacitor 300 may comprise the same materials as the materials of the source and drain electrodes 172, 174. In this case, the upper electrode 305 can be simultaneously formed on the passive device region II in the process of forming the source and drain electrodes 172 and 174. [ Alternatively, the upper electrode 305 may comprise a material different from the material of the source and drain electrodes 172, 174.

선택적으로, 커패시터(300) 형성 영역의 기판(110) 하부로부터 비아홀(331)이 형성되고, 비아홀(331) 내벽 상에 방열 비아(332)가 더 형성될 수 있다. 한편, 방열 비아(332)는 커패시터(300)로부터 발생하는 열을 기판(110) 하부면으로 방출하는 열전달 경로(heat transfer path)로 작용할 수 있다.Alternatively, a via hole 331 may be formed from the bottom of the substrate 110 in the region where the capacitor 300 is formed, and a heat dissipation via 332 may be further formed on the inner wall of the via hole 331. The heat dissipation vias 332 may serve as a heat transfer path for discharging heat generated from the capacitors 300 to the lower surface of the substrate 110. [

인캡슐레이션층(192)은 기판(110) 상에서 반도체 소자(100), 레지스터(200) 및 커패시터(300)를 커버하도록 형성될 수 있다. 인캡슐레이션층(192)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 수지, 에폭시 수지 등의 절연 물질을 포함할 수 있다. 인캡슐레이션층(192)은 노출된 소스 및 드레인 전극들(172, 174), 커패시터(300) 등의 구성요소들이 외부로부터의 기계적 충격 또는 습기 등에 의해 손상되는 것을 방지할 수 있다.The encapsulation layer 192 may be formed on the substrate 110 to cover the semiconductor device 100, the resistor 200, and the capacitor 300. The encapsulation layer 192 may comprise an insulating material such as silicon oxide, silicon nitride, silicon oxynitride, silicone resin, or epoxy resin. The encapsulation layer 192 can prevent components such as the exposed source and drain electrodes 172 and 174 and the capacitor 300 from being damaged by mechanical shock or moisture from the outside.

본 발명에 따른 반도체 소자 패키지(1000)는 반도체 소자(100)를 포함하는 액티브 소자, 레지스터(200) 및 커패시터(300) 등의 패시브 소자를 하나의 기판(110) 상에 배치할 수 있다. 따라서, 패시브 소자들을 개별적으로 제조한 후 와이어, 솔더 볼 등의 연결 부재를 사용하여 기판 상에 실장하는 방법에 의해 제조된 패키지와 비교할 때, 컴팩트한 사이즈의 패키지(1000)를 구현할 수 있다. 또한, 본 발명에 따른 반도체 소자 패키지(1000)는 소스 전극(172)을 기판(110) 하부면까지 전기적으로 연결시키는 소스 그라운드 전극 구조물(180)을 구비하여, 상기 소자(100)가 안정적으로 동작할 수 있다. 또한, 방열 비아(332)를 구비함에 따라, 상기 반도체 소자 패키지(1000)는 우수한 방열 특성을 가질 수 있다.The semiconductor device package 1000 according to the present invention can arrange a passive device such as an active device including the semiconductor device 100, a resistor 200, and a capacitor 300 on one substrate 110. Therefore, the package 1000 of a compact size can be realized as compared with a package manufactured by a method in which passive elements are individually manufactured and then mounted on a substrate by using a connecting member such as a wire or a solder ball. The semiconductor device package 1000 according to the present invention includes a source ground electrode structure 180 that electrically connects the source electrode 172 to the lower surface of the substrate 110, can do. Further, by providing the heat radiation vias 332, the semiconductor device package 1000 can have excellent heat radiation characteristics.

도 2a 내지 도 2f는 본 발명의 예시적인 실시예들에 따른 게이트 전극의 제조 방법을 나타내는 단면도들이다. 상기 제조 방법은 도 1에 도시된 게이트 전극(도 1의 150)을 제조하기 위한 예시적인 방법이며, 특히 이중 노광법(double-exposure method)을 사용한 게이트 전극의 제조 방법일 수 있다.2A to 2F are cross-sectional views illustrating a method of manufacturing a gate electrode according to exemplary embodiments of the present invention. This manufacturing method is an exemplary method for manufacturing the gate electrode (150 in FIG. 1) shown in FIG. 1, and may be a method of manufacturing a gate electrode using a double-exposure method in particular.

도 2a를 참조하면, 기판(10) 상에 에피택시층(20)이 형성되고, 에피택시층(20) 상에 하드 마스크층(30)이 형성될 수 있다. 에피택시층(20)은 III-V족 화합물 반도체층일 수 있고, 예를 들어 알루미늄 갈륨 비소, 인듐 갈륨 비소, 알루미늄 인듐 갈륨 비소 등을 포함할 수 있다. 하드 마스크층(30)은 실리콘 질화물, 실리콘 산화물, 실리콘 산질화물 등의 절연 물질을 포함할 수 있다.Referring to FIG. 2A, an epitaxial layer 20 is formed on a substrate 10, and a hard mask layer 30 may be formed on an epitaxial layer 20. The epitaxial layer 20 may be a III-V compound semiconductor layer and may include, for example, aluminum gallium arsenide, indium gallium arsenide, aluminum indium gallium arsenide, and the like. The hardmask layer 30 may comprise an insulating material such as silicon nitride, silicon oxide, silicon oxynitride, or the like.

하드 마스크층(30) 상에 제1 물질층(42), 제2 물질층(44) 및 제3 물질층(46)의 적층 구조로 형성된 포토레지스트 구조물(40)이 놓여질 수 있다. 제1 내지 제3 물질층들(42, 44, 46)은 각각 광흡수율 등의 광학적 특성들이 상이한 물질들을 포함할 수 있다. 예시적인 실시예들에 있어서, 제1 물질층(42)은 폴리메타크릴산메틸((poly(methylmethacrylate), PMMA)를 포함할 수 있고, 제2 물질층(44)은 폴리디메틸 글루타리마이드(polydimethyl glutarimide, PMGI)를 포함할 수 있고, 제3 물질층(46)은 제조사 ZEON의 ZEP를 포함할 수 있다. 그러나, 제1 내지 제3 물질층들(42, 44, 46)의 재료는 이에 한정되는 것은 아니다. A photoresist structure 40 formed in a laminated structure of a first material layer 42, a second material layer 44, and a third material layer 46 may be deposited on the hard mask layer 30. The first to third material layers 42, 44, and 46 may each include materials having different optical properties such as light absorptivity. In exemplary embodiments, the first material layer 42 may comprise poly (methylmethacrylate) (PMMA) and the second material layer 44 may comprise polydimethylglutarimide the material of the first to third material layers 42, 44, 46 may comprise ZEP of the manufacturer ZEON, but the third material layer 46 may comprise ZEP of the manufacturer ZEON. But is not limited thereto.

포토레지스트 구조물(40) 상부에 제1 노광 마스크(M1)가 놓여지고, 제1 전자빔 노광 공정(S1)이 수행될 수 있다. 제1 노광 마스크(M1)의 제1 마스크 개구부(M1a)를 통해 전자빔이 통과하여 포토레지스트 구조물(40)에 조사될 수 있다. 예를 들어, JEOL 사의 JBX6000FS/E을 사용하여, 전자빔 50kV의 가속 전압, 1nA의 프루브 빔 전류 및 50nm의 빔 해상도로 제1 노광 공정(S1)이 수행될 수 있다. 그러나, 제1 전자빔 노광 공정(S1)에서 사용되는 가속 전압 및 프루브 빔 전류 등이 이에 한정되는 것은 아니며, 제1 내지 제3 물질층들(42, 44, 46)의 광흡수율 등을 고려하여 적절히 선택될 수 있다. A first exposure mask M1 may be placed on top of the photoresist structure 40 and a first electron beam exposure process S1 may be performed. The electron beam can pass through the first mask opening M1a of the first exposure mask M1 and irradiate the photoresist structure 40. [ For example, using JEOL's JBX6000FS / E, the first exposure step (S1) can be performed with an electron beam acceleration voltage of 50 kV, a probe beam current of 1 nA, and a beam resolution of 50 nm. However, the acceleration voltage and the probe beam current used in the first electron beam exposure process S1 are not limited thereto, and may be appropriately determined in consideration of the light absorptivity of the first to third material layers 42, 44, Can be selected.

도 2b를 참조하면, 제1 노광 공정(S1)이 수행된 포토레지스트 구조물(40)에 현상 공정을 수행하여, 제3 물질층(46) 및 제2 물질층(44)이 패터닝될 수 있다. 이때, 제1 노광 공정(S1)에 의해 제1 물질층(42)은 패터닝되지 않을 수 있다. Referring to FIG. 2B, the third material layer 46 and the second material layer 44 may be patterned by performing a developing process on the photoresist structure 40 on which the first exposure process S1 has been performed. At this time, the first material layer 42 may not be patterned by the first exposure step S1.

예시적인 실시예들에 있어서, 제3 물질층(46)과 제2 물질층(44)은 광흡수율이 각각 상이하므로, 패터닝되는 폭이 서로 상이할 수 있다. 예를 들어, 제3 물질층(46)은 제1 노광 마스크(M1)의 제1 마스크 개구부(M1a)의 폭과 실질적으로 동일한 폭으로 패터닝될 수 있고, 제2 물질층(44)은 제1 마스크 개구부(M1a)의 폭보다 큰 폭으로 패터닝될 수 있다. 이에 따라, 패터닝된 제3 물질층(46)보다 제2 물질층(44)이 리세스되어, 제3 물질층(46) 및 제2 물질층(44)의 경계 부분에 제1 리세스부(40R1)가 형성될 수 있다.In the exemplary embodiments, since the third material layer 46 and the second material layer 44 have different light absorptions, the patterned widths may be different from each other. For example, the third material layer 46 may be patterned with a width substantially equal to the width of the first mask opening M1a of the first exposure mask M1, and the second material layer 44 may be patterned Can be patterned with a width larger than the width of the mask opening M1a. The second material layer 44 is recessed relative to the patterned third material layer 46 to form a first recessed portion 44 at the boundary of the third material layer 46 and the second material layer 44 40R1 may be formed.

도 2c를 참조하면, 포토레지스트 구조물(40) 상부에 제2 노광 마스크(M2)가 놓여지고, 제2 전자빔 노광 공정(S2)이 수행될 수 있다. 제2 노광 마스크(M2)의 제1 마스크 개구부(M2a)를 통해 전자빔이 통과하여 포토레지스트 구조물(40)에 조사될 수 있다. Referring to FIG. 2C, a second exposure mask M2 may be placed on top of the photoresist structure 40, and a second electron beam exposure process S2 may be performed. The electron beam passes through the first mask opening portion M2a of the second exposure mask M2 and can be irradiated to the photoresist structure 40. [

예시적인 실시예들에 있어서, 제2 마스크 개구부(M2a)의 폭은 제1 마스크 개구부(M1a)의 폭보다 작을 수 있고, 제2 마스크 개구부(M2a)는 제1 물질층(42)의 일부분과 오버랩되도록 배치될 수 있다. 이에 따라, 제2 전자빔 노광 공정(S2)에서 제1 물질층(42)이 패터닝될 수 있다. The width of the second mask opening M2a may be less than the width of the first mask opening M1a and the second mask opening M2a may be smaller than the width of the first material layer 42, As shown in FIG. Accordingly, the first material layer 42 can be patterned in the second electron beam exposure step S2.

도 2d를 참조하면, 제2 노광 공정(S2)이 수행된 포토레지스트 구조물(40)에 현상 공정을 수행하여, 제1 물질층(42)이 패터닝될 수 있다. 제1 물질층(42)이 패터닝된 부위에 제2 리세스부(40R2)가 형성될 수 있다. Referring to FIG. 2D, the first material layer 42 may be patterned by performing a developing process on the photoresist structure 40 on which the second exposure process S2 has been performed. The second recess portion 40R2 may be formed at the patterned portion of the first material layer 42. [

도 2e를 참조하면, 포토레지스트 구조물(40)을 마스크로 사용하여 하드 마스크층(30)을 패터닝할 수 있다. 이에 따라, 하드 마스크층(30)은 제1 물질층(42)의 패터닝 폭과 실질적으로 동일한 폭으로 패터닝될 수 있다. 하드 마스크층(30)이 패터닝된 부위에 제3 리세스부(30R1)가 형성되며, 제3 리세스부(30R1)에 의해 에피택시층(20)의 상면이 노출될 수 있다.Referring to FIG. 2E, the hard mask layer 30 may be patterned using the photoresist structure 40 as a mask. Accordingly, the hard mask layer 30 can be patterned with a width substantially equal to the patterning width of the first material layer 42. [ The third recess portion 30R1 may be formed in the patterned portion of the hard mask layer 30 and the upper surface of the epitaxial layer 20 may be exposed by the third recess portion 30R1.

예시적인 실시예들에 있어서, 제3 리세스부(30R1)의 폭은 제2 리세스부(40R2)의 폭과 실질적으로 동일하게 형성될 수 있다. In the exemplary embodiments, the width of the third recess portion 30R1 may be formed to be substantially equal to the width of the second recess portion 40R2.

이후, 선택적으로 제3 물질층(46)을 제거할 수 있다. 그러나, 제1 내지 제3 물질층들(42, 44, 46)의 광학적 특성 및 후속 공정에서 형성될 게이트 전극(도 2f의 50)의 형상에 따라 제3 물질층(46)이 제거되지 않을 수도 있다.Thereafter, the third material layer 46 may optionally be removed. However, depending on the optical properties of the first to third material layers 42, 44, 46 and the shape of the gate electrode (50 in Figure 2f) to be formed in a subsequent process, the third material layer 46 may not be removed have.

도 2f를 참조하면, 포토레지스트 구조물(40) 및 하드 마스크층(30)을 마스크로 사용하여 게이트 전극(50)을 형성할 수 있다. 예시적인 실시예들에 있어서, 게이트 전극(50)은 전자빔 증발법에 의해 형성될 수 있다. Referring to FIG. 2F, the gate electrode 50 may be formed using the photoresist structure 40 and the hard mask layer 30 as a mask. In the exemplary embodiments, the gate electrode 50 may be formed by an electron beam evaporation method.

예시적인 실시예들에 있어서, 하드 마스크층(30) 및 제1 및 제2 물질층들(42, 44)의 패터닝에 의해 형성된 상기 제1 내지 제3 리세스부들(40R1, 40R2, 30R1)의 내부를 채우는 게이트 전극(50)이 형성될 수 있다. 게이트 전극(50)은 바디부(52) 및 바디부 상부의 헤드부(54)를 포함할 수 있다. 제2 및 제3 리세스부들(40R2, 30R1) 내부를 채우는 게이트 전극(50) 부위를 바디부(52)로, 제1 리세스부(40R1)의 내부를 채우는 게이트 전극(50) 부위를 헤드부(54)로 지칭할 수 있다. 따라서, 바디부(52)의 폭이 헤드부(54)의 폭보다 좁게 형성될 수 있다. 게이트 전극(50)의 바디부(52)의 바닥면은 에피택시층(20)의 상면과 접촉하도록 형성될 수 있고, 바디부(52)의 측벽은 하드 마스크층(30)에 의해 둘러싸일 수 있다. In the exemplary embodiments, the first to third recesses 40R1, 40R2, 30R1 formed by patterning the hard mask layer 30 and the first and second material layers 42, A gate electrode 50 filling the inside can be formed. The gate electrode 50 may include a body portion 52 and a head portion 54 above the body portion. The portion of the gate electrode 50 that fills the inside of the second and third recess portions 40R2 and 30R1 is referred to as a body portion 52 and the portion of the gate electrode 50 that fills the inside of the first recess portion 40R1 is referred to as a head portion Can be referred to as &quot; portion 54 &quot;. Therefore, the width of the body portion 52 can be made narrower than the width of the head portion 54. [ The bottom surface of the body portion 52 of the gate electrode 50 may be formed to contact the top surface of the epitaxial layer 20 and the side walls of the body portion 52 may be surrounded by the hard mask layer 30. [ have.

이후, 포토레지스트 구조물(40)은 제거될 수 있다. The photoresist structure 40 may then be removed.

전술한 공정들을 수행하여 T-자 형상의 게이트 전극(50)이 형성될 수 있다. 본 실시예에 따른 제조 방법에 따르면, 광학적 특성들이 상이한 제1 내지 제3 물질층들(42, 44, 46)의 적층 구조로 형성된 포토레지스트 구조물(40)을 사용하여 제1 및 제2 노광 공정들(S1, S2)을 순차적으로 수행한다. 이에 따라, 바디부(52)의 패터닝을 위한 제2 노광 공정에서 평탄성(uniformity)가 우수할 수 있고, 헤드부(54)보다 폭이 좁은 바디부(52)를 구비하는 게이트 전극(50)을 패터닝할 수 있으며, 금속 리프트오프(lift-off) 특성이 우수할 수 있다. By performing the above-described processes, a T-shaped gate electrode 50 can be formed. According to the manufacturing method according to the present embodiment, by using the photoresist structure 40 formed in a laminated structure of the first to third material layers 42, 44, 46 having different optical characteristics, (S1, S2) sequentially. The gate electrode 50 having the body portion 52 having a narrower width than the head portion 54 can be formed in the second exposure process for patterning the body portion 52. [ Patterning can be performed, and the metal lift-off characteristic can be excellent.

도 3a 내지 도 3h는 본 발명의 예시적인 실시예들에 따른 III-V족 화합물 반도체 소자 패키지(1000)의 제조 방법을 나타내는 단면도들이다.3A-3H are cross-sectional views illustrating a method of fabricating a III-V compound semiconductor device package 1000 in accordance with exemplary embodiments of the present invention.

도 3a를 참조하면, 액티브 소자 영역(I)과 패시브 영역(II)이 정의된 기판(110)이 제공된다. 기판(110) 상에 제1 버퍼층(112), 제2 버퍼층(114), 채널층(122), 게이트 콘택층(124), 식각 정지막(130) 및 캡핑층(132)을 순차적으로 형성할 수 있다. 상기 층들은 기판(110)의 전체 상면 상에, 즉 액티브 소자 영역(I)과 패시브 영역(II) 모두에 형성될 수도 있다. 이와는 달리, 상기 층들은 액티브 소자 영역(I)의 기판(110) 상면 상에만 형성될 수도 있다.Referring to FIG. 3A, a substrate 110 is defined in which an active element region I and a passive region II are defined. The first buffer layer 112, the second buffer layer 114, the channel layer 122, the gate contact layer 124, the etch stop layer 130 and the capping layer 132 are sequentially formed on the substrate 110 . The layers may be formed on the entire upper surface of the substrate 110, that is, in both the active element region I and the passive region II. Alternatively, the layers may be formed only on the upper surface of the substrate 110 of the active element region I.

예시적인 실시예들에 있어서, 제1 버퍼층(112), 제2 버퍼층(114), 채널층(122), 게이트 콘택층(124), 식각 정지막(130) 및 캡핑층(132)은 에피택시 성장 공정(epitaxial growth process), 또는 유기금속 화학 기상 증착법(metal organic chemical vapor deposition, MOCVD)을 사용하여 형성될 수 있다. 이하에서는, 편의상 상기 층들을 통틀어 에피택시층들(E)이라고 지칭하도록 한다.In the exemplary embodiments, the first buffer layer 112, the second buffer layer 114, the channel layer 122, the gate contact layer 124, the etch stop layer 130, and the capping layer 132 are formed by epitaxy An epitaxial growth process, or metal organic chemical vapor deposition (MOCVD). Hereinafter, for convenience, the layers are collectively referred to as epitaxial layers (E).

도 3b를 참조하면, 액티브 소자 영역(I)의 에지 부분 및 패시브 소자 영역(II)의 기판(110) 상면으로부터 이온 주입 공정을 수행하여 소자 분리 영역(140)을 정의한다. 소자 분리 영역(140)은 액티브 소자 영역(I)의 에지 부분 및 패시브 소자 영역(II)에 형성된 상기 에피택시층들(E) 상면으로부터 수직 방향으로 소정의 깊이까지 정의될 수 있다. 소자 분리 영역(140)의 바닥면은 버퍼층(도 3a의 112)의 바닥면보다 낮은 레벨 상에 위치하도록 형성될 수 있고, 이에 따라, 상기 액티브 영역이 인접한 액티브 영역과 소자 분리 영역(140)을 통해 전기적으로 절연될 수 있다. Referring to FIG. 3B, an element isolation region 140 is defined by performing an ion implantation process from an edge portion of the active element region I and an upper surface of the substrate 110 of the passive element region II. The element isolation region 140 can be defined to an edge portion of the active element region I and a predetermined depth in the vertical direction from the upper surface of the epitaxial layers E formed in the passive element region II. The bottom surface of the element isolation region 140 may be formed to be located on a lower level than the bottom surface of the buffer layer 112 (FIG. 3A), so that the active region is connected to the adjacent active region and the element isolation region 140 It can be electrically insulated.

도 3c를 참조하면, 캡핑층(132)의 일부분 상에 오믹층(134)을 형성하고, 상부에 오믹층(134)이 형성되지 않은 캡핑층(132) 부분에 제1 리세스(R1)를 형성할 수 있다. 예시적인 실시예들에 있어서, 오믹층(134)을 식각 마스크로 사용하여 식각 정지막(130)의 상면이 노출될 때까지 캡핑층(132)에 건식 또는 습식 식각 공정을 수행함으로써 제1 리세스(R1)가 형성될 수 있다. 이러한 경우에, 제1 리세스(R1)는 오믹층(134)과 자기정렬(self-aligning)하는 방식으로 형성될 수 있다.Referring to FIG. 3C, an ohmic layer 134 is formed on a portion of the capping layer 132, and a first recess R 1 is formed in a portion of the capping layer 132 on which the ohmic layer 134 is not formed. . In the exemplary embodiments, a dry or wet etch process is performed on the capping layer 132 until the top surface of the etch stop layer 130 is exposed using the ohmic layer 134 as an etch mask, (R1) may be formed. In this case, the first recess Rl may be formed in a manner that self-aligns with the ohmic layer 134.

예시적인 실시예들에 따르면, 식각 정지막(130)은 캡핑층(132) 및/또는 게이트 콘택층(124)과 식각 선택비를 갖는 물질을 포함할 수 있고, 이에 따라 캡핑층(132)이 식각되는 공정에서 식각 정지막(130)이 식각 정지막(130) 하부의 에피택시층들(E)이 손상되는 것을 방지할 수 있다. The etch stop layer 130 may comprise a material having an etch selectivity with the capping layer 132 and / or the gate contact layer 124, such that the capping layer 132 It is possible to prevent the etching stopper film 130 from damaging the epitaxial layers E under the etching stopper film 130 in the etching process.

도 3d를 참조하면, 제1 리세스(R1)에 의해 노출된 식각 정지막(130)의 일부분에 제2 리세스(R2)를 형성할 수 있다. 제2 리세스(R2)의 폭은 제1 리세스(R1)의 폭보다 작게 형성될 수 있다. 예시적인 실시예들에 있어서, 제2 리세스(R2)의 형성 공정에서, 게이트 콘택층(124)과 식각 정지막(130)의 식각 선택비 차이를 이용하여 식각 정지막(130)만을 선택적으로 제거할 수 있다. 이러한 경우에, 하부의 에피택시층들(E)에 발생할 수 있는 기계적/화학적 데미지를 감소시킬 수 있다.Referring to FIG. 3D, a second recess R2 may be formed in a portion of the etch stop layer 130 exposed by the first recess R1. The width of the second recess R2 may be smaller than the width of the first recess R1. In the exemplary embodiments, only the etch stop layer 130 is selectively etched using the etching selectivity difference between the gate contact layer 124 and the etch stop layer 130 in the process of forming the second recess R 2 Can be removed. In this case, it is possible to reduce mechanical / chemical damage that may occur in the underlying epitaxial layers (E).

이후, 기판(110) 상에 노출된 게이트 콘택층(124) 상부를 커버하는 제1 패시베이션층(162)을 형성할 수 있다. 제1 패시베이션층(162)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물 등을 사용하여 화학 기상 증착법(CVD), 플라즈마 증대 화학 기상 증착법(plasma enhanced CVD, PE-CVD) 등에 의해 형성될 수 있다. 바람직하게는, 제1 패시베이션층(162)은 실리콘 질화물을 사용하여 약 수백 나노미터의 두께까지 형성될 수 있다. A first passivation layer 162 may then be formed to cover over the gate contact layer 124 exposed on the substrate 110. The first passivation layer 162 may be formed by chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PE-CVD), or the like using silicon oxide, silicon oxynitride, silicon nitride or the like. Preferably, the first passivation layer 162 may be formed to a thickness of about several hundred nanometers using silicon nitride.

이후, 오믹층(134) 상부를 덮는 제1 패시베이션층(162) 부분들을 제거하기 위한 식각 공정이 더 수행되어, 오믹층(134) 상면 일부분이 노출될 수 있다. 상기 식각 공정에서, 패시브 소자 영역(II)의 소자 분리 영역(140) 상면 일부분도 노출되며, 제1 및 제3 절연층들(210, 310)이 소자 분리 영역(140) 상에 잔류할 수 있다.Thereafter, an etching process for removing portions of the first passivation layer 162 covering the upper portion of the ohmic layer 134 may be further performed, so that a portion of the upper surface of the ohmic layer 134 may be exposed. A part of the upper surface of the element isolation region 140 of the passive element region II is also exposed and the first and third insulating layers 210 and 310 may remain on the element isolation region 140 .

도 3e를 참조하면, 제2 리세스(도 3d의 R2)에 의해 노출된 게이트 콘택층(124) 상에 게이트 전극(150)을 형성할 수 있다. 예시적인 실시예들에 있어서, 게이트 전극(150)은 도 2a 내지 도 2f를 참조로 설명한 방법을 수행하여 형성될 수 있다. 특히, 제1 패시베이션층(162)이 도 2a 내지 도 2f에서 설명된 하드 마스크층(도 2f의 30)으로 작용할 수도 있으며, 이와는 달리 제1 패시베이션층(162) 상부에 별도의 하드 마스크층(30)을 더 형성할 수도 있다. 이러한 경우에 게이트 전극(150)은 바디부(도 1의 152) 및 헤드부(도 1의 154)를 구비하는 T-형상으로 형성될 수 있다. 그러나, 게이트 전극(150)의 형성 공정이 상기 방법에 한정되는 것은 아니다.Referring to FIG. 3E, the gate electrode 150 may be formed on the gate contact layer 124 exposed by the second recess (R2 in FIG. 3D). In the exemplary embodiments, the gate electrode 150 may be formed by performing the method described with reference to Figs. 2A-2F. In particular, a first passivation layer 162 may serve as the hardmask layer (30 of FIG. 2F) described in FIGS. 2A-2F, ) May be further formed. In this case, the gate electrode 150 may be formed in a T-shape having a body portion (152 in FIG. 1) and a head portion (154 in FIG. 1). However, the step of forming the gate electrode 150 is not limited to the above method.

이후, 패시브 소자 영역(II)의 제1 절연층(210) 상에 레지스터(200)가 형성될 수 있다. 예시적인 실시예들에 있어서, 레지스터(200)는 니켈 및 크롬의 혼합물을 사용하여 전자빔 증발법에 의해 형성될 수 있다. 그러나, 레지스터(200)의 물질 및 형성 방법은 이에 한정되는 것은 아니다. 또한, 레지스터(200) 이외에도 인덕터(도시되지 않음)와 같은 패시브 소자가 패시브 소자 영역(II)의 제1 절연층(210) 상에 더 형성될 수도 있다.Thereafter, the resistor 200 may be formed on the first insulating layer 210 of the passive device region II. In the exemplary embodiments, the resistor 200 may be formed by electron beam evaporation using a mixture of nickel and chromium. However, the material and forming method of the resistor 200 are not limited thereto. In addition to the resistor 200, a passive element such as an inductor (not shown) may be further formed on the first insulating layer 210 of the passive element region II.

도 3f를 참조하면, 제1 패시베이션층(162) 및 제1 및 제3 절연층들(210, 310) 상에 도전층(도시되지 않음)을 형성한 후, 상기 도전층을 패터닝하여, 소스 패드(176) 및 드레인 패드(178)를 형성할 수 있다. 특히, 패시브 소자 영역(II) 상에 형성된 상기 도전층 부분 또한 동일한 공정에서 패터닝될 수 있다. 이때, 레지스터(200)의 상면을 노출하며 소스 패드(176)와 연결되는 도전 패턴(220)이 형성될 수 있다. 또한, 패시브 소자 영역(II) 상에 형성된 상기 도전층 부분이 패터닝되어 후속 공정에서 형성될 커패시터(도 3h의 300)의 하부 전극(320)이 형성될 수 있다. Referring to FIG. 3F, after a conductive layer (not shown) is formed on the first passivation layer 162 and the first and third insulating layers 210 and 310, the conductive layer is patterned, The drain pad 176 and the drain pad 178 can be formed. In particular, the portion of the conductive layer formed on the passive element region II can also be patterned in the same process. At this time, the conductive pattern 220 may be formed to expose the upper surface of the resistor 200 and connect to the source pad 176. In addition, the portion of the conductive layer formed on the passive element region II may be patterned to form the lower electrode 320 of the capacitor (300 of FIG. 3H) to be formed in the subsequent process.

레지스터(200)에 연결되는 도전 패턴(220) 및 커패시터(300)의 하부 전극(320)은 소스 패드(176) 및 드레인 패드(178)와 동일한 공정에서 형성되어, 동일한 물질을 포함하도록 형성될 수 있다. 그러나, 본 발명의 실시예가 이에 한정되는 것은 아니며, 소스 패드(176) 및 드레인 패드(178)의 형성 공정 이후에 도전 패턴(220) 및 하부 전극(320)이 별도의 공정에서 수행되어 소스 패드(176) 및 드레인 패드(178)이 도전 패턴(220) 및 하부 전극(320)과 서로 상이한 물질을 포함하도록 형성될 수도 있다.The conductive pattern 220 connected to the resistor 200 and the lower electrode 320 of the capacitor 300 may be formed in the same process as the source pad 176 and the drain pad 178, have. However, the embodiment of the present invention is not limited thereto. After the step of forming the source pad 176 and the drain pad 178, the conductive pattern 220 and the lower electrode 320 are performed in separate processes, 176 and the drain pad 178 may be formed to include materials different from the conductive pattern 220 and the lower electrode 320.

이후, 액티브 소자 영역(I) 및 패시브 소자 영역(II) 모두를 커버하는 절연층(도시되지 않음)이 형성되고, 상기 절연층이 패터닝될 수 있다. 이에 따라, 액티브 소자 영역(I)에서 게이트 전극(150) 상부를 커버하는 제2 패시베이션층(164)이 형성되고, 패시브 소자 영역(II)의 레지스터(200) 상부에서 제2 절연층(215)이 형성되며, 패시브 소자 영역(II)의 하부 전극(320) 상부에서 커패시터 유전막(315)이 형성될 수 있다. Thereafter, an insulating layer (not shown) covering both the active element region I and the passive element region II is formed, and the insulating layer can be patterned. A second passivation layer 164 covering the upper portion of the gate electrode 150 is formed in the active element region I and a second insulating layer 215 is formed on the resistor 200 in the passive element region II. And a capacitor dielectric layer 315 may be formed on the lower electrode 320 of the passive device region II.

예시적인 실시예들에 있어서, 제2 패시베이션층(164)은 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물의 절연 물질을 사용하여 형성될 수 있다. 액티브 소자 영역(I)에 형성된 제1 패시베이션층(162) 및 제2 패시베이션층(164)은 패시베이션 구조물(160)으로 지칭될 수 있다. 패시베이션 구조물(160)은 게이트 전극(150)의 바디부(152) 및 헤드부(154)를 완전히 커버하도록 형성될 수 있다.In the exemplary embodiments, the second passivation layer 164 may be formed using an insulating material of silicon oxide, silicon nitride, or silicon oxynitride. The first passivation layer 162 and the second passivation layer 164 formed in the active element region I may be referred to as a passivation structure 160. The passivation structure 160 may be formed to completely cover the body portion 152 and the head portion 154 of the gate electrode 150.

도 3g를 참조하면, 소스 패드(176) 및 드레인 패드(178) 상에 각각 소스 전극(172) 및 드레인 전극(174)이 형성될 수 있다. 또한, 커패시터 유전막(315) 상에 상부 전극(305)이 형성될 수 있다. 예시적인 실시예들에 있어서, 소스 전극(172), 드레인 전극(174) 및 상부 전극(305)의 형성 공정은 동일한 공정에서 수행될 수 있다. 예를 들어, 기판(110) 상에 소스 패드(176), 드레인 패드(178) 및 커패시터 유전막(315)을 노출시키는 포토레지스트 패턴(도시되지 않음)을 형성한 후, 상기 포토레지스트 패턴 상부에 시드층(190)을 형성할 수 있다. 이후, 전해 도금 또는 무전해 도금 공정을 수행하여 소스 패드(176), 드레인 패드(178) 및 커패시터 유전막(315) 상부의 시드층(190) 부분들 상에 도전층(도시되지 않음)을 형성함으로써, 소스 전극(172), 드레인 전극(174) 및 상부 전극(305)을 형성할 수 있다. 이러한 경우에, 소스 전극(172), 드레인 전극(174) 및 상부 전극(305)은 동일한 물질을 포함하도록 형성될 수 있다.Referring to FIG. 3G, a source electrode 172 and a drain electrode 174 may be formed on the source pad 176 and the drain pad 178, respectively. In addition, the upper electrode 305 may be formed on the capacitor dielectric film 315. In the exemplary embodiments, the formation process of the source electrode 172, the drain electrode 174, and the upper electrode 305 may be performed in the same process. For example, after forming a photoresist pattern (not shown) exposing the source pad 176, the drain pad 178 and the capacitor dielectric layer 315 on the substrate 110, Layer 190 may be formed. Thereafter, an electroplating or electroless plating process is performed to form a conductive layer (not shown) on portions of the seed layer 190 above the source pad 176, the drain pad 178 and the capacitor dielectric layer 315 The source electrode 172, the drain electrode 174, and the upper electrode 305 can be formed. In this case, the source electrode 172, the drain electrode 174, and the upper electrode 305 may be formed to include the same material.

이후, 소스 전극(172), 드레인 전극(174) 및 상부 전극(305)을 커버하는 인캡슐레이션층(192)을 형성할 수 있다. 바람직하게는, 인캡슐레이션층(192)은 실리콘 질화물 등의 절연 물질로 형성될 수 있다.Thereafter, an encapsulation layer 192 covering the source electrode 172, the drain electrode 174, and the upper electrode 305 may be formed. Preferably, the encapsulation layer 192 may be formed of an insulating material such as silicon nitride.

인캡슐레이션층(192) 상부에 접착 부재(194)를 사용하여 캐리어 기판(196)을 부착할 수 있다. 이후 기판(110)의 배면(F2)을 소정의 두께까지 연마하는 공정이 더 수행될 수 있다.The carrier substrate 196 may be attached using an adhesive member 194 over the encapsulation layer 192. Thereafter, a step of polishing the back surface F2 of the substrate 110 to a predetermined thickness may be further performed.

도 3h를 참조하면, 기판(110)의 배면(F2)이 상부에 위치하고 캐리어 기판(196)이 하부에 위치하도록 기판(110)을 뒤집어 배치하고, 기판(110)의 배면(F2)을 소정 두께만큼 식각하여 제1 비아홀(181) 및 제2 비아홀(331)을 형성할 수 있다.3H, the substrate 110 is placed upside down so that the rear face F2 of the substrate 110 is positioned at the top and the carrier substrate 196 is positioned at the bottom, and the rear face F2 of the substrate 110 is placed at a predetermined thickness The first via hole 181 and the second via hole 331 can be formed.

제1 비아홀(181)은 소스 전극(172)과 오버랩되는 위치에 형성되며, 제2 비아홀(331)은 하부 전극(320)과 오버랩되는 위치에 형성될 수 있다. 특히, 제1 비아홀(181)은 소스 전극(172) 하부의 오믹층(134) 일부분을 노출하고, 제2 비아홀(331)은 하부 전극(320)의 일부분을 노출할 수 있다. The first via hole 181 may be formed at a position overlapping the source electrode 172 and the second via hole 331 may be formed at a position overlapping the lower electrode 320. In particular, the first via hole 181 exposes a portion of the ohmic layer 134 under the source electrode 172, and the second via hole 331 exposes a portion of the lower electrode 320.

이후, 기판(110)의 배면(F2) 상에 시드층(186)을 형성한 후, 전해 도금 또는 무전해 도금 공정을 수행하여 시드층(186) 상에 소스 그라운드층(184)을 형성할 수 있다. 상기 소스 그라운드층(184)의 형성 공정에서, 제1 및 제2 비아홀들(181, 331)의 내벽들 상에 소스 그라운드 비아(182) 및 방열 비아(332)가 더 형성될 수 있다.Thereafter, a seed layer 186 is formed on the rear surface F2 of the substrate 110, and then an electrolytic plating or electroless plating process is performed to form a source ground layer 184 on the seed layer 186 have. Source ground vias 182 and heat dissipation vias 332 may be further formed on the inner walls of the first and second via holes 181 and 331 in the process of forming the source ground layer 184. [

소스 그라운드 비아(182), 방열 비아(332) 및 소스 그라운드층(184)이 동일한 공정에서 수행됨에 따라 소스 그라운드 비아(182), 방열 비아(332) 및 소스 그라운드층(184)은 모두 동일한 물질을 포함하도록 형성될 수 있다. 한편, 도 3h에는 제1 및 제2 비아홀들(181, 331)의 내벽들이 완전히 채워지지 않은 것으로 도시되었지만, 소스 그라운드 비아(182) 및 방열 비아(332)가 제1 및 제2 비아홀들(181, 331)의 내벽들을 완전히 채우도록 형성될 수도 있다.The source ground vias 182, the heat dissipation vias 332 and the source ground layer 184 are formed in the same process so that the source ground vias 182, the heat dissipation vias 332 and the source ground layer 184 all have the same material As shown in FIG. Although the inner walls of the first and second via holes 181 and 331 are not completely filled in FIG. 3H, the source ground vias 182 and the heat dissipation vias 332 are formed in the first and second via holes 181 And 331, respectively.

이후, 소스 그라운드층(184)을 패터닝하고, 기판(110)을 다이싱하여 도 1에 도시된 반도체 소자 패키지(1000)가 완성될 수 있다.Thereafter, the source ground layer 184 is patterned and the substrate 110 is diced to complete the semiconductor device package 1000 shown in FIG.

본 발명에 따른 반도체 소자 패키지(1000)의 제조 방법에 따르면, 기판 상에 액티브 소자 및 패시브 소자를 동일한 공정에서 형성하므로 컴팩트한 패키지(1000)를 구현할 수 있다. 또한, 이중 노광 방식을 사용하여 T-자 형상의 게이트 전극(150)을 형성함에 따라 반도체 소자(100)의 기생 저항을 감소시키고 항복 전압을 증가시킬 수 있다.According to the manufacturing method of the semiconductor device package 1000 according to the present invention, since the active device and the passive device are formed in the same process on the substrate, a compact package 1000 can be realized. In addition, by forming the T-shaped gate electrode 150 using the dual exposure method, the parasitic resistance of the semiconductor device 100 can be reduced and the breakdown voltage can be increased.

도 4a 내지 도 6은 본 발명에 따른 반도체 소자 패키지의 전기적 특성을 나타내는 그래프들이다.4A to 6 are graphs showing electrical characteristics of a semiconductor device package according to the present invention.

도 4a 내지 도 4c에는 본 발명의 실시예에 따른 반도체 소자 패키지의 전압-전류 특성, 트랜스컨덕턴스 및 항복전압이 각각 도시된다. 실시예는 이중 리세스를 구비하는 경우에 해당하며, 비교예로서 리세스가 형성되지 않은 상태의 반도체 소자 패키지를 함께 비교하였다. 4A to 4C show the voltage-current characteristics, the transconductance and the breakdown voltage of the semiconductor device package according to the embodiment of the present invention, respectively. The embodiment corresponds to the case of providing a double recess, and as a comparative example, semiconductor device packages in a state in which recesses are not formed are also compared.

DC 특성은 Keithley 사의 4200-SCS/F를 사용하여 측정되었다. Vdc=0-15 V이며, Vgs =1 내지 -5V인 상태에서 DC 특성이 측정되었다. 게이트 바이어스는 높은 순방향 게이트 전류에 의해 +1V 이하로 유지하였다. 이때, 실시예는 포화 특성이 더 우수하며, 핀치 오프(pitch off) 특성이 더욱 우수함을 알 수 있다. 실시예와 비교예가 각각 480 mA/mm 및 480 mA/mm의 최대 포화 전류를 보이는데, 이는 비교예에 비하여 실시예의 최대 포화 전류가 약 15% 더 증가한 것에 해당한다. 실시예의 외적 컨덕턴스의 피크값(Peak extrinsic conductance)는 280mS/mm으로, 비교예의 260 mS/mm에 비하여 우수함을 알 수 있다. 항복전압은 -5V의 핀치오프 게이트 바이어스에서 측정되었으며, 실시예와 비교예에서 각각 45V 및 36V가 측정되어 실시예가 비교예보다 25% 우수함이 확인된다.The DC characteristics were measured using Keithley's 4200-SCS / F. DC characteristics were measured in the state that Vdc = 0-15 V and Vgs = 1 to -5 V. The gate bias was kept below + 1V by the high forward gate current. At this time, it can be seen that the embodiment is more excellent in saturation characteristics and more excellent in the pitch off characteristic. The examples and comparative examples show a maximum saturation current of 480 mA / mm and 480 mA / mm, respectively, which corresponds to a maximum saturation current of the embodiment increased by about 15% compared to the comparative example. Peak extrinsic conductance of the external conductance of the embodiment is 280 mS / mm, which is superior to 260 mS / mm of the comparative example. The breakdown voltage was measured at a pinch off gate bias of -5 V, and 45 V and 36 V were measured in the examples and comparative examples, respectively, confirming that the example is 25% better than the comparative example.

도 5a 및 도 5b에는 본 발명의 실시예에 따른 반도체 소자 패키지의 전압-전류 특성 및 트랜스컨덕턴스가 각각 도시된다. 실시예는 이중 노광 방법을 사용하여 T-형 게이트를 형성한 경우에 해당하며, 비교예로서 단일 노광 방법을 사용하여 T-형 게이트를 형성한 경우의 반도체 소자 패키지를 함께 비교하였다. 5A and 5B show voltage-current characteristics and transconductance of the semiconductor device package according to the embodiment of the present invention, respectively. The embodiments correspond to the case where the T-type gate is formed using the double exposure method, and the semiconductor device packages in the case where the T-type gate is formed by using the single exposure method as a comparative example are also compared.

도 5a 및 도 5b를 참조하면, 실시예와 비교예는 680mA/mm, 620mA/mm의 최대 포화전류밀도를 보였으며, 실시예가 비교예보다 약 13% 우수한 결과를 보인다. 또한, 실시예와 비교예는 각각 500mS/mm 및 390mS/mm의 트랜스컨덕턴스를 보인다. 따라서, 실시예는 비교예보다 더욱 우수한 포화 특성 및 핀치 오프 특성을 보임을 확인할 수 있다.Referring to FIGS. 5A and 5B, the maximum saturation current density of 680 mA / mm and 620 mA / mm is shown in the embodiment and the comparative example, and the embodiment shows about 13% superior to the comparative example. In addition, the examples and comparative examples show transconductances of 500 mS / mm and 390 mS / mm, respectively. Therefore, it can be confirmed that the embodiment shows better saturation characteristics and pinch off characteristics than the comparative example.

도 6에는 실시예로서 이중 노광 방법을 사용하여 T-형 게이트를 형성한 경우의 패키지의 출력 파워(output power, Pout) 및 이득(gain, GP)이, 단일 노광 방법을 사용한 비교예와 함께 도시된다. 특히 재현성을 확인하기 위하여 실시예들에 따른 패키지 8 개의 측정 데이터를 도시하였고, 비교예로서 2 개의 패키지들의 측정 데이터를 도시하였다. FIG. 6 shows the relationship between the output power P out and the gain G P of the package when the T-type gate is formed using the dual exposure method as a comparison example using a single exposure method Together. Particularly, in order to confirm the reproducibility, eight measurement data of the packages according to the embodiments are shown, and as a comparative example, the measurement data of the two packages are shown.

도 6을 참조하면, 실시예에 따른 패키지의 출력 파워 및 이득의 에러 바가 모두 3.2% 이내로 감소되었음을 확인할 수 있다. 따라서, 본 발명에 따른 패키지는 재현성 있는 제조 공정을 통해 제조될 수 있음이 확인될 수 있다.Referring to FIG. 6, it can be seen that the error bars of the output power and gain of the package according to the embodiment are all reduced to within 3.2%. Therefore, it can be confirmed that the package according to the present invention can be manufactured through a reproducible manufacturing process.

이상에서 설명한 본 발명의 기술적 사상이 전술한 실시예 및 첨부된 도면에 한정되지 않으며, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것은, 본 발명의 기술적 사상이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit or scope of the invention as defined in the appended claims. Will be apparent to those of ordinary skill in the art.

100: 반도체 소자 110: 기판
112, 114: 버퍼층 122: 채널층
124: 게이트 콘택층 130: 식각 정지막
132: 캡핑층 134: 오믹층
140: 소자 분리 영역 150: 게이트 전극
152: 바디부 154: 헤드부
160: 패시베이션 구조물 162: 제1 패시베이션층
164: 제2 패시베이션층 172: 소스 전극
174: 드레인 전극 176: 소스 패드
178: 드레인 패드 180: 소스 그라운드 전극 구조물
181, 331: 비아홀 182: 소스 그라운드 비아
184: 소스 그라운드층 186, 190: 시드층
192: 인캡슐레이션층 194: 접착 부재
196: 캐리어 기판 200: 레지스터
210, 215, 310: 절연층 220: 도전 패턴
300: 커패시터 305: 상부 전극
315: 커패시터 유전막 320: 하부 전극
332: 방열 비아 1000: 반도체 소자 패키지
100: semiconductor device 110: substrate
112, 114: buffer layer 122: channel layer
124: gate contact layer 130: etch stop film
132: capping layer 134: ohmic layer
140: Element isolation region 150: Gate electrode
152: body part 154: head part
160: passivation structure 162: first passivation layer
164: second passivation layer 172: source electrode
174: drain electrode 176: source pad
178: drain pad 180: source ground electrode structure
181, 331: via hole 182: source ground via
184: source ground layer 186, 190: seed layer
192: Encapsulation layer 194: Adhesive member
196: Carrier substrate 200:
210, 215, 310: insulating layer 220: conductive pattern
300: capacitor 305: upper electrode
315: capacitor dielectric film 320: lower electrode
332: heat dissipation via 1000: semiconductor device package

Claims (11)

액티브 소자 영역과 패시브 소자 영역이 정의된 기판;
상기 기판의 상기 액티브 소자 영역 상에 형성되는 반도체 소자; 및
상기 기판의 상기 패시브 소자 영역 상에 형성되는 커패시터를 포함하고,
상기 반도체 소자는,
바디부 및 상기 바디부 상부의 헤드부를 포함하는 게이트 전극,
상기 게이트 전극을 사이에 두고 서로 이격되어 위치하는 소스 전극 및 드레인 전극, 및
상기 게이트 전극을 둘러싸는 패시베이션 구조물을 구비하며,
상기 커패시터는,
하부 전극,
상기 하부 전극 상의 커패시터 유전막, 및
상기 커패시터 유전막 상의 상부 전극을 구비하며,
상기 패시베이션 구조물과 상기 커패시터 유전막은 동일한 물질을 포함하고,
상기 패시베이션 구조물은,
상기 게이트 전극의 상기 바디부의 측벽을 둘러싸는 제1 패시베이션층; 및
상기 제1 패시베이션층 상에 형성되며, 상기 게이트 전극의 상기 헤드부의 측벽 및 상면을 둘러싸는 제2 패시베이션층을 포함하는 것을 특징으로 하는 반도체 소자 패키지.
A substrate on which an active element region and a passive element region are defined;
A semiconductor element formed on the active element region of the substrate; And
And a capacitor formed on the passive element region of the substrate,
The semiconductor device may further include:
A gate electrode including a body portion and a head portion on the body portion,
A source electrode and a drain electrode spaced apart from each other with the gate electrode interposed therebetween,
And a passivation structure surrounding the gate electrode,
The capacitor
Lower electrode,
A capacitor dielectric film on the lower electrode, and
And an upper electrode on the capacitor dielectric film,
Wherein the passivation structure and the capacitor dielectric layer comprise the same material,
The passivation structure may include:
A first passivation layer surrounding the sidewalls of the body portion of the gate electrode; And
And a second passivation layer formed on the first passivation layer and surrounding the side walls and the upper surface of the head portion of the gate electrode.
제1항에 있어서,
상기 게이트 전극은 T자 형상을 갖는 것을 특징으로 하는 반도체 소자 패키지.
The method according to claim 1,
Wherein the gate electrode has a T shape.
제1항에 있어서,
상기 헤드부의 폭은 상기 바디부의 폭보다 큰 것을 특징으로 하는 반도체 소자 패키지.
The method according to claim 1,
Wherein a width of the head portion is larger than a width of the body portion.
삭제delete 제1항에 있어서,
상기 커패시터 유전막은 상기 제2 패시베이션층과 동일한 물질을 포함하는 것을 특징으로 하는 반도체 소자 패키지.
The method according to claim 1,
Wherein the capacitor dielectric layer comprises the same material as the second passivation layer.
제1항에 있어서,
상기 기판의 상기 패시브 소자 영역 상에 형성된 레지스터를 더 포함하는 반도체 소자 패키지.
The method according to claim 1,
And a resistor formed on the passive element region of the substrate.
제1항에 있어서,
상기 기판의 전면(front side) 상에 상기 소스 전극이 형성되고,
상기 소스 전극과 오버랩되도록, 상기 기판의 배면(rear face)으로부터 상기 기판을 관통하는 제1 비아홀이 형성되는 것을 특징으로 하며,
상기 제1 비아홀 내벽 상에 상기 소스 전극과 전기적으로 연결되는 소스 그라운드 비아를 더 포함하는 반도체 소자 패키지.
The method according to claim 1,
The source electrode is formed on a front side of the substrate,
Wherein a first via hole is formed through the substrate from a rear face of the substrate so as to overlap with the source electrode,
And a source ground via electrically connected to the source electrode on the inner wall of the first via hole.
제7항에 있어서,
상기 커패시터와 오버랩되도록 상기 기판의 상기 배면으로부터 상기 기판을 관통하는 제2 비아홀이 형성되는 것을 특징으로 하며,
상기 제2 비아홀 내벽 상에 상기 커패시터의 상기 하부 전극과 전기적으로 연결되는 방열 비아를 더 포함하는 반도체 소자 패키지.
8. The method of claim 7,
And a second via hole penetrating the substrate from the back surface of the substrate so as to overlap with the capacitor,
And a heat dissipation via electrically connected to the lower electrode of the capacitor on the inner wall of the second via hole.
제8항에 있어서,
상기 방열 비아와 상기 소스 그라운드 비아는 동일한 물질을 포함하는 것을 특징으로 하는 반도체 소자 패키지.
9. The method of claim 8,
Wherein the heat radiation vias and the source ground vias comprise the same material.
제7항에 있어서,
상기 기판의 배면 상에 형성되며, 상기 소스 그라운드 비아와 연결되는 소스 그라운드층을 더 포함하는 반도체 소자 패키지.
8. The method of claim 7,
And a source ground layer formed on a back surface of the substrate and connected to the source ground via.
기판 상에 액티브 소자 영역과 패시브 소자 영역을 정의하는 단계;
상기 기판의 상기 액티브 소자 영역 상에 제1 패시베이션층을 형성하는 단계;
상기 제1 패시베이션층을 마스크로 사용한 이중 노광 방법에 의해 상기 기판의 상기 액티브 소자 영역 상에 게이트 전극을 형성하는 단계;
상기 액티브 소자 영역 상에 상기 게이트 전극을 커버하는 제2 패시베이션층을 형성하고, 상기 패시브 소자 영역 상에 커패시터 유전막을 형성하는 단계; 및
상기 제2 패시베이션층 상에, 상기 게이트 전극을 사이에 두고 서로 이격된 소스 전극 및 드레인 전극을 형성하고, 상기 커패시터 유전막 상에 상부 전극을 형성하는 단계;를 포함하며,
상기 게이트 전극은 T자 형상을 가지고,
상기 게이트 전극은 바디부 및 상기 바디부 상부의 헤드부를 포함하며,
상기 제1 패시베이션층이 상기 바디부의 측벽을 둘러싸는 것을 특징으로 하는 반도체 소자 패키지의 제조 방법.
Defining an active element region and a passive element region on a substrate;
Forming a first passivation layer on the active element region of the substrate;
Forming a gate electrode on the active element region of the substrate by a double exposure method using the first passivation layer as a mask;
Forming a second passivation layer covering the gate electrode on the active element region and forming a capacitor dielectric film on the passive element region; And
Forming a source electrode and a drain electrode spaced apart from each other with the gate electrode therebetween on the second passivation layer and forming an upper electrode on the capacitor dielectric film,
The gate electrode has a T-shape,
Wherein the gate electrode includes a body portion and a head portion on the body portion,
Wherein the first passivation layer surrounds the sidewalls of the body portion.
KR1020140086884A 2014-07-10 2014-07-10 III-V semiconductor compound device package and method of manufacturing the same KR101598200B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020140086884A KR101598200B1 (en) 2014-07-10 2014-07-10 III-V semiconductor compound device package and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140086884A KR101598200B1 (en) 2014-07-10 2014-07-10 III-V semiconductor compound device package and method of manufacturing the same

Publications (2)

Publication Number Publication Date
KR20160007013A KR20160007013A (en) 2016-01-20
KR101598200B1 true KR101598200B1 (en) 2016-02-26

Family

ID=55307755

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140086884A KR101598200B1 (en) 2014-07-10 2014-07-10 III-V semiconductor compound device package and method of manufacturing the same

Country Status (1)

Country Link
KR (1) KR101598200B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101850923B1 (en) * 2016-06-22 2018-04-20 (주)웨이비스 High frequency power transistor package and thereof method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005101364A (en) * 2003-09-25 2005-04-14 Kyocera Corp High-frequency module
JP2008205000A (en) * 2007-02-16 2008-09-04 Fujitsu Ltd Method for manufacturing compound semiconductor device
JP2009081177A (en) * 2007-09-25 2009-04-16 Nec Electronics Corp Field-effect transistor, semiconductor chip, and semiconductor device

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154699A (en) * 1997-07-31 1999-02-26 Toshiba Corp High-frequency integrated circuit device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005101364A (en) * 2003-09-25 2005-04-14 Kyocera Corp High-frequency module
JP2008205000A (en) * 2007-02-16 2008-09-04 Fujitsu Ltd Method for manufacturing compound semiconductor device
JP2009081177A (en) * 2007-09-25 2009-04-16 Nec Electronics Corp Field-effect transistor, semiconductor chip, and semiconductor device

Also Published As

Publication number Publication date
KR20160007013A (en) 2016-01-20

Similar Documents

Publication Publication Date Title
EP2793255B1 (en) Manufacturing method of a semiconductor device comprising a schottky diode and a high electron mobility transistor
TWI430341B (en) Fabrication of single or multiple gate field plates
US6870203B2 (en) Field-effect semiconductor device and method for making the same
US7829957B2 (en) Semiconductor device and manufacturing method thereof
US20150084104A1 (en) Method of manufacturing a semiconductor device and the semiconductor device
KR20110005775A (en) Semiconductor device and method for manufacturing said device
US11557652B2 (en) Metal source/drain-based MOSFET and method for fabricating the same
US10256332B1 (en) High hole mobility transistor
KR102329663B1 (en) Heterojunction field-effect transistor
JP3610951B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP6343807B2 (en) Field effect transistor and manufacturing method thereof
JP5487590B2 (en) Semiconductor device and manufacturing method thereof
JP2003142501A (en) GaN-BASED FIELD EFFECT TRANSISTOR AND ITS MANUFACTURING METHOD
CN115132838A (en) Semiconductor structure
JP2006093617A (en) Semiconductor resistance element and its manufacturing method
KR101598200B1 (en) III-V semiconductor compound device package and method of manufacturing the same
US20230231021A1 (en) High electron mobility transistor and method for fabricating the same
JP2014060427A (en) Semiconductor device and manufacturing method of the same
KR101402147B1 (en) Gallium nitride-based semiconductor device, method of manufacturing the same, and power module including the same
US11211331B2 (en) Semiconductor structure having a via and methods of manufacturing the same
JP2002009253A (en) Semiconductor device and its manufacturing method
JP6360239B2 (en) Semiconductor device manufacturing method and semiconductor device
TW202046504A (en) Semiconductor device and methods for manufacturing the same
CN112117328A (en) Semiconductor device and method for manufacturing the same
KR101392398B1 (en) Gallium nitride-based semiconductor device, method of manufacturing the same, and power module including the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190218

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200218

Year of fee payment: 5