KR101432832B1 - Edge ring assembly with dielectric spacer ring - Google Patents

Edge ring assembly with dielectric spacer ring Download PDF

Info

Publication number
KR101432832B1
KR101432832B1 KR1020087003093A KR20087003093A KR101432832B1 KR 101432832 B1 KR101432832 B1 KR 101432832B1 KR 1020087003093 A KR1020087003093 A KR 1020087003093A KR 20087003093 A KR20087003093 A KR 20087003093A KR 101432832 B1 KR101432832 B1 KR 101432832B1
Authority
KR
South Korea
Prior art keywords
ring
dielectric spacer
substrate
spacer ring
edge
Prior art date
Application number
KR1020087003093A
Other languages
Korean (ko)
Other versions
KR20080032163A (en
Inventor
제레미 창
안드레아스 피셔
바바크 카드코다얀
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080032163A publication Critical patent/KR20080032163A/en
Application granted granted Critical
Publication of KR101432832B1 publication Critical patent/KR101432832B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/467Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part

Abstract

에지 링 어셈블리는 플라즈마 에칭 챔버에서 기판 지지체 표면을 둘러싼다. 에지 링 어셈블리는 에지 링 및 유전체 스페이서 링을 포함한다. 기판 지지체 표면을 둘러싸며 방사상 방향으로 에지 링에 의해 둘러싸인 유전체 스페이서 링은 베이스플레이트로부터 에지 링을 절연시키도록 구성된다. 기판 지지체 표면 주위에 에지 링 어셈블리를 통합하면, 기판의 에지를 따라 또한 아래쪽에서 폴리머의 빌드업을 감소시킬 수 있고, 기판의 플라즈마 에칭 균일도를 증가시킬 수 있다.

Figure R1020087003093

에지 링, 플라즈마, 에칭 챔버, 기판, 유전체 스페이서 링

The edge ring assembly surrounds the substrate support surface in a plasma etch chamber. The edge ring assembly includes an edge ring and a dielectric spacer ring. A dielectric spacer ring surrounding the substrate support surface and surrounded by the edge ring in a radial direction is configured to insulate the edge ring from the base plate. The integration of the edge ring assembly around the substrate support surface can reduce the build up of polymer along the edge of the substrate and also below it, and can increase the plasma etching uniformity of the substrate.

Figure R1020087003093

Edge ring, plasma, etch chamber, substrate, dielectric spacer ring

Description

유전체 스페이서 링을 갖는 에지 링 어셈블리{EDGE RING ASSEMBLY WITH DIELECTRIC SPACER RING}[0001] EDGE RING ASSEMBLY WITH DIELECTRIC SPACER RING [0002]

배경기술Background technology

다음 설명에서는, 일정 구조 및 방법을 참조하지만, 그러한 참조는 이들 구조 및 방법이 적용 가능한 법 조항에 따라 종래 기술로서 자격을 얻는다는 것에 대한 승인으로서 반드시 해석되어야 하는 것은 아니다. 출원인은 참조된 대상의 어느 것도 종래 기술을 구성하지 않는다는 것을 설명할 권리가 있다.In the following description, reference is made to certain constructions and methods, but such references are not necessarily to be construed as an admission that these constructions and methods are qualified as prior art in accordance with applicable law provisions. Applicants have the right to explain that none of the referenced objects constitute prior art.

반도체 처리 분야에 있어서, 플라즈마 처리 챔버는 기판 상에 형성된 하나 이상의 층을 에칭하는데 널리 사용된다. 에칭 동안에, 기판은 챔버 내의 기판 지지체 표면 상에 지지된다. 기판 지지체는, 기판 지지체 주위에 (즉, 기판 주위에) 위치하여, 플라즈마를 기판 위에 있는 부피에 한정하고/하거나 플라즈마에 의한 부식으로부터 기판 지지체 (이 기판 지지체는 통상 클램핑 메커니즘을 포함함) 를 보호하기 위한 에지 링을 포함할 수 있다. 종종 포커스 링으로 지칭되는 에지 링은 희생 (즉, 소모) 부품일 수 있다. 전도성 및 비전도성 에지 링은 공동 소유인 미국 특허 제 5,805,408 호, 제 5,998,932 호, 제 6,013,984 호 , 제 6,039,836 호 및 제 6,383,931 호에 설명되어 있다.In the field of semiconductor processing, a plasma processing chamber is widely used to etch one or more layers formed on a substrate. During etching, the substrate is supported on a substrate support surface within the chamber. The substrate support is positioned around the substrate support (i.e., around the substrate), to confine the plasma to a volume above the substrate and / or to protect the substrate support (which typically includes the clamping mechanism) from corrosion by the plasma For example. An edge ring, often referred to as a focus ring, may be a sacrificial (i.e., consumable) component. Conductive and non-conductive edge rings are described in co-owned US Patents 5,805,408, 5,998,932, 6,013,984, 6,039,836 and 6,383,931.

리소그래피 기술은 반도체 기판의 표면에 기하학적 패턴을 형성하는데 사용될 수 있다. 리소그래피 공정 동안에, 집적 회로 패턴과 같은 패턴은 마스크 또는 레티클로부터 투영될 수 있고, 기판 표면 상에 형성된 감광성 (예를 들어, 포토레지스트) 코팅물로 전사될 수 있다. 또한, 플라즈마 에칭은 포토레지스트층에 형성된 패턴을 포토레지스트층 아래에 있는 기판 상에 형성된 하나 이상의 층으로 전사하는데 사용될 수 있다.Lithographic techniques can be used to form a geometric pattern on the surface of a semiconductor substrate. During the lithographic process, a pattern, such as an integrated circuit pattern, can be projected from a mask or a reticle and transferred to a photosensitive (e.g., photoresist) coating formed on the substrate surface. Plasma etching can also be used to transfer the pattern formed in the photoresist layer into one or more layers formed on the substrate underlying the photoresist layer.

플라즈마 에칭 동안에, 플라즈마는 많은 양의 에너지를 저압에서 가스 (또는 가스 혼합물) 에 추가함으로써 기판 표면 위에 형성된다. 플라즈마는 높은 운동 에너지를 갖는 이온, 유리기 및 중성종을 포함할 수도 있다. 기판 전위를 조절함으로써, 플라즈마 내의 대전된 종은 기판 표면에 충돌하여 기판 표면으로부터 재료 (예를 들어, 원자) 를 제거하도록 유도될 수 있다.During plasma etching, the plasma is formed on the substrate surface by adding a large amount of energy to the gas (or gas mixture) at low pressure. The plasma may contain ions, free radicals and neutral species with high kinetic energy. By adjusting the substrate potential, charged species in the plasma can be induced to impinge on the substrate surface to remove material (e.g., atoms) from the substrate surface.

플라즈마 에칭은 에칭될 재료와 화학적으로 반응하는 가스를 사용함으로써 더 효과적으로 이루어질 수 있다. 소위 "반응성 이온 에칭 (reactive ion etching)" 은 플라즈마의 강력한 에칭 효과를 반응성 가스의 화학적 에칭 효과와 결합한다. 그러나, 플라즈마 에칭 동안에, 반도체 재료의 하나 이상의 층을 에칭하는 것에 더하여, 플라즈마에 의해 포토레지스트층을 제거할 수도 있다.Plasma etching can be made more effective by using a gas that chemically reacts with the material to be etched. The so-called "reactive ion etching" combines the powerful etching effect of the plasma with the chemical etching effect of the reactive gas. However, during plasma etching, in addition to etching one or more layers of semiconductor material, the photoresist layer may be removed by plasma.

에칭 부산물로서 형성될 수도 있는 포토레지스트 및/또는 폴리머로부터의 잔류물은 기판 아래쪽 또는 측면 에지 (예를 들어, 베벨 에지) 상에 바람직하지 않게 다시 퇴적될 수도 있다. 후속 처리 동안에 휘발될 수도 있는 베벨 폴리머는 공정 수율에 나쁜 영향을 미칠 수도 있다. 수율을 극대화하기 위해, 기판의 베벨 에지 및 아래쪽에서 폴리머 빌드업이 감소하는 것이 바람직할 것이다.Residues from the photoresist and / or polymer, which may be formed as an etch byproduct, may be undesirably deposited again on the bottom or side edge of the substrate (e.g., the bevel edge). Bevel polymers, which may volatilize during subsequent processing, may adversely affect process yield. To maximize yield, it may be desirable for the polymer buildup to decrease at the bevel edge and below the substrate.

요약summary

제 1 실시형태에 따르면, 플라즈마 에칭 챔버에서 기판 지지체 표면을 둘러싸도록 구성된 에지 링 어셈블리는 기판 지지체 표면 상에 위치한 기판의 주변부 아래에 놓이고, 기판의 하부 주변 표면과 에지 링의 상부 표면 간에 간극 (clearance gap) 을 제공하도록 하는 치수를 갖는 에지 링, 및 기판 지지체 표면과 에지 링 사이에서 기판의 하부 표면과 유전체 스페이서 링의 상부 표면 간에 간극을 제공하도록 하는 치수를 갖는 유전체 스페이서 링을 포함한다.According to a first embodiment, an edge ring assembly configured to surround a substrate support surface in a plasma etch chamber is placed below a periphery of a substrate located on a substrate support surface, and a gap between the lower peripheral surface of the substrate and the upper surface of the edge ring and a dielectric spacer ring having a dimension to provide a clearance between the lower surface of the substrate and the upper surface of the dielectric spacer ring between the substrate support surface and the edge ring.

에지 링 어셈블리가 플라즈마 에칭 챔버에 장착될 때, 에지 링과 유전체 스페이서 링 간의 환상 갭 및/또는 유전체 스페이서 링과 기판 지지체 표면 간의 환상 갭은 0.25 mm 보다 적은 것이 바람직하고, 유전체 스페이서 링의 상부 표면과 에지 링의 가장 안쪽 상부 표면은 사실상 동일 평면인 것이 바람직하다.When the edge ring assembly is mounted in the plasma etch chamber, the annular gap between the edge ring and the dielectric spacer ring and / or the annular gap between the dielectric spacer ring and the substrate support surface is preferably less than 0.25 mm and the upper surface of the dielectric spacer ring The innermost upper surface of the edge ring is preferably substantially coplanar.

에지 링 어셈블리는, 기판 지지체 표면의 면과 유전체 스페이서 링의 가장 위쪽 표면의 면 간의 거리가 약 0.25 mm 보다 적고, 기판 지지체 표면의 면과 에지 링의 방사상 안쪽 부분의 상부 표면의 면 간의 거리가 약 0.25 mm 보다 적도록 구성되는 것이 바람직하다. 따라서, 기판이 기판 지지체 표면 상에 위치할 때, 기판의 하부 표면과 유전체 스페이서 링의 상부 표면 간의 갭은 약 0.25 mm 보다 적은 것이 바람직하고, 기판의 하부 표면과 에지 링의 방사상 안쪽 부분의 상부 표면 간의 갭은 약 0.25 mm 보다 적은 것이 바람직하다. 일 실시형태에서, 에지 링의 방사상 바깥쪽 부분은 유전체 스페이서 링보다 두껍다.The edge ring assembly is configured such that the distance between the surface of the substrate support surface and the surface of the uppermost surface of the dielectric spacer ring is less than about 0.25 mm and the distance between the surface of the substrate support surface and the surface of the upper surface of the radially inner portion of the edge ring is about & Preferably less than 0.25 mm. Thus, when the substrate is located on the substrate support surface, the gap between the lower surface of the substrate and the upper surface of the dielectric spacer ring is preferably less than about 0.25 mm, and the lower surface of the substrate and the upper surface of the radially inner portion of the edge ring Is preferably less than about 0.25 mm. In one embodiment, the radially outer portion of the edge ring is thicker than the dielectric spacer ring.

다른 실시형태에 따르면, 플라즈마 에칭 챔버는 플라즈마 에칭 챔버 내의 기판 지지체 표면을 둘러싸도록 구성된 에지 링 어셈블리를 포함한다. 기판 지지체는 하부 전극을 형성하는 베이스플레이트의 상부 표면 상에 정전 척을 포함하는 것이 바람직하다. 에지 링 어셈블리는 베이스플레이트의 주변부 위에 놓이는 커플링용 링 위에 놓일 수 있다. 기판은, 기판의 바깥쪽 에지가 유전체 스페이서 링과 에지 링의 방사상 안쪽 부분 위에 걸치도록 기판 지지체 표면 상에 장착될 수 있다.According to another embodiment, the plasma etch chamber comprises an edge ring assembly configured to surround a substrate support surface in a plasma etch chamber. The substrate support preferably includes an electrostatic chuck on the upper surface of the base plate forming the lower electrode. The edge ring assembly may rest on a coupling ring that rests on the periphery of the base plate. The substrate may be mounted on the substrate support surface such that the outer edge of the substrate extends over the radially inner portion of the dielectric spacer ring and the edge ring.

바람직한 유전체 스페이서 링은 베이스플레이트로부터 에지 링을 전기적으로 절연시키는데 효과적인 폭 (예를 들어, 약 0.5 내지 2.5 mm) 및 유전체 스페이서 링과 기판 간의 갭 내의 폴리머 퇴적을 최소화하는데 효과적인 높이 (예를 들어, 1 내지 3 mm) 를 갖는다. 적어도 하나의 가스 통로는 커플링용 링 또는 베이스플레이트를 통해 연장될 수 있는데, 가스 통로는 에지 링 및/또는 유전체 스페이서 링의 인접 표면에 열 전달 가스를 공급하도록 구성된다.The preferred dielectric spacer ring has a width (e.g., about 0.5 to 2.5 mm) effective to electrically isolate the edge ring from the base plate and a height effective to minimize polymer deposition in the gap between the dielectric spacer ring and the substrate To 3 mm). At least one gas passageway may extend through the coupling ring or base plate, wherein the gas passageway is configured to supply a heat transfer gas to an adjacent surface of the edge ring and / or the dielectric spacer ring.

바람직한 플라즈마 에칭 챔버는 기판 지지체 표면에 면하는 상부 샤워헤드형 전극을 갖는 평행판 리액터를 포함한다. 베이스플레이트는 RF 구동 전극을 포함할 수 있고/있거나 기판 지지체 표면은 베이스플레이트의 상부 표면 상에 정전 척을 포함할 수 있다.A preferred plasma etch chamber comprises a parallel plate reactor having an upper showerhead shaped electrode facing a substrate support surface. The base plate may comprise an RF driving electrode and / or the substrate support surface may comprise an electrostatic chuck on the upper surface of the base plate.

에지 링 어셈블리는 (ⅰ) 에지 링과 베이스플레이트 간의 RF 커플링, (ⅱ) 에지 링과 베이스플레이트 간의 아크 발생, 및 (ⅲ) 기판 지지체 표면 상에 지지되는 기판의 에지 및/또는 아래쪽 상의 폴리머 퇴적 중 적어도 하나를 감소시키도록 구성되는 것이 바람직하다.The edge ring assembly includes (i) RF coupling between the edge ring and the base plate, (ii) arc generation between the edge ring and the base plate, and (iii) polymer deposition on the edge and / It is desirable to reduce at least one of them.

에지 링 어셈블리를 갖는 플라즈마 에칭 챔버에서 반도체 기판 상의 층을 에칭하는 방법은 챔버 안쪽에 위치한 기판 지지체 표면 상에 기판을 지지하는 단계, 챔버에 에칭 가스를 공급하는 단계, 에칭 가스를 기판의 노출된 표면에 인접하여 플라즈마 상태로 활성화하는 단계, 및 반도체 기판 상의 하나 이상의 층을 플라즈마로 에칭하는 단계를 포함한다. 유전체 스페이서 링의 플라즈마 부식 때문에, 소정 개수의 반도체 기판을 에칭한 후에 유전체 스페이서 링은 챔버로부터 제거될 수 있고, 다른 유전체 스페이서 링으로 교체될 수 있다.A method of etching a layer on a semiconductor substrate in a plasma etch chamber having an edge ring assembly includes the steps of supporting the substrate on a substrate support surface located within the chamber, supplying an etch gas to the chamber, Activating into a plasma state adjacent to the semiconductor substrate, and etching the at least one layer on the semiconductor substrate with a plasma. Because of the plasma erosion of the dielectric spacer rings, after etching a given number of semiconductor substrates, the dielectric spacer rings can be removed from the chamber and replaced with other dielectric spacer rings.

또 다른 실시형태에 따르면, 유전체 스페이서 링은 플라즈마 에칭 챔버 내의 기판 지지체 표면 상에 위치한 기판의 하부 표면과 유전체 스페이서 링의 상부 표면 간에 간극을 제공하도록 하는 치수를 갖고, 유전체 스페이서 링은 또한 기판 아래에 놓이고, 기판의 하부 표면과 에지 링의 상부 표면 간에 간극을 제공하도록 하는 치수를 갖는 에지 링에 의해 둘러싸이도록 하는 치수를 갖는다.According to yet another embodiment, the dielectric spacer ring has a dimension to provide a gap between the lower surface of the substrate located on the substrate support surface in the plasma etch chamber and the upper surface of the dielectric spacer ring, and the dielectric spacer ring also underlies the substrate And has a dimension such that it is surrounded by an edge ring having a dimension to provide a gap between the lower surface of the substrate and the upper surface of the edge ring.

유전체 스페이서 링과 에지 링이 플라즈마 에칭 챔버에 장착될 때, 유전체 스페이서 링의 상부 표면과 에지 링의 가장 안쪽 상부 표면은 사실상 동일 평면인 것이 바람직하다.When the dielectric spacer ring and the edge ring are mounted in the plasma etch chamber, the upper surface of the dielectric spacer ring and the innermost upper surface of the edge ring are preferably substantially coplanar.

유전체 스페이서 링은 커플링용 링의 상부 표면에 또는 베이스플레이트의 상부 표면에 본딩될 수 있고, 유전체 스페이서 링과 커플링용 링 중 어느 한쪽 또는 양쪽은 석영으로 이루어질 수 있다. 다른 실시형태에서, 유전체 스페이서 링은 커플링용 링의 방사상 안쪽 표면 상에 형성된 축방향으로 상향 연장되는 부분을 포함할 수 있다.The dielectric spacer ring may be bonded to the upper surface of the ring for coupling or to the upper surface of the base plate, and either or both of the dielectric spacer ring and the coupling ring may be made of quartz. In another embodiment, the dielectric spacer ring may comprise an axially upwardly extending portion formed on the radially inner surface of the coupling ring.

도면의 간단한 설명Brief Description of Drawings

도 1 은 평행판 플라즈마 에칭 리액터의 일 예시이다.1 is an example of a parallel plate plasma etching reactor.

도 2 는 일 실시형태에 따른 커플링용 링 상에 장착된 에지 링 어셈블리를 포함한 평행판 플라즈마 에칭 리액터를 도시한다.Figure 2 illustrates a parallel plate plasma etching reactor including an edge ring assembly mounted on a coupling ring according to one embodiment.

도 3 은 다른 실시형태에 따른 에지 링 어셈블리를 포함한 평행판 플라즈마 에칭 리액터를 도시한다.3 illustrates a parallel plate plasma etching reactor including an edge ring assembly according to another embodiment.

도 4 는 또 다른 실시형태에 따른 베이스플레이트 상에 장착된 에지 링 어셈블리를 포함한 평행판 플라즈마 에칭 리액터를 도시한다.Figure 4 illustrates a parallel plate plasma etch reactor including an edge ring assembly mounted on a base plate according to yet another embodiment.

바람직한 desirable 실시예의Example 상세한 설명 details

공정 가스가 샤워헤드형 전극을 통해 공급되며, 하부 전극 상에 지지된 반도체 기판이 샤워헤드 및/또는 하부 전극에 RF 에너지를 공급함으로써 발생한 플라즈마에 의해 플라즈마 에칭되는, 평행판 플라즈마 에칭 리액터에 있어서, 플라즈마 균일도는 하부 전극과 플라즈마 간의 RF 커플링에 의해 영향을 받을 수 있다.A plasma processing apparatus comprising: a plasma etching apparatus for plasma etching a plasma of a semiconductor substrate supported on a lower electrode by plasma generated by supplying RF energy to a showerhead and / or a lower electrode, The plasma uniformity may be influenced by RF coupling between the lower electrode and the plasma.

플라즈마 균일도를 향상시키기 위해, 에지 링 어셈블리는 플라즈마 에칭 리액터 내의 기판 지지체 표면을 둘러싼다. 에지 링 어셈블리는, 유전체 스페이서 링이 기판 지지체 표면을 둘러싸고 에지 링이 유전체 스페이서 링을 둘러싸도록 배치된 에지 링 및 유전체 스페이서 링을 포함한다. 기판 지지체 표면 주위에 에지 링 어셈블리를 통합하면, 기판의 에지를 따라 또한 아래쪽에서 폴리머의 빌드업을 감소시킬 수 있고/있거나 기판의 플라즈마 에칭 균일도를 증가시킬 수 있다.To improve plasma uniformity, the edge ring assembly surrounds the substrate support surface in the plasma etch reactor. The edge ring assembly includes an edge ring and a dielectric spacer ring in which the dielectric spacer ring surrounds the substrate support surface and the edge ring is arranged to surround the dielectric spacer ring. Incorporating the edge ring assembly around the substrate support surface can reduce the build up of polymer along the edge of the substrate and also below and / or increase the plasma etch uniformity of the substrate.

바람직한 실시형태에 따르면, 유전체 스페이서 링은 기판 지지체 표면을 둘러싸는 부재의 일 표면 상에 있는 분리된 부분이다. 그 부재는 유전체 스페이서 링과 에지 링 아래에 놓인 커플링용 링, 또는 베이스플레이트의 일부일 수 있다. 다른 실시형태에 따르면, 유전체 스페이서 링은 예를 들어, 열 전도성 엘라스토머 본드를 통해 그 부재와 본딩될 수 있다. 또 다른 바람직한 실시형태에 따르면, 유전체 스페이서 링과 커플링용 링은 단위 부품 (unitary part) 을 포함할 수 있다.According to a preferred embodiment, the dielectric spacer ring is a discrete portion on one surface of the member surrounding the substrate support surface. The member may be a ring for the coupling situated below the dielectric spacer ring and the edge ring, or a part of the base plate. According to another embodiment, the dielectric spacer ring may be bonded to the member through, for example, a thermally conductive elastomeric bond. According to another preferred embodiment, the dielectric spacer ring and the ring for coupling may comprise a unitary part.

유전체 스페이서 링을 제공함으로써, RF 커플링은 에지 링과 베이스플레이트 사이에서 감소될 수 있다. 또한, 아래에서 더 상세히 설명하는 바와 같이, 기판 지지체 표면과 에지 링 간에 유전체 스페이서 링을 삽입하면, 전도성 에지 링과 기판 지지체/베이스플레이트 간의 아크 발생 경향을 줄일 수 있고/있거나 기판의 플라즈마 에칭 동안에 기판 지지체 표면 상에 지지되는 기판의 아래쪽 및/또는 에지 상의 폴리머 퇴적을 줄일 수 있다. 플라즈마 에칭 챔버는 에지 링 어셈블리를 포함하고, 반도체 기판을 에칭하는 방법은 에지 링 어셈블리를 포함한 플라즈마 에칭 챔버에서 반도체 기판을 에칭하는 단계를 포함한다.By providing a dielectric spacer ring, the RF coupling can be reduced between the edge ring and the base plate. Also, inserting a dielectric spacer ring between the substrate support surface and the edge ring, as discussed in more detail below, can reduce the arcing tendency between the conductive edge ring and the substrate support / base plate and / Polymer deposition on the underside and / or edge of the substrate supported on the support surface can be reduced. The plasma etch chamber includes an edge ring assembly, and the method of etching the semiconductor substrate includes etching the semiconductor substrate in a plasma etch chamber including an edge ring assembly.

에지 링 어셈블리는 플라즈마 에칭 리액터에서 기판 지지체 표면을 둘러싸도록 구성된다. 기판 지지체 표면 상에 지지되거나 클램핑되는 기판의 플라즈마 에칭 동안에, 에지 링 어셈블리는 기판 위에 플라즈마를 집중시킬 수 있고/있거나 기판을 통해 RF 전력을 집중시킬 수 있다. 에지 링 어셈블리는 플라즈마 에칭 성능을 향상시킬 수 있고, 플라즈마 리액터 컴포넌트의 마모를 줄일 수 있을 것으로 생각된다. 또한, 유전체 스페이서 링과 에지 링은 플라즈마 부식으로부터 기판 지지체 및/또는 베이스플레이트를 보호할 수 있는 일회용 부품일 수 있다.The edge ring assembly is configured to surround the substrate support surface in a plasma etch reactor. During plasma etching of a substrate that is supported or clamped on a substrate support surface, the edge ring assembly can concentrate the plasma on the substrate and / or concentrate the RF power through the substrate. It is believed that the edge ring assembly can improve plasma etch performance and reduce wear of plasma reactor components. In addition, the dielectric spacer rings and edge rings can be disposable parts that can protect the substrate support and / or the base plate from plasma erosion.

통상, 플라즈마 챔버는 하나 이상의 가스를 포함한 에칭 가스를 챔버에 공급 하고 에칭 가스에 에너지를 인가하여 그 가스를 플라즈마 상태로 활성화함으로써 기판 상의 재료층을 에칭하는데 사용된다. 무선 주파수 (radio frequency; RF) 에너지, 마이크로파 에너지 및/또는 자계를 사용하여 중밀도 또는 고밀도 플라즈마를 발생시키고 유지할 수 있는 여러 플라즈마 챔버 설계가 공지되어 있다.Typically, a plasma chamber is used to etch a material layer on a substrate by supplying an etch gas containing one or more gases to the chamber and applying energy to the etch gas to activate the gas in a plasma state. A number of plasma chamber designs are known that can generate and maintain medium density or high density plasma using radio frequency (RF) energy, microwave energy, and / or magnetic fields.

에지 링 어셈블리는 유도 결합된 헬리콘 (helicon), 전자 사이클로트론 공명 (electron cyclotron resonance; ECR), 평행판 또는 다른 타입의 플라즈마 챔버에 포함될 수 있다. 예를 들어, 고밀도 플라즈마는 TCPTM (transformer coupled plasma) 리액터에서, 또는 ECR 리액터에서 발생할 수 있다. RF 에너지가 리액터 내에 유도 결합되는 TCP 리액터는, 캘리포니아주 프리몬트에 위치한 Lam Research Corporation 으로부터 입수 가능하다. 고밀도 플라즈마를 제공할 수 있는 하이플로우 (high-flow) 플라즈마 리액터의 일 예는 공동 소유인 미국 특허 제 5,948,704 호에 개시되어 있고, 그 개시내용은 본원에서 참조로서 통합하고 있다. 평행판 리액터, ECR 리액터 및 TCPTM 리액터는 공동 소유인 미국 특허 제 4,340,462 호, 제 4,948,458 호, 제 5,200,232 호 및 제 5,820,723 호에 개시되어 있고, 그 개시내용은 본원에서 참조로서 통합하고 있다.The edge ring assembly may be included in an inductively coupled helicon, electron cyclotron resonance (ECR), parallel plate, or other type of plasma chamber. For example, high density plasma can be generated in the TM TCP (transformer coupled plasma) reactor, or ECR reactors. A TCP reactor in which RF energy is inductively coupled into a reactor is available from Lam Research Corporation, located in Fremont, California. One example of a high-flow plasma reactor capable of providing a high-density plasma is disclosed in co-owned US Pat. No. 5,948,704, the disclosure of which is incorporated herein by reference. Parallel plate reactors, ECR reactors and TCP TM reactors are disclosed in co-owned US Pat. Nos. 4,340,462, 4,948,458, 5,200,232 and 5,820,723, the disclosures of which are incorporated herein by reference.

일 예로서, 플라즈마는 평행판 에칭 리액터, 예를 들어, 공동 소유인 미국 특허 제 6,090,304 호에 설명되어 있는 이중 주파수 플라즈마 에칭 리액터에서 발생할 수 있고, 그 개시내용은 본원에서 참조로서 통합하고 있다. 바람직한 평행판 플라즈마 에칭 챔버는 상부 샤워헤드형 전극 및 하부 전극을 포함한 이중 주파수 용량성 결합된 플라즈마 리액터이고, 하부 전극 (예를 들어, 베이스플레이트) 은 그 상부 표면에 포함된 정전 척과 같은 기판 지지체를 갖는다. 설명을 위해, 본원에서 에지 링 어셈블리의 실시형태는 평행판 타입 플라즈마 에칭 챔버를 참조하여 설명된다.As an example, the plasma may occur in a parallel plate etching reactor, for example, a dual frequency plasma etching reactor as described in co-owned US Pat. No. 6,090,304, the disclosure of which is incorporated herein by reference. A preferred parallel plate plasma etch chamber is a dual frequency capacitively coupled plasma reactor including an upper showerhead type electrode and a lower electrode and the lower electrode (e.g., base plate) has a substrate support, such as an electrostatic chuck, . For purposes of illustration, embodiments of edge ring assemblies are described herein with reference to a parallel plate type plasma etch chamber.

도 1 에는 평행판 플라즈마 에칭 리액터가 도시되어 있다. 플라즈마 에칭 리액터 (100) 는 챔버 (110), 입구 로드 락 (112) 및 선택적인 출구 로드 락 (114) 을 포함하고, 그 추가 상세는 공동 소유인 미국 특허 제 6,824,627 호에 설명되어 있고, 그 전체 내용은 본원에서 참조로서 통합하고 있다.Figure 1 shows a parallel plate plasma etch reactor. The plasma etch reactor 100 includes a chamber 110, an inlet load lock 112 and an optional exit load lock 114, the details of which are described in co-owned US Pat. No. 6,824,627, Are incorporated herein by reference.

(제공된다면) 로드 락 (112 및 114) 은 웨이퍼 공급부 (162) 로부터 챔버 (110) 를 통해 웨이퍼 수용부 (164) 까지 웨이퍼와 같은 기판을 이송하는 이송 장치를 포함한다. 로드 락 펌프 (176) 는 로드 락 (112 및 114) 에 원하는 진공 압력을 제공할 수 있다.The loadlocks 112 and 114 (if provided) include a transfer device for transferring a wafer-like substrate from the wafer supply 162 through the chamber 110 to the wafer receiving portion 164. The load lock pump 176 may provide the desired vacuum pressure to the load locks 112 and 114.

터보 펌프와 같은 진공 펌프 (172) 는 챔버 내에 원하는 압력을 유지하도록 구성된다. 플라즈마 에칭 동안에, 챔버 압력은 제어되고, 플라즈마를 유지하기에 충분한 레벨로 유지되는 것이 바람직하다. 챔버 압력이 너무 높으면 에칭 스톱에 불리하게 기여할 수 있지만, 챔버 압력이 너무 낮으면 플라즈마가 소멸될 수 있다. 평행판 리액터와 같은 중밀도 플라즈마 리액터에서는, (예를 들어, 100 mTorr 보다 낮거나 50 mTorr 보다 낮은) 약 200 mTorr 아래의 압력으로 챔버 압력을 유지하는 것이 바람직하다.A vacuum pump 172, such as a turbo pump, is configured to maintain the desired pressure within the chamber. During plasma etching, the chamber pressure is preferably controlled and maintained at a level sufficient to sustain the plasma. If the chamber pressure is too high, it can contribute to the etching stop, but if the chamber pressure is too low, the plasma may be destroyed. In a medium density plasma reactor, such as a parallel plate reactor, it is desirable to maintain the chamber pressure at a pressure below about 200 mTorr (e.g., less than 100 mTorr or less than 50 mTorr).

진공 펌프는 리액터의 벽에 있는 출구에 접속될 수 있고, 밸브 (173) 에 의 해 조절되어 챔버 내의 압력을 제어할 수 있다. 바람직하게는, 에칭 가스가 챔버 내로 흐르는 동안에, 진공 펌프는 200 mTorr 보다 낮게 챔버 내의 압력을 유지할 수 있다.The vacuum pump can be connected to the outlet on the wall of the reactor and can be controlled by the valve 173 to control the pressure in the chamber. Preferably, while the etching gas is flowing into the chamber, the vacuum pump can maintain the pressure in the chamber below 200 mTorr.

챔버 (110) 는 상부 전극 (125; 예를 들어, 샤워헤드형 전극) 을 포함한 상부 전극 어셈블리 (120), 및 베이스플레이트 (160; 즉, 하부 전극) 와 그 상부 표면에 형성된 기판 지지체 표면 (150) 을 포함한 하부 전극 어셈블리 (140) 를 포함한다. 상부 전극 어셈블리 (120) 는 상부 하우징 (130) 에 장착된다. 상부 하우징 (130) 은 상부 전극 (125) 과 기판 지지체 표면 (150) 간의 갭을 조절하는 메커니즘 (132) 에 의해 수직으로 이동할 수 있다.The chamber 110 includes an upper electrode assembly 120 including an upper electrode 125 (e.g., a showerhead electrode), and a base plate 160 (i.e., lower electrode) and a substrate support surface 150 (Not shown). The upper electrode assembly 120 is mounted on the upper housing 130. The upper housing 130 can move vertically by a mechanism 132 that regulates the gap between the upper electrode 125 and the substrate support surface 150.

에칭 가스 소스 (170) 는 하우징 (130) 에 접속되어, 하나 이상의 가스를 포함한 에칭 가스를 상부 전극 어셈블리 (120) 에 전달할 수 있다. 바람직한 에칭 리액터에서, 상부 전극 어셈블리는 리액턴트 및/또는 캐리어 가스를 기판 표면에 인접한 영역에 전달하는데 사용될 수 있는 가스 분배 시스템을 포함한다. 하나 이상의 가스 링, 인젝터 및/또는 샤워헤드 (예를 들어, 샤워헤드형 전극) 를 포함할 수 있는 가스 분배 시스템은, 공동 소유인 미국 특허 제 6,333,272 호, 제 6,230,651 호, 제 6,013,155 호 및 제 5,824,605 호에 개시되어 있고, 그 개시내용은 본원에서 참조로서 통합하고 있다.The etch gas source 170 may be connected to the housing 130 to deliver an etch gas including one or more gases to the upper electrode assembly 120. In a preferred etching reactor, the top electrode assembly includes a gas distribution system that can be used to transfer reactant and / or carrier gas to regions adjacent the substrate surface. A gas distribution system that may include one or more gas rings, injectors, and / or showerheads (e.g., showerhead-type electrodes) is disclosed in commonly owned U.S. Patent Nos. 6,333,272, 6,230,651, 6,013,155, and 5,824,605 , The disclosure of which is incorporated herein by reference.

상부 전극 (125) 은 샤워헤드형 전극을 포함하는 것이 바람직하고, 이 샤워헤드형 전극은 에칭 가스를 분배하는 개구부 (도시생략) 를 포함한다. 샤워헤드형 전극은 에칭 가스의 원하는 분배를 촉진할 수 있는 하나 이상의 수직으로 이격된 배플 플레이트를 포함할 수 있다. 상부 및 하부 전극은 흑연, 실리콘, 탄화실리콘, 알루미늄 (예를 들어, 양극산화 처리된 알루미늄), 또는 그 조합과 같은 임의의 적당한 재료로 형성될 수 있다. 열 전달 액체 소스 (174) 는 상부 전극 어셈블리 (120) 에 접속될 수 있고, 다른 열 전달 액체 소스는 베이스플레이트 (160) 에 접속될 수 있다.The upper electrode 125 preferably includes a showerhead-type electrode, and the showerhead-like electrode includes an opening (not shown) for distributing the etching gas. The showerhead-like electrode may comprise one or more vertically spaced baffle plates capable of promoting the desired distribution of the etching gas. The upper and lower electrodes may be formed of any suitable material, such as graphite, silicon, silicon carbide, aluminum (e.g., anodized aluminum), or combinations thereof. A heat transfer liquid source 174 may be connected to the upper electrode assembly 120 and another heat transfer liquid source may be connected to the base plate 160.

그 개시내용을 본원에서 참조로서 통합하고 있는 공동 소유인 미국 특허 제 6,019,060 호는 플라즈마 한정 링 어셈블리를 개시한다. 에칭을 받은 기판 위의 플라즈마 한정 때문에, 기판 표면에서의 압력은 리액터 챔버에 대해 설정된 진공 압력보다 높을 수도 있다. 낮은 챔버 압력을 유지하기 위해, 불활성 캐리어 가스가 약 50 내지 500 sccm (standard cubic centimeters per minute) 의 유량으로 챔버에 추가되는 것이 바람직하다. 통상, 에칭 가스 혼합물의 개별적인 반응 성분의 개별적인 유량은 200 mm 기판의 경우에 약 1 내지 200 sccm 의 범위이고, 기판이 더 커지면 높아진다.U.S. Patent No. 6,019,060, the disclosure of which is incorporated herein by reference, discloses a plasma confinement ring assembly. Because of the plasma confinement on the etched substrate, the pressure at the substrate surface may be higher than the vacuum pressure set for the reactor chamber. To maintain a low chamber pressure, an inert carrier gas is preferably added to the chamber at a flow rate of about 50 to 500 sccm (standard cubic centimeters per minute). Typically, the individual flow rates of the individual reaction components of the etching gas mixture are in the range of about 1 to 200 sccm for a 200 mm substrate, and higher for larger substrates.

플라즈마 밀도는 플라즈마 에칭 영역 내의 양 이온의 밀도를 지칭한다. 일반적으로, 플라즈마 밀도는 전극에 제공되는 전력의 함수이다. 전력이 높아지면 플라즈마의 밀도가 높아지는 경향이 있고, 또한 다른 파라미터에 의존하여, 높은 플라즈마 밀도는 기판 표면에 많은 이온 플럭스를 발생시킴으로써 기판 상에 미리 형성된 층의 에칭 레이트를 증가시킬 수도 있다. 중밀도 플라즈마는 약 1010 내지 1011 이온/cm3 의 이온 밀도를 포함하는 것을 특징으로 할 수 있지만, 통 상, 고밀도 플라즈마는 약 1011 내지 1012 이온/cm3 이상의 이온 밀도를 가질 수 있다.Plasma density refers to the density of positive ions in the plasma etched area. Generally, the plasma density is a function of the power provided to the electrodes. Higher power tends to increase the density of the plasma and, depending on other parameters, a higher plasma density may also increase the etch rate of the layer previously formed on the substrate by generating more ion flux on the substrate surface. Medium density plasma is about 10 10 to 10 11 ions / cm can be characterized in that it comprises an ion density of 3, but the barrel phase, high-density plasma may have from about 10 11 to 10 12 ions / cm ion density of 3 .

몇몇 실시형태에 따르면, 상부 전극 또는 하부 전극은 전원 공급된 전극일 수 있지만, 하부 전극 또는 상부 전극 중 다른 하나는 전기적으로 접지된 (복귀 경로) 전극이다. 다른 실시형태에 따르면, 상부 전극과 하부 전극 모두는 전원 공급될 수 있는데, 2 개의 전극은 전압과 관련하여 서로 다른 위상으로 전원 공급된다. 평행판 리액터에서, 전원 (178) 은 RF 전력을 상부 전극 (125) 및/또는 베이스플레이트 (160; 즉, 하부 전극) 에 제공할 수 있다.According to some embodiments, the upper or lower electrode may be a powered electrode, while the other of the lower or upper electrode is an electrically grounded (return path) electrode. According to another embodiment, both the upper electrode and the lower electrode can be powered, the two electrodes being powered in different phases with respect to voltage. In a parallel plate reactor, a power source 178 may provide RF power to the upper electrode 125 and / or the base plate 160 (i.e., the lower electrode).

리액터는 단일 주파수, 이중 주파수 또는 다중 주파수 플라즈마 리액터일 수 있다. 이중 주파수 플라즈마 리액터에서, 예를 들어, 플라즈마는 2 개의 상이한 주파수의 RF 전력을 정합 네트워크를 통해 상부 및/또는 하부 전극에 공급함으로써 발생할 수 있다. 일 예로서, 2 MHz 와 같은 저주파수는 하부 전극에 공급될 수 있고, 27 MHz 와 같은 고주파수는 상부 전극에 공급될 수 있다. 다른 방법으로는, 상부 전극은 전기적으로 접지될 수 있고, (예를 들어, 약 10 내지 60 MHz, 또한 약 10 MHz 보다 낮은) 2 개 이상의 상이한 주파수의 RF 전력은 하부 전극에 공급될 수 있다.The reactor may be a single frequency, dual frequency or multi-frequency plasma reactor. In a dual frequency plasma reactor, for example, the plasma may occur by supplying RF power at two different frequencies to the top and / or bottom electrodes through the matching network. As an example, a low frequency such as 2 MHz may be supplied to the bottom electrode, and a high frequency such as 27 MHz may be supplied to the top electrode. Alternatively, the top electrode can be electrically grounded and RF power at two or more different frequencies (e.g., about 10 to 60 MHz, and also less than about 10 MHz) can be supplied to the bottom electrode.

연속적 또는 불연속적 RF 바이어스는 에칭 동안에 기판에 인가될 수 있다. RF 바이어스는 양 이온 플럭스가 기판 표면에 충돌하는 에너지를 결정할 수 있다. RF 전력의 범위는 약 50 내지 3000 와트인 것이 바람직하고, 하부 전극에 인가된 RF 바이어스 전력의 범위는 200 mm 기판의 경우에 0 내지 3000 와트일 수 있다. 바람직하게는, RF 바이어스 전력이 약 0 내지 8 와트/cm2, 바람직하게는 적어도 2 와트/cm2 의 전력을 기판에 공급할 수 있도록, 하부 전극은 일정 표면적을 갖는다.Continuous or discontinuous RF biasing may be applied to the substrate during etching. The RF bias can determine the energy that the positive ion flux impinges on the substrate surface. The range of the RF power is preferably about 50 to 3000 watts, and the range of the RF bias power applied to the lower electrode may be 0 to 3000 watts for a 200 mm substrate. Preferably, the lower electrode has a constant surface area such that the RF bias power can supply a power of about 0 to 8 watts / cm 2 , preferably at least 2 watts / cm 2 to the substrate.

RF 전력을 하부 전극에 공급함으로써, DC 시스 (sheath) 전압이 기판 표면에 대해 형성될 수 있다. 시스 전압은 바이어스 전력의 함수이고, 플라즈마 발생과 본질상 관계없다. 높은 바이어스 전력은 큰 시스 전압을 발생시키고, 에칭 동안에 기판 표면의 강력한 이온 충격을 일으킬 수 있다.By supplying RF power to the lower electrode, a DC sheath voltage can be formed with respect to the substrate surface. The sheath voltage is a function of the bias power and is essentially independent of plasma generation. The high bias power produces a large sheath voltage and can cause a strong ion bombardment of the substrate surface during etching.

평행판 리액터 내의 전극 간의 갭 폭은 유전체층의 에칭 레이트에 영향을 줄 수 있다. 원하는 갭 폭의 선택은 에칭 동안에 사용된 챔버 압력에 부분적으로 의존한다. 통상, (예를 들어, 약 75 mTorr 에서 1 Torr 까지의) 높은 챔버 압력에서는, 바람직한 갭 폭이 약 1 내지 1.5 cm 이다. (예를 들어, 약 75 mTorr 아래의) 낮은 챔버 압력에서는, 약 1.3 내지 2.5 cm 의 갭 폭과 같은 넓은 갭 폭이 사용될 수 있다. 또한, 갭 폭은 전극에 인가된 주파수의 함수로서 선택될 수 있다. 일반적으로, 유전체층의 에칭에 있어서, 고주파수의 경우에는 좁은 갭 폭이 더 바람직하고, 저주파수의 경우에는 넓은 갭 폭이 더 바람직하다. 중밀도 평행판 리액터에서, 기판을 지지하는 상부 전극과 하부 전극 간의 갭은 약 1 내지 2.5 cm 일 수 있다.The gap width between the electrodes in the parallel plate reactor may affect the etching rate of the dielectric layer. The choice of the desired gap width depends in part on the chamber pressure used during the etching. Typically, at high chamber pressures (e.g., from about 75 mTorr to 1 Torr), the preferred gap width is about 1 to 1.5 cm. At low chamber pressures (e.g., below about 75 mTorr), a wide gap width, such as a gap width of about 1.3 to 2.5 cm, may be used. Further, the gap width can be selected as a function of the frequency applied to the electrode. Generally, in the etching of the dielectric layer, a narrow gap width is more preferable in the case of a high frequency, and a wide gap width is more preferable in a case of a low frequency. In a medium density parallel plate reactor, the gap between the upper and lower electrodes supporting the substrate may be about 1-2.5 cm.

도 2 에는 제 1 실시형태에 따른 에지 링 어셈블리 (270) 를 포함한 하부 전극 어셈블리 (240) 의 상세가 도시되어 있다. 하부 전극 어셈블리 (240) 는, 플랜지 (262) 를 갖는 베이스플레이트 (260), 및 베이스플레이트의 상부 표면에 형성된 기판 지지체 표면 (254) 을 포함한 정전 척 (electrostatic chuck; ESC) 과 같은 기판 지지체 (250) 를 포함한다. 베이스플레이트 (하부 전극) 는 전도성 재료를 포함할 수 있고, ESC 는 전극 (252) 이 매설된 세라믹 재료를 포함할 수 있다. ESC 는 베이스플레이트의 상부 표면에 본딩될 수 있다. 하부 전극은 RF 정합을 제공하는 RF 소스 및 부수 회로 등에 의해 전원 공급될 수 있다. 하부 전극은 온도 제어되는 것이 바람직하고, 가열 장치를 선택적으로 포함할 수도 있다. 기판 지지체 표면 (254) 은 200 mm 또는 300 mm 웨이퍼와 같은 단일 반도체 기판을 지지하도록 구성된다.FIG. 2 shows details of the lower electrode assembly 240 including the edge ring assembly 270 according to the first embodiment. The lower electrode assembly 240 includes a base plate 260 having a flange 262 and a substrate support 250 such as an electrostatic chuck (ESC) including a substrate support surface 254 formed on the upper surface of the base plate ). The base plate (lower electrode) may comprise a conductive material, and the ESC may comprise a ceramic material with electrodes 252 embedded therein. The ESC can be bonded to the upper surface of the base plate. The bottom electrode may be powered by an RF source and associated circuitry that provide RF matching. The lower electrode is preferably temperature-controlled, and may optionally include a heating device. The substrate support surface 254 is configured to support a single semiconductor substrate, such as a 200 mm or 300 mm wafer.

도 2 의 실시형태에 도시된 바와 같이, 에지 링 (280) 및 유전체 스페이서 링 (285) 은 베이스플레이트 (260) 의 플랜지 (262) 상에 있는 석영 커플링용 링과 같은 커플링용 링 (290) 의 상부 표면 상에 지지된다. 커플링용 링 (290) 은 복수의 볼트 (224) 와 같은 기계적 또는 접착성 고정부를 갖는지 여부에 관계없이 베이스플레이트 상에 지지될 수 있다. 바람직하게는, 기판 (210) 은 유전체 스페이서 링 (285) 과 에지 링 (280) 의 적어도 방사상 안쪽 부분 (281) 위에 걸치도록, 기판 지지체 표면 상에 지지/클램핑될 수 있다.2, the edge ring 280 and dielectric spacer ring 285 are disposed on the flange 262 of the base plate 260 such that the coupling ring 290, such as the ring for quartz coupling, And is supported on the upper surface. The coupling ring 290 may be supported on the base plate, regardless of whether it has a mechanical or adhesive seam, such as a plurality of bolts 224. The substrate 210 may be supported / clamped on the substrate support surface to overlie at least the radially inner portion 281 of the dielectric spacer ring 285 and the edge ring 280.

바람직하게는, 기판 지지체 (250) 는 기판 (210) 과 지지체 표면 (254) 간에 헬륨을 공급하는 통로를 포함하여, 기판 상의 포토레지스트가 타는 것을 충분히 방지하는 양만큼 그 플라즈마 에칭 동안에 기판 (210) 을 냉각한다. 바람직하게 는, 기판은 플라즈마 에칭 동안에 약 140 ℃ 보다 낮은 온도에서 유지된다. 중밀도 플라즈마 리액터에서, 기판 지지체는 원하는 온도에서 기판을 유지하도록 약 - 20 내지 80 ℃ 의 온도로 냉각되는 것이 바람직하다.The substrate support 250 preferably includes a passageway for supplying helium between the substrate 210 and the support surface 254 so that the substrate 210 is exposed during its plasma etching by an amount sufficient to prevent the photoresist on the substrate from burning, . Preferably, the substrate is maintained at a temperature less than about 140 캜 during plasma etching. In a medium density plasma reactor, it is preferred that the substrate support be cooled to a temperature of about -20 to 80 DEG C to hold the substrate at the desired temperature.

원하는 온도에 기판을 유지하기 위해, 기판과 기판 지지체 표면 간의 공간에 약 1 내지 30 Torr 의 압력에서 헬륨이 공급될 수 있다. 또한, 본원에서 상술한 바와 같이, RF 바이어스, ESC 온도 및 기타 파라미터의 레벨을 조절함으로써 기판 온도를 제어할 수도 있다. 기판과 기판 지지체 표면 간의 공간 내에 가압 가스를 도입함으로써 기판 온도를 제어하는 방법은 공동 소유인 미국 특허 제 6,140,612 호에 개시되어 있고, 그 개시내용은 본원에서 참조로서 통합하고 있다.Helium may be supplied to the space between the substrate and the substrate support surface at a pressure of about 1 to 30 Torr to maintain the substrate at the desired temperature. The substrate temperature may also be controlled by adjusting the level of RF bias, ESC temperature, and other parameters, as described herein. A method of controlling the substrate temperature by introducing pressurized gas into the space between the substrate and the substrate support surface is disclosed in co-owned US Pat. No. 6,140,612, the disclosure of which is incorporated herein by reference.

커플링용 링 (290) 은 그 상부 표면에 위치한 에지 링 척 (도시생략) 을 선택적으로 포함할 수도 있다. 제공된다면, 에지 링 척은 모노폴라 또는 바이폴라 척일 수 있고, 적당한 전기 접속을 사용하여 DC 전원에 의해 DC 전력이 공급될 수 있다. 에지 링 척은 실리콘 에지 링과 같은 에지 링 (280) 을 커플링용 링에 고정하는데 사용될 수 있다. 정전 클램핑 에지 링의 상세는 공동 소유인 미국 특허 제 6,475,336 호에 개시되어 있고, 그 개시내용은 본원에서 참조로서 통합하고 있다.The coupling ring 290 may optionally include an edge ring chuck (not shown) located on its upper surface. If provided, the edge ring chuck can be a monopolar or bipolar chuck, and DC power can be supplied by the DC power supply using a suitable electrical connection. The edge ring chuck can be used to secure an edge ring 280, such as a silicon edge ring, to the ring for coupling. Details of the electrostatic clamping edge ring are disclosed in co-owned U. S. Patent No. 6,475, 336, the disclosure of which is incorporated herein by reference.

에지 링 (280) 은 실리콘 (예를 들어, 단결정 실리콘 또는 다결정 실리콘) 또는 탄화실리콘 (예를 들어, 화학 기상 증착된 탄화실리콘) 과 같은 반도체 또는 전기 전도성 재료로 이루어질 수 있다. 에지 링이 플라즈마에 직접 노출될 것이기 때문에, 바람직한 에지 링은 고순도 (high purity) 재료로 이루어진다. 에지 링을 위한 추가 재료는 산화알루미늄, 질화알루미늄, 질화실리콘, 석영 등을 포함한다. 에지 링은 전기적으로 부동 상태에 있을 수도 있고, 또는 DC 접지에 전기적으로 연결될 수도 있다.The edge ring 280 may be comprised of a semiconductor or electrically conductive material, such as silicon (e.g., monocrystalline silicon or polycrystalline silicon) or silicon carbide (e.g., chemical vapor deposited silicon carbide). Since the edge ring will be directly exposed to the plasma, the preferred edge ring is made of a high purity material. Additional materials for the edge ring include aluminum oxide, aluminum nitride, silicon nitride, quartz, and the like. The edge ring may be in an electrically floating state or may be electrically connected to a DC ground.

기판 지지체 및/또는 베이스플레이트를 플라즈마 내의 이온/반응 종에 노출시키는 것을 감소시키기 위해, 기판 지지체는 기판이 기판 지지체 표면 위에 걸치도록 하는 크기를 갖는 것이 바람직하다. 계속 도 2 를 참조하면, 약 1 내지 2 mm 정도 기판 지지체 표면 위에 걸칠 수 있는 기판은 유전체 스페이서 링 (285) 과 에지 링 (280) 의 방사상 안쪽 부분 (281) 모두 위에 걸친다 (예를 들어, 유전체 스페이서 링과 에지 링의 일부는 기판의 주변 아래로 연장된다). 따라서, 유전체 스페이서 링은 기판이 기판 지지체 표면 위에 걸치는 양보다 적은 방사상 폭을 갖는 것이 바람직하다.To reduce exposure of the substrate support and / or base plate to ions / reactive species in the plasma, the substrate support preferably has a size such that the substrate extends over the substrate support surface. Continuing with FIG. 2, a substrate that can span about 1 to 2 mm above the substrate support surface spans over both the dielectric spacer ring 285 and the radially inner portion 281 of the edge ring 280 (see, for example, Dielectric A portion of the spacer ring and the edge ring extend below the periphery of the substrate). Thus, it is desirable for the dielectric spacer ring to have a radial width less than the amount that the substrate overlies the substrate support surface.

바람직한 에지 링 어셈블리에서, 에지 링 (280) 의 방사상 안쪽 에지는 유전체 스페이서 링 (285) 의 방사상 바깥쪽 에지와 접촉하거나 그 가까이 위치하고, 유전체 스페이서 링 (285) 의 방사상 안쪽 에지는 기판 지지체 및/또는 베이스플레이트 (260) 의 바깥쪽 에지와 접촉하거나 그 가까이 위치한다. "가깝다" 는 것은 에지 링과 유전체 스페이서 링 간의 갭 (예를 들어, 환상 갭) 또는 유전체 스페이서 링과 기판 지지체 표면 간의 갭이 약 0.25 mm 보다 적은 것을 의미하고, 더 바람직하게는, 약 0.12 mm 보다 적은 것을 의미한다. 따라서, 커플링용 링의 상부 표면이 플라즈마의 반응 종 및/또는 이온에 노출되는 것을 줄일 수 있도록, 유전체 스페이서 링과 에지 링은 (준비된다면 에지 링 척 또는) 커플링용 링 (290) 의 상부 표면을 사실상 덮는다. 일 실시형태에 따르면, 유전체 스페이서 링은 커플링용 링에 본딩될 수 있다 (즉, 커플링용 링의 상부 표면은 유전체 스페이서 링의 하부 표면에 본딩될 수 있다).In a preferred edge ring assembly, the radially inner edge of the edge ring 280 is in contact with or near the radially outer edge of the dielectric spacer ring 285, and the radially inner edge of the dielectric spacer ring 285 is located on the substrate support and / Is in contact with or near the outer edge of the base plate (260). By "close" it is meant that the gap between the edge ring and the dielectric spacer ring (eg, annular gap) or gap between the dielectric spacer ring and the substrate support surface is less than about 0.25 mm, more preferably less than about 0.12 mm Means fewer. Thus, the dielectric spacer ring and the edge ring (if provided, an edge ring chuck, or the like) can be removed from the upper surface of the ring 290 for coupling so that the upper surface of the ring for coupling can be less exposed to reactive species and / Actually cover. According to one embodiment, the dielectric spacer ring may be bonded to the coupling ring (i.e., the upper surface of the coupling ring may be bonded to the lower surface of the dielectric spacer ring).

헬륨과 같은 열 전달 가스는 에지 링 어셈블리와 베이스플레이트 간의 열 전달을 향상시키는데 사용될 수 있다. 열 전달 가스는 가스 소스 (230) 로부터 가스 통로 (232) 를 통해 에지 링 어셈블리와 커플링용 링 (290) 간의 인터페이스 및/또는 커플링용 링 (290) 과 베이스플레이트 (260) 간의 인터페이스에 공급될 수 있다. 가스 통로 (232) 는 베이스플레이트 (260) 주위에 이격된 하나 이상의 위치에서 커플링용 링 (290) 및 베이스플레이트 (260) 를 통해 연장되며, 예를 들어, 볼트 (224) 내의 통로를 통해 연장될 수 있다.A heat transfer gas such as helium may be used to enhance the heat transfer between the edge ring assembly and the base plate. The heat transfer gas can be supplied to the interface between the edge ring assembly and the ring 290 through the gas passage 230 from the gas source 230 and / or the interface between the ring 290 and the base plate 260 for coupling. have. The gas passage 232 extends through the coupling ring 290 and the base plate 260 at one or more locations spaced about the base plate 260 and extends through the passage in the bolt 224, .

기판 지지체와 유전체 스페이서 링 간에 및/또는 유전체 스페이서 링과 에지 링 간에 갭이 존재하는 실시형태에 따르면, 갭(들) 내의 헬륨 흐름은 에칭 가스 및/또는 휘발성 부산물이 들어가는 것을 줄일 수 있으므로, 플라즈마 에칭 동안에 폴리머의 퇴적을 줄일 수 있다.According to embodiments where there is a gap between the substrate support and the dielectric spacer ring and / or between the dielectric spacer ring and the edge ring, the helium flow in the gap (s) can reduce the ingress of etching gases and / or volatile byproducts, It is possible to reduce the accumulation of the polymer.

계속 도 2 를 참조하면, 기판의 바깥쪽으로 이격된 에지 링의 안쪽 표면은 기판 표면에 사실상 수직인 면과 일정 각도를 형성하도록 하는 형태를 가지는 것이 바람직하다. 따라서, 바람직한 에지 링은 방사상 안쪽 부분 (281) 및 방사상 바깥쪽 부분을 포함하고, 방사상 안쪽 부분의 두께는 방사상 바깥쪽 부분의 두께보다 얇고, 방사상 바깥쪽 부분의 두께는 유전체 스페이서 링의 두께보다 두껍다. 유전체 스페이서 링의 상부 표면과 에지 링의 방사상 가장 안쪽 부분의 상부 표면은 기판의 아래쪽에 가능한 한 가깝게 위치하는 것이 바람직하다. 바람직한 실시형태에 따르면, 유전체 스페이서 링의 상부 표면과 에지 링의 방사상 가장 안쪽 상부 표면은 사실상 동일 평면이고, 기판 지지체 표면 위에 걸치는 기판의 그 부분 아래에 있도록 구성된다. 다른 방법으로는, 유전체 스페이서 링의 상부 표면은 에지 링의 상부 방사상 안쪽 표면보다 높거나 낮을 수 있다.Continuing with reference to Figure 2, it is preferred that the inner surface of the edge ring spaced outwardly of the substrate has a shape that allows it to form an angle with a surface substantially perpendicular to the substrate surface. Thus, the preferred edge ring includes a radially inner portion 281 and a radially outer portion, wherein the thickness of the radially inner portion is thinner than the thickness of the radially outer portion and the thickness of the radially outer portion is thicker than the thickness of the dielectric spacer ring . The upper surface of the dielectric spacer ring and the uppermost surface of the radially innermost portion of the edge ring are preferably located as close as possible to the underside of the substrate. According to a preferred embodiment, the upper surface of the dielectric spacer ring and the radially innermost upper surface of the edge ring are substantially coplanar and are configured to be below that portion of the substrate over the substrate support surface. Alternatively, the upper surface of the dielectric spacer ring may be higher or lower than the upper radial inner surface of the edge ring.

유전체 스페이서 링과 기판 간 및 에지 링의 방사상 안쪽 부분과 기판 간 간극은 플라즈마 에칭 동안에 유전체 스페이서 링과 에지 링의 열 팽창을 고려한다. 바람직하게는, 유전체 스페이서 링의 상부 표면과 기판의 하부 표면 간에 간극 (G) 이 존재하고, 에지 링의 상부 안쪽 표면과 기판의 하부 표면 간에 간극 (G') 이 존재한다. 유전체 스페이서 링과 기판 간 및 에지 링과 기판 간에 충분한 클리어런스를 제공하여, 에칭 동안에 유전체 스페이서 링 및/또는 에지 링의 열 팽창이 기판 지지체 표면에서 기판을 분리하지 않도록 하는 것이 바람직하다.The gap between the dielectric spacer ring and the substrate and between the radially inner portion of the edge ring and the substrate takes into account the thermal expansion of the dielectric spacer ring and the edge ring during plasma etching. Preferably, a gap G exists between the upper surface of the dielectric spacer ring and the lower surface of the substrate, and a gap G 'exists between the upper inner surface of the edge ring and the lower surface of the substrate. It is desirable to provide sufficient clearance between the dielectric spacer ring and the substrate and between the edge ring and the substrate such that the thermal expansion of the dielectric spacer ring and / or the edge ring during etching does not separate the substrate from the substrate support surface.

바람직한 유전체 스페이서 링은 베이스플레이트로부터 에지 링을 전기적으로 절연시키는데 효과적인 폭 및 기판의 플라즈마 에칭 동안에 유전체 스페이서 링과 기판 간의 갭 (G) 을 최소화하는데 효과적인 높이를 갖는다. 갭 (G) 을 최소화함으로써, 기판의 아래쪽 또는 베벨 에지 상의 폴리머 퇴적을 최소화할 수 있다.The preferred dielectric spacer ring has a width that is effective to electrically isolate the edge ring from the base plate and a height effective to minimize the gap G between the dielectric spacer ring and the substrate during plasma etching of the substrate. By minimizing the gap G, polymer deposition on the underside of the substrate or on the beveled edge can be minimized.

유전체 스페이서 링은 정사각형 단면 또는 직사각형 단면을 가질 수 있다. 예시적인 유전체 스페이서 링은 약 0.5 mm 내지 2.5 mm 의 폭을 갖고, 더 바람직하게는 약 0.8 mm 내지 1.2 mm 의 폭을 갖고, 약 1 mm 내지 3 mm 의 높이를 가지며, 더 바람직하게는 약 2.4 mm 내지 2.8 mm 의 높이를 갖는다. 바람직한 실시형태에 따르면, 유전체 스페이서 링은 기판 지지체 표면 상에 장착된 기판의 돌출 부분 바로 아래에 맞도록 구성되고, 유전체 스페이서 링과 기판 간의 간극 (G) 은 약 0.25 mm 보다 적다. 다른 바람직한 실시형태에 따르면, 기판 지지체 표면의 면과 유전체 스페이서 링의 상부 표면의 면 간의 거리는 약 0.25 mm 보다 적은 것이 바람직하고, 기판 지지체 표면의 면과 에지 링의 방사상 안쪽 부분의 상부 표면의 면 간의 거리는 약 0.25 mm 보다 적은 것이 바람직하다.The dielectric spacer ring may have a square cross section or a rectangular cross section. Exemplary dielectric spacer rings have a width of about 0.5 mm to 2.5 mm, more preferably about 0.8 mm to 1.2 mm, with a height of about 1 mm to 3 mm, more preferably about 2.4 mm To 2.8 mm. According to a preferred embodiment, the dielectric spacer ring is configured to fit directly under the protruding portion of the substrate mounted on the substrate support surface, and the gap G between the dielectric spacer ring and the substrate is less than about 0.25 mm. According to another preferred embodiment, the distance between the surface of the substrate support surface and the surface of the upper surface of the dielectric spacer ring is preferably less than about 0.25 mm, and the distance between the surface of the substrate support surface and the upper surface of the radially inner portion of the edge ring The distance is preferably less than about 0.25 mm.

유전체 스페이서 링으로서 사용하기에 적합한 재료는 산화실리콘 (예를 들어, 석영) 또는 산화알루미늄과 같은 세라믹 재료, 및 Dupont®Vespel®, Dupont®Kapton® 등과 같은 폴리머 재료를 포함한다. 바람직한 유전체 스페이서 링은 석영으로 이루어진다.Suitable materials for use as dielectric spacer rings include ceramic materials such as silicon oxide (e.g., quartz) or aluminum oxide, and polymeric materials such as Dupont ® Vespel ® , Dupont ® Kapton ®, and the like. A preferred dielectric spacer ring is made of quartz.

다른 실시형태에 따르면, 에지 링 어셈블리에 대한 다른 기하학적 구조는 수정된 커플링용 링을 포함한다. 도 3 을 참조하면, 하부 전극 어셈블리 (340) 는 그 안쪽 방사상 표면 상에 축방향으로 상향 연장되는 부분 (385) 을 포함한 수정된 커플링용 링 (390') 을 포함한다. 개별적인 커플링용 링 및 유전체 스페이서 링을 포함하는 도 2 의 실시형태에 비해, 도 3 의 실시형태에 따르면, 커플링용 링과 유전체 스페이서 링은 베이스플레이트 (360) 상에 장착되는 일체의 단일 부품으로서 구성된다. 따라서, 수정된 커플링용 링의 축방향으로 상향 연장되는 부분 (385) 은 개별적인 유전체 스페이서 링을 대체하도록 구성된다. 수정된 커플링용 링 (390') 은 기계적 또는 접착성 고정부를 갖는지 여부에 관계없이 베이스플레이트 (360) 상에 지지될 수 있다.According to another embodiment, the other geometric structure for the edge ring assembly includes a modified coupling ring. Referring to FIG. 3, the lower electrode assembly 340 includes a modified coupling ring 390 'including a portion 385 extending axially upwardly on its inner radial surface. 3, the ring for coupling and the dielectric spacer ring are configured as a single, integral part that is mounted on the base plate 360, compared to the embodiment of FIG. 2 that includes separate coupling rings and dielectric spacer rings. do. Thus, the axially upwardly extending portion 385 of the modified coupling ring is configured to replace the individual dielectric spacer ring. The modified coupling ring 390 'may be supported on the base plate 360 whether or not it has mechanical or adhesive fasteners.

도 3 의 실시형태에 따르면, 도 2 의 실시형태와 관련하여 설명된 에지 링과 사실상 동일할 수 있는 에지 링 (380) 은 수정된 커플링용 링 (390') 의 바깥쪽 플랜지 부분 상에 있다. 석영으로 이루어질 수 있는 수정된 커플링용 링 (390') 은 베이스플레이트 (360) 의 플랜지 부분 (362) 위에 있을 수 있고, 또는 (예를 들어, 볼트 (324) 를 통해) 그 플랜지 부분 (362) 에 부착될 수 있다.According to the embodiment of FIG. 3, an edge ring 380, which may be substantially identical to the edge ring described in connection with the embodiment of FIG. 2, is on the outer flange portion of the modified coupling ring 390 '. The modified coupling ring 390'may be made of quartz may be on the flange portion 362 of the base plate 360 or may be on the flange portion 362 (e.g., via the bolt 324) Lt; / RTI >

헬륨과 같은 열 전달 가스는 수정된 커플링용 링 어셈블리와 베이스플레이트 간의 열 전달을 향상시키는데 사용될 수 있다. 열 전달 가스는 가스 소스 (330) 로부터 가스 통로 (332) 를 통해 수정된 커플링용 링 (390') 과 베이스플레이트 (360) 간의 인터페이스에 및/또는 수정된 커플링용 링 (390') 과 에지 링 (380) 간의 인터페이스에 공급될 수 있다. 가스 통로 (332) 는 베이스플레이트 (360) 주위에 이격된 하나 이상의 위치에서 수정된 커플링용 링 (390') 과 베이스플레이트 (360) 를 통해 연장되며, 예를 들어, 볼트 (324) 내의 통로를 통해 연장될 수 있다.Heat transfer gas, such as helium, can be used to enhance the heat transfer between the modified coupling ring assembly and the base plate. The heat transfer gas is transferred from the gas source 330 to the interface between the modified coupling ring 390 'and the base plate 360 via the gas passageway 332 and / or the modified coupling ring 390' Lt; RTI ID = 0.0 > 380 < / RTI > The gas passageway 332 extends through the modified coupling ring 390 'and base plate 360 at one or more locations spaced about the base plate 360 and extends through the passage in the bolt 324, for example, Lt; / RTI >

기판의 바깥쪽으로 이격된 에지 링 (380) 의 상부 안쪽 표면은 기판 표면에 사실상 수직인 면과 일정 각도를 형성하도록 하는 형태를 가지는 것이 바람직하다. 기판 지지체 (350) 는 기판 지지체 표면 (354) 및 전극 (352) 이 매설된 ESC 를 포함할 수 있다. ESC 는 베이스플레이트 (360) 의 상부 표면에 본딩될 수 있다.It is preferred that the upper inner surface of the edge ring 380 spaced out of the substrate has a shape that allows it to form an angle with a surface that is substantially perpendicular to the substrate surface. The substrate support 350 may include an ESC embedded with a substrate support surface 354 and an electrode 352. The ESC may be bonded to the upper surface of the base plate 360.

에지 링 (380) 의 방사상 안쪽 표면 (382) 은 축방향으로 상향 연장되는 부분 (385) 의 방사상 바깥쪽 표면 (386) 과 접촉하거나 그 표면 (386) 에 가깝게 위치하고, 축방향으로 상향 연장되는 부분 (385) 의 방사상 안쪽 표면 (387) 은 기판 지지체 (350) 및/또는 베이스플레이트 (360) 의 방사상 바깥쪽 표면과 접촉하거나 그 표면에 가깝게 위치한다.The radially inner surface 382 of the edge ring 380 contacts or is located proximate to the radially outer surface 386 of the axially upwardly extending portion 385 and extends axially upwardly The radially inner surface 387 of the base plate 385 is in contact with or is located close to the radially outer surface of the substrate support 350 and /

에지 링 (380) 의 방사상 안쪽 부분 (381) 과 수정된 커플링용 링 (390') 의 위쪽으로 축방향으로 상향 연장되는 부분 (385) 모두는 기판 (310) 의 돌출 부분 아래로 연장된다. 바람직한 실시형태에 따르면, 축방향으로 상향 연장되는 부분 (385) 의 상부 표면과 에지 링의 가장 안쪽 상부 표면은 사실상 동일 평면이고, 기판 지지체 표면 위에 걸치는 기판의 그 부분 아래에 있도록 구성된다. 다른 방법으로는, 수정된 커플링용 링 (390') 의 축방향으로 상향 연장되는 부분 (385) 의 상부 표면은 에지 링의 상부 안쪽 표면보다 높거나 낮을 수 있다. 바람직하게는, 축방향으로 상향 연장되는 부분 (385) 의 상부 표면과 기판의 하부 표면 간에 간극 (G) 이 존재하고, 에지 링 (380) 의 상부 안쪽 표면과 기판의 하부 표면 간에 간극 (G') 이 존재한다.Both the radially inner portion 381 of the edge ring 380 and the axially upwardly extending portion 385 above the modified coupling ring 390 'extend below the protruding portion of the substrate 310. According to a preferred embodiment, the upper surface of the axially upwardly extending portion 385 and the innermost upper surface of the edge ring are substantially coplanar and are configured to be below that portion of the substrate over the substrate support surface. Alternatively, the upper surface of the axially upwardly extending portion 385 of the modified coupling ring 390 'may be higher or lower than the upper inner surface of the edge ring. Preferably there is a gap G between the upper surface of the axially upwardly extending portion 385 and the lower surface of the substrate and the gap G 'between the upper inner surface of the edge ring 380 and the lower surface of the substrate, ).

바람직한 실시형태에 따르면, 수정된 커플링용 링 (390') 의 축방향으로 상향 연장되는 부분 (385) 은 기판 지지체 표면 상에 장착된 기판의 돌출 부분 바로 아래에 맞도록 구성되고, 간극 (G) 은 약 0.25 mm 보다 적다.According to a preferred embodiment, the axially upwardly extending portion 385 of the modified coupling ring 390 'is configured to fit directly below the projecting portion of the substrate mounted on the substrate support surface, Is less than about 0.25 mm.

SiO2 와 같은 유전체 재료를 에칭하는 플라즈마 에칭 리액터를 위한 예시적인 동작 조건은 다음과 같다: 약 200 mm 또는 300 mm 의 웨이퍼 직경; 적어도 약 200 nm 인 기판 상의 유전체 재료 두께; 적어도 약 90 % 의 이론상 밀도를 갖는 유전체 재료 밀도; 약 0 ℃ 내지 약 90 ℃ 의 하부 전극 온도; 약 0 Torr 내지 2 Torr, 바람직하게는 최대 약 200 mTorr 의 챔버 압력; 약 20 ℃ 내지 200 ℃, 바람직하게는, 20 ℃ 내지 50 ℃ 의 기판 온도; 약 10 sccm 내지 1,000 sccm 의 에칭 가스 유량; 적어도 약 2,500 와트인 상부 전극과 하부 전극 간에 전달되는 전체 이중 주파수 전력; 및 적어도 약 1 분인 유전체 재료의 에칭 시간.Exemplary operating conditions for a plasma etch reactor to etch a dielectric material such as SiO 2 are as follows: a wafer diameter of about 200 mm or 300 mm; A dielectric material thickness on the substrate of at least about 200 nm; A dielectric material density having a theoretical density of at least about 90%; A bottom electrode temperature of from about 0 DEG C to about 90 DEG C; A chamber pressure of from about 0 Torr to 2 Torr, preferably up to about 200 mTorr; A substrate temperature of from about 20 캜 to 200 캜, preferably from 20 캜 to 50 캜; An etching gas flow rate of about 10 sccm to about 1,000 sccm; The total dual frequency power delivered between the top electrode and the bottom electrode at least about 2,500 watts; And an etching time of the dielectric material of at least about 1 minute.

다양한 에칭 가스는 상이한 유전체 재료를 에칭하는데 사용될 수 있다. 에칭 가스는 하나 이상의 할로겐 함유 가스, 하나 이상의 산소 함유 가스 및/또는 하나 이상의 질소 함유 가스를 포함할 수 있다. 통상의 에칭 가스 혼합물은 예를 들어, Cl2, HCl 및 BCl3 과 같은 염소 함유 가스; CF4, C2F6, C3F8, C4F8, CHF3, CH2F2, CH3F, NF3 및 SF6 과 같은 불소 함유 가스; O2, CO, H2O 및 SO2 와 같은 산소 함유 가스; N2 및 NH3 와 같은 질소 함유 가스, 및 He, Ne, Kr, Xe 및 Ar 과 같은 불활성 가스와 기타 가스를 포함할 수는 있지만, 이에 한정되지는 않는다.The various etch gases may be used to etch different dielectric materials. The etching gas may comprise one or more halogen containing gas, one or more oxygen containing gas and / or one or more nitrogen containing gas. Conventional etching gas mixtures include, for example, chlorine-containing gases such as Cl 2 , HCl and BCl 3 ; A fluorine-containing gas such as CF 4 , C 2 F 6 , C 3 F 8 , C 4 F 8 , CHF 3 , CH 2 F 2 , CH 3 F, NF 3 and SF 6 ; Oxygen-containing gases such as O 2 , CO, H 2 O and SO 2 ; Nitrogen-containing gases such as N 2 and NH 3 , and inert gases such as He, Ne, Kr, Xe, and Ar, and other gases.

에칭 가스 혼합물은 불활성 캐리어 가스를 포함하는 것이 바람직하다. 산화물, 질화물, 또는 그 조합과 같은 유전체 재료의 플라즈마 에칭 동안에, 캐리어 가스는 유전체 재료를 스퍼터링할 수 있으므로, 전체적인 에칭 레이트를 증가시키는데 있어서 유리할 수 있다. 무거운 희가스 (noble gas) 는 낮은 이온화 전위를 갖고, 주어진 RF 전력에서 스퍼터링 레이트를 향상시킬 수 있는 이온을 형성한다. 또한, 희가스의 낮은 이온화 전위는 기판의 표면 위에 균일한 플라즈마를 생성하는 것을 도울 수 있다. 예시적인 캐리어 가스는 헬륨, 네온, 아르곤, 크립톤 및/또는 크세논을 포함한다. 아르곤은 바람직한 불활성 캐리어 가스이다. 이들 및 기타 가스는 에칭 가스 혼합물에서 함께 사용될 수도 있다.The etching gas mixture preferably comprises an inert carrier gas. During plasma etching of dielectric materials such as oxides, nitrides, or combinations thereof, the carrier gas can sputter the dielectric material, which can be advantageous in increasing the overall etch rate. Heavy noble gases have low ionization potentials and form ions that can improve the sputtering rate at a given RF power. In addition, the low ionization potential of the rare gas can help to produce a uniform plasma on the surface of the substrate. Exemplary carrier gases include helium, neon, argon, krypton, and / or xenon. Argon is the preferred inert carrier gas. These and other gases may be used together in an etching gas mixture.

캘리포니아주 프리몬트에 위치한 Lam Research Corporation 으로부터 입수 가능한 2300 Exelan® 또는 Exelan®HPT 이중 주파수 중밀도 평행판 플라즈마 챔버에서 실행되는 유전체 에칭 공정의 일 예는 아래에 개시되어 있는데, 주된 에칭 단계에서의 에칭 가스 화학적 성질은 옥타플루오로시클로부탄 (C4F8), 디플루오로메탄 (CH2F2), 질소 (N2) 및 아르곤 (Ar) 의 혼합물이다. 부가적인 에칭 가스를 포함할 수도 있는 부가적인 에칭 단계가 사용될 수 있다. 가스 혼합물의 최적 유량 및 비율이 플라즈마 에칭 리액터, 기판 크기 등의 선택에 따라 변할 수도 있지만, 300 mm 실리콘 웨이퍼 상에서 산화실리콘층을 에칭하는 경우에, 에칭 가스의 개별 성분은 2 내지 20 sccm 헥사플루오로-1,3-부타디엔 (C4F6); 2 내지 20 sccm C4F8; 1 내지 10 sccm CH2F2; 50 내지 200 sccm 테트라플루오로메탄 (CF4); 50 내지 200 sccm N2; 200 내지 800 sccm Ar; 100 내지 400 sccm 일산화탄소 (CO); 및 100 내지 400 sccm 산소 (O2) 의 유량으로 리액터 챔버에 공급될 수 있다. 에칭 동안에, 챔버 압력은 1 내지 500 mTorr 로 설정될 수 있고, 바람직하게는, 5 내지 200 mTorr 로 설정될 수 있다. 에칭된 구조의 기타 층에 대해 원하는 선택도를 달성하기 위해, 주된 에칭 단계 동안에 CH2F2 의 유량에 대한 C4F8 의 유량의 비는 0.5 내지 4 일 수 있고, 바람직하게는, 1 내지 3 일 수 있다.An example of a dielectric etch process performed in a 2300 Exelan ® or Exelan ® HPT dual frequency medium density parallel plate plasma chamber available from Lam Research Corporation, Fremont, Calif., Is described below, in which the etch gas chemistry The property is a mixture of octafluorocyclobutane (C 4 F 8 ), difluoromethane (CH 2 F 2 ), nitrogen (N 2 ) and argon (Ar). Additional etching steps that may include additional etch gases may be used. In the case of etching the silicon oxide layer on a 300 mm silicon wafer, the individual components of the etching gas may be in the range of 2 to 20 sccm hexafluoro l, 3-butadiene (C 4 F 6); 2 to 20 sccm C 4 F 8 ; 1 to 10 sccm CH 2 F 2 ; 50 to 200 sccm tetrafluoromethane (CF 4 ); 50 to 200 sccm N 2 ; 200 to 800 sccm Ar; 100 to 400 sccm carbon monoxide (CO); And a flow rate of 100 to 400 sccm oxygen (O 2 ). During the etching, the chamber pressure can be set to 1 to 500 mTorr, and preferably to 5 to 200 mTorr. To achieve the desired selectivity for other layers of the etched structure, the ratio of the flow rate of C 4 F 8 to the flow rate of CH 2 F 2 during the main etching step may be from 0.5 to 4, 3 < / RTI >

유전체 에칭 동안에, 바람직하게는, 상부 전극은 전기적으로 접지되고, 하나 이상의 전력 레벨 (및 주파수) 의 RF 전력은 하부 전극에 공급된다. 또한, 바람직하게는, 상부 전극은 이중 가스 공급 (dual gas feed) 구성을 포함할 수 있는 샤워헤드형 전극을 포함하고, 샤워헤드형 전극은 센터 존 및 센터 존을 둘러싸는 방사상 (에지) 존과 같은 존을 통과하는 2 개 이상의 가스 공급을 포함한다. 이중 가스 공급 구성에서는, 센터 존을 통과하며, 또한 둘러싼 주변으로 연장되는 에지 존을 통과하는 에칭 가스의 유량을 제어할 수 있다 (즉, 유량비를 제어할 수 있다). 이중 가스 공급 구성을 갖는 샤워헤드형 전극을 포함한 플라즈마 에칭 리액터의 일 예는 공동 소유인 미국 특허 제 6,245,192 호에 개시되어 있고, 그 개시내용은 본원에서 참조로서 통합하고 있다.During dielectric etching, preferably, the top electrode is electrically grounded and RF power at one or more power levels (and frequency) is applied to the bottom electrode. Also preferably, the upper electrode comprises a showerhead-shaped electrode which may comprise a dual gas feed configuration, the showerhead-like electrode having a radial (edge) zone surrounding the center zone and a center zone, It includes two or more gas supplies that pass through the same zone. In the dual gas supply configuration, the flow rate of the etching gas passing through the center zone and also through the edge zone extending into the surrounding area can be controlled (i.e., the flow rate ratio can be controlled). One example of a plasma etch reactor including a showerhead-type electrode having a dual gas supply configuration is disclosed in co-owned US Pat. No. 6,245,192, the disclosure of which is incorporated herein by reference.

유전체층은 질화실리콘, 도핑되지 않은 또는 도핑된 산화실리콘 (예를 들어, 불화된 산화실리콘), 스핀 온 글래스 (spin-on glass), BPSG (boron phosphate silicate glass) 또는 PSG (phosphate silicate glass) 와 같은 실리케이트 글래스, 도핑되지 않은 또는 도핑된 열 성장 산화물, 도핑되지 않은 또는 도핑된 TEOS (tetraethoxyorthosilicate) 퇴적된 산화실리콘, 및 무기 또는 유기 저유전율 (즉, low-k) 층을 포함할 수 있다. 그러한 층은 다마신 (damascene) 구조의 일부를 형성할 수 있다. 유전체 재료용 도펀트는 붕소, 불소, 인 및/또는 비소를 포함할 수 있지만, 이에 한정되는 것은 아니다.The dielectric layer may be formed of a material selected from the group consisting of silicon nitride, undoped or doped silicon oxide (e.g., fluorinated silicon oxide), spin-on glass, boron phosphate silicate glass (BPSG) Silicate glass, undoped or doped thermal growth oxide, undoped or doped TEOS (tetraethoxyorthosilicate) deposited silicon oxide, and an inorganic or organic low dielectric constant (i.e., low-k) layer. Such a layer may form part of a damascene structure. The dopant for the dielectric material may include, but is not limited to, boron, fluorine, phosphorus, and / or arsenic.

유전체층은 반도체 기판 상에 형성될 수 있고, 또는 유전체층은 전도성 또는 반도체 층 상에 형성될 수 있다. 예를 들어, 유전체층은 다결정 실리콘과 같은 전도성 또는 반도체 층이나, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴, 질화티타늄과 같은 질화물, 규화티타늄, 규화코발트, 규화텅스텐, 규화몰리브덴과 같은 규화물 등을 포함한 금속층 위에 있을 수 있다.The dielectric layer may be formed on the semiconductor substrate, or the dielectric layer may be formed on the conductive or semiconductor layer. For example, the dielectric layer may be formed of a conductive or semiconductor layer such as polycrystalline silicon or a metal layer containing a silicide such as aluminum, copper, titanium, tungsten, molybdenum, nitride such as titanium nitride, titanium silicide, cobalt silicide, tungsten silicide, molybdenum silicide, Can be on.

도 4 는 에지 링 어셈블리가 커플링용 링보다는 베이스플레이트 상에 장착될 수 있는 방법의 변화를 도시한다. 도 4 에 도시된 바와 같이, 에지 링 어셈블리 (470) 의 에지 링 (480) 과 유전체 스페이서 링 (485) 은 베이스플레이트 (460) 의 표면 상에 지지되고, 기판 (410) 은 기판 지지체 (450) 의 지지체 표면 (454) 상에 유지되는데, 이 기판 지지체 (450) 의 지지체 표면 (454) 은 베이스플레이트 (460) 의 중심부에 포함되어, 기판 지지체의 표면은 기판 돌출 부분 아래에 있는 에지 링 어셈블리의 컴포넌트의 상부 표면보다 수직으로 높아지게 된다. 에지 링 어셈블리 (470) 로부터 베이스플레이트 (460) 로의 열 전달을 촉진하기 위해, 가스 공급원 (430) 은 하나 이상의 통로 (432) 를 통해 열 전달 가스를 에지 링 어셈블리의 컴포넌트와 베이스플레이트 및/또는 기판 지지체 간의 인터페이스 내에 공급할 수 있다. 바람직한 열 전달 가스는 헬륨이다.Figure 4 illustrates a variation of how an edge ring assembly may be mounted on a base plate rather than a coupling ring. The edge ring 480 of the edge ring assembly 470 and the dielectric spacer ring 485 are supported on the surface of the base plate 460 and the substrate 410 is supported on the substrate support 450, The support surface 454 of the substrate support 450 is contained in the center of the base plate 460 and the surface of the substrate support is in contact with the edge of the edge ring assembly Lt; RTI ID = 0.0 > vertically < / RTI > To facilitate heat transfer from the edge ring assembly 470 to the base plate 460, the gas supply source 430 supplies heat transfer gas through one or more passageways 432 to the components of the edge ring assembly and / Can be fed into the interface between the supports. A preferred heat transfer gas is helium.

에지 링 어셈블리를 사용하여 반도체 기판의 더 균일한 플라즈마 에칭을 달성할 수 있을 것으로 생각된다. 특히, 에지 링 어셈블리는 기판의 에지 근방의 RF 임피던스 경로를 조작하는데 사용될 수 있다. RF 임피던스 경로는 유전체 스페이서 링과 에지 링을 위한 재료의 선택에 의해 제어될 수 있다.It is believed that a more uniform plasma etch of the semiconductor substrate can be achieved using the edge ring assembly. In particular, the edge ring assembly can be used to manipulate the RF impedance path near the edge of the substrate. The RF impedance path can be controlled by the choice of material for the dielectric spacer ring and the edge ring.

일반적으로, 전원 공급된 하부 전극으로부터 기판 지지체와 기판 모두를 통 해 플라즈마에 이르는 RF 임피던스 경로는 하부 전극의 주변부로부터 플라즈마에 이르는 RF 임피던스 경로와 상이할 수 있다. 기판 지지체와 기판으로부터의 에지 효과로 인해, 플라즈마 밀도가 기판에 걸쳐 균일하지 않을 수 있으므로, 에칭이 균일하지 않을 수 있다.Generally, the RF impedance path from the powered bottom electrode to the plasma through both the substrate support and the substrate may be different from the RF impedance path from the periphery of the bottom electrode to the plasma. Due to the edge effects from the substrate support and substrate, the plasma density may not be uniform across the substrate, so the etch may not be uniform.

이론에 구속되지 않고, 유전체 스페이서 링은 에지 링으로의 RF 커플링을 감소시키고, 기판 주변으로의 RF 커플링을 증가시킬 것으로 생각된다. 기판 주변으로의 RF 커플링을 증가시킴으로써, 기판의 주변부에서 에칭 레이트를 증가시킬 수 있다. 또한, 기판 지지체 주위에서 유전체 스페이서 링의 통합은 기판 에지를 따라 또한 기판 에지의 아래쪽에서 폴리머의 빌드업을 감소시킨다. 통상, 그러한 폴리머는 (예를 들어, 포토레지스트 및/또는 유전체 재료를 에칭한 부산물로서) 에칭 단계 동안에 생성된다.Without being bound by theory, it is believed that the dielectric spacer ring reduces RF coupling to the edge ring and increases RF coupling to the periphery of the substrate. By increasing RF coupling around the substrate, the etch rate at the periphery of the substrate can be increased. Also, the integration of the dielectric spacer rings around the substrate support reduces the build up of polymer along the substrate edge and also below the substrate edge. Typically, such polymers are produced during the etching step (e. G., As a by-product of etching photoresist and / or dielectric materials).

베벨 폴리머 (예를 들어, 기판의 에지 및/또는 아래쪽에 있는 폴리머) 의 빌드업은 유전체 에칭을 완료한 후에 측정되었다. 기판 지지체 주위에 유전체 스페이서 링을 통합하지 않고, 10 초 (제 1 오버 에칭) 및 30 초 (제 2 오버 에칭) 의 표준 오버 에칭 시간동안 에칭 공정을 완료한 후에, 55 내지 65 nm 의 베벨 폴리머 빌드업을 관찰하였다. 오버 에칭 시간을 증가시킴으로써 베벨 폴리머 빌드업이 증가한 것을 관찰하였다.The build up of the bevel polymer (e.g., the polymer at the edge and / or the bottom of the substrate) was measured after the dielectric etch was completed. After completing the etching process for a standard over etch time of 10 seconds (first over etch) and 30 seconds (second over etch) without incorporating a dielectric spacer ring around the substrate support, a bevel polymer build of 55-65 nm Up. It was observed that the bevel polymer buildup was increased by increasing the overetching time.

유전체 스페이서 링의 사용으로 인해, 베벨 폴리머 빌드업의 양이 감소하였다. 에지 링과 기판 지지체 간에 유전체 스페이서 링 (2.62 mm 높이 × 0.965 mm 폭) 을 통합함으로써, 표준 오버 에칭뿐만 아니라 표준 오버 에칭 시간의 50% 증가 (즉, 15 초의 제 1 오버 에칭 및 45 초의 제 2 오버 에칭) 후에도, 기판에는 베벨 폴리머가 사실상 없었다. 그러나, 오버 에칭 시간이 더 증가한 후에는, 베벨 폴리머 빌드업이 관찰되었다. 표 1 은 바람직한 유전체 에칭 공정과 관련하여 오버 에칭 시간의 함수로서 (nm 단위로 측정된) 베벨 폴리머 빌드업의 양을 요약한 것이다.Due to the use of dielectric spacer rings, the amount of bevel polymer buildup has decreased. By incorporating a dielectric spacer ring (2.62 mm high x 0.965 mm wide) between the edge ring and the substrate support, a 50% increase in standard over etch time as well as a standard over etch (i.e., a 15 second over etch and a 45 second over Etching), there was virtually no bevel polymer on the substrate. However, after the overetching time was further increased, a bevel polymer buildup was observed. Table 1 summarizes the amount of bevel polymer buildup (measured in nm) as a function of overetching time with respect to the preferred dielectric etch process.

베벨 폴리머 빌드업에 대한 유전체 스페이서 링의 영향Effect of Dielectric Spacer Ring on Bevel Polymer Buildup 오버 에칭 조건Over-etching conditions 베벨 폴리머
(유전체 스페이서 링 없음)
Bevel polymer
(No dielectric spacer ring)
베벨 폴리머
(유전체 스페이서 링 있음)
Bevel polymer
(With dielectric spacer ring)
표준Standard 55 내지 65 nm55 to 65 nm 없음none +50%+ 50% >65 nm> 65 nm 없음none +75%+ 75% >65 nm> 65 nm ∼16 nm~ 16 nm +100%+ 100% >65 nm> 65 nm ∼30 nm~ 30 nm +200%+ 200% >65 nm> 65 nm ∼75 nm~ 75 nm

플라즈마 에칭 챔버에서 반도체 기판을 에칭하는 바람직한 방법은 플라즈마 에칭 챔버 내의 기판 지지체의 기판 표면 상에 기판을 장착하는 단계, 챔버 내에 에칭 가스를 공급하는 단계, 에칭 가스를 활성화하여 기판의 노출된 표면에 인접하여 플라즈마를 발생시키는 단계 및 플라즈마로 기판을 에칭하는 단계를 포함하고, 에지 링 및 유전체 스페이서 링을 포함한 에지 링 어셈블리는 기판 지지체를 둘러싼다. 에지 링은 기판 지지체를 둘러싸고, 유전체 스페이서 링은 에지 링과 기판 지지체 간에 삽입된다. 유전체 스페이서 링이 소모 부품이기 때문에, 소정 개수의 반도체 기판을 에칭한 후에, 유전체 스페이서 링은 챔버로부터 제거될 수 있고, 다른 유전체 스페이서 링으로 교체될 수 있다.A preferred method of etching a semiconductor substrate in a plasma etch chamber includes mounting a substrate on a substrate surface of a substrate support in a plasma etch chamber, supplying an etch gas into the chamber, activating the etch gas to adjacent And etching the substrate with a plasma, wherein the edge ring assembly including the edge ring and the dielectric spacer ring surrounds the substrate support. The edge ring surrounds the substrate support, and the dielectric spacer ring is inserted between the edge ring and the substrate support. Because the dielectric spacer ring is a consumable part, after etching a predetermined number of semiconductor substrates, the dielectric spacer ring can be removed from the chamber and replaced with another dielectric spacer ring.

"상부" 또는 "하부" 전극으로서 전극을 지칭하고 도면에 도시하였지만, 에칭되는 기판을 그 처리 면이 위보다는 아래를 향하게 고정 (즉, 클램핑) 하도록, 에지 링 어셈블리가 통합된 플라즈마 에칭 챔버를 구성할 수 있다. 또한, 에지 링 어셈블리는 원형이 아닌 기판을 에칭하는데 사용될 수 있다.Although the electrode is referred to as the "upper" or "lower" electrode and is shown in the figures, the edge ring assembly is configured to incorporate an integrated plasma etch chamber so that the substrate to be etched is fixed (i.e., clamped) can do. The edge ring assembly can also be used to etch non-circular substrates.

본원에서 사용되는 용어 "comprises" 및 "comprising" 는 기재된 특징, 단계 또는 컴포넌트의 존재를 지정하도록 선택되지만, 이들 용어의 사용은 하나 이상의 다른 특징, 단계, 컴포넌트 또는 그 그룹의 존재 또는 추가를 배제하지 않는다.As used herein, the terms "comprises" and "comprising" are chosen to designate the presence of stated features, steps or components, but the use of the terms does not exclude the presence or addition of one or more other features, steps, components or groups thereof Do not.

본원에서 상술한 참조 모두는, 각각의 개별적인 참조가 본원에 그 전체로서 참조로서 통합된 것으로 구체적ㆍ개별적으로 지시된 것과 같은 범위까지 그 전체로서 참조로서 통합되어 있다.All references cited herein are incorporated by reference in their entirety to the same extent as if each individual reference was specifically and individually indicated to be incorporated by reference in its entirety.

이상, 바람직한 실시예를 참조하여 본 발명을 설명하였지만, 당업자라면 명확히 알 수 있는 바와 같이, 변형 및 수정을 사용할 수도 있다는 것을 이해해야 한다. 그러한 변형 및 수정은 첨부된 청구항에 의해 규정된 것과 같은 본 발명의 범위 및 영역 내에 있는 것으로 생각되어야 한다.While the invention has been described with reference to preferred embodiments thereof, it should be understood that modifications and variations may be resorted to, as will be apparent to those skilled in the art. Such variations and modifications are to be considered as being within the scope and spirit of the invention as defined by the appended claims.

Claims (29)

플라즈마 에칭 챔버에서 기판 지지체 표면을 둘러싸도록 구성된 에지 링 어셈블리로서,An edge ring assembly configured to surround a substrate support surface in a plasma etch chamber, 상기 챔버에서 상기 기판 지지체 표면 상에 위치한 기판 아래에 놓이고, 상기 기판의 하부 표면과 에지 링의 상부 표면 간에 간극 (clearance gap) 을 제공하도록 하는 치수를 갖는 상기 에지 링; 및The edge ring having a dimension that is below the substrate located on the substrate support surface in the chamber and has a dimension to provide a clearance gap between the lower surface of the substrate and the upper surface of the edge ring; And 상기 에지 링과 상기 기판 지지체 표면 사이에서, 상기 기판 지지체 표면 상에 위치한 상기 기판의 하부 표면과 유전체 스페이서 링의 상부 표면 간에 간극을 제공하도록 하는 치수를 갖는 상기 유전체 스페이서 링을 포함하며,The dielectric spacer ring having a dimension between the edge ring and the substrate support surface to provide a gap between a lower surface of the substrate positioned on the substrate support surface and an upper surface of the dielectric spacer ring, 상기 유전체 스페이서 링은 커플링용 링의 상부 표면 또는 베이스플레이트의 상부 표면에 본딩되는, 에지 링 어셈블리.Wherein the dielectric spacer ring is bonded to the upper surface of the ring for coupling or the upper surface of the base plate. 삭제delete 제 1 항에 있어서,The method according to claim 1, 상기 에지 링과 상기 유전체 스페이서 링 간의 방사상 갭 (radial gap), 상기 유전체 스페이서 링과 상기 기판 지지체 표면 간의 방사상 갭, 또는 상기 양 방사상 갭 모두가 0.25 mm 보다 적은, 에지 링 어셈블리.Wherein a radial gap between the edge ring and the dielectric spacer ring, a radial gap between the dielectric spacer ring and the substrate support surface, or both radial gaps is less than 0.25 mm. 제 1 항에 있어서,The method according to claim 1, 상기 유전체 스페이서 링은 0.5 내지 2.5 mm 의 폭 및 1 내지 3 mm 의 높이를 갖는, 에지 링 어셈블리.Wherein the dielectric spacer ring has a width of 0.5 to 2.5 mm and a height of 1 to 3 mm. 제 1 항에 있어서,The method according to claim 1, 상기 유전체 스페이서 링은 커플링용 링의 방사상 안쪽 표면 상에 형성된 축방향으로 상향 연장되는 부분을 포함하는, 에지 링 어셈블리.Wherein the dielectric spacer ring comprises an axially upwardly extending portion formed on the radially inner surface of the ring for coupling. 제 1 항에 있어서,The method according to claim 1, 상기 유전체 스페이서 링과 상기 에지 링이 상기 챔버에 장착될 때, 상기 유전체 스페이서 링의 상부 표면과 상기 에지 링의 가장 안쪽 상부 표면은 사실상 동일 평면인, 에지 링 어셈블리.Wherein an upper surface of the dielectric spacer ring and an innermost upper surface of the edge ring are substantially coplanar when the dielectric spacer ring and the edge ring are mounted to the chamber. 제 1 항에 있어서,The method according to claim 1, 상기 유전체 스페이서 링, 상기 커플링용 링, 또는 상기 유전체 스페이서 링 및 상기 커플링용 링 양자 모두가 석영으로 이루어지는, 에지 링 어셈블리.Wherein the dielectric spacer ring, the coupling ring, or both the dielectric spacer ring and the coupling ring are made of quartz. 제 1 항에 있어서,The method according to claim 1, 상기 에지 링은 실리콘, 탄화실리콘, 산화알루미늄, 질화알루미늄, 질화실리 콘, 석영 또는 그 조합으로 이루어진, 에지 링 어셈블리.Wherein the edge ring is comprised of silicon, silicon carbide, aluminum oxide, aluminum nitride, silicon nitride, quartz, or a combination thereof. 제 1 항에 있어서,The method according to claim 1, 상기 에지 링의 방사상 바깥쪽 부분은 상기 유전체 스페이서 링보다 두꺼운, 에지 링 어셈블리.Wherein the radially outer portion of the edge ring is thicker than the dielectric spacer ring. 제 1 항에 있어서,The method according to claim 1, 상기 커플링용 링 또는 상기 베이스플레이트를 통해 연장되는 적어도 하나의 가스 통로를 더 포함하고, 상기 가스 통로는 상기 에지 링, 상기 유전체 스페이서 링, 또는 상기 에지 링 및 상기 유전체 스페이서 링 양자 모두의 인접 표면에 열 전달 가스를 공급하도록 구성되는, 에지 링 어셈블리.Further comprising at least one gas passageway extending through the coupling ring or the base plate, the gas passageway extending along the edge ring, the dielectric spacer ring, or adjacent surfaces of both the edge ring and the dielectric spacer ring An edge ring assembly configured to supply a heat transfer gas. 플라즈마 에칭 챔버에서 기판 지지체 표면을 둘러싸도록 구성된 에지 링 어셈블리를 포함한 상기 플라즈마 에칭 챔버로서,The plasma etch chamber comprising an edge ring assembly configured to surround a substrate support surface in a plasma etch chamber, 상기 기판 지지체 표면을 갖는 기판 지지체;A substrate support having the substrate support surface; 상기 챔버에서 상기 기판 지지체 표면 상에 위치한 기판 아래에 놓이고, 상기 기판의 하부 표면과 에지 링의 상부 표면 간에 간극 (clearance gap) 을 제공하도록 하는 치수를 갖는 상기 에지 링; 및The edge ring having a dimension that is below the substrate located on the substrate support surface in the chamber and has a dimension to provide a clearance gap between the lower surface of the substrate and the upper surface of the edge ring; And 상기 에지 링과 상기 기판 지지체 표면 사이에서, 상기 기판 지지체 표면 상에 위치한 상기 기판의 하부 표면과 유전체 스페이서 링의 상부 표면 간에 간극을 제공하도록 하는 치수를 갖는 상기 유전체 스페이서 링을 포함하며,The dielectric spacer ring having a dimension between the edge ring and the substrate support surface to provide a gap between a lower surface of the substrate positioned on the substrate support surface and an upper surface of the dielectric spacer ring, 상기 유전체 스페이서 링은 커플링용 링의 상부 표면 또는 베이스플레이트의 상부 표면에 본딩되는, 플라즈마 에칭 챔버.Wherein the dielectric spacer ring is bonded to the upper surface of the ring for coupling or the upper surface of the base plate. 제 11 항에 있어서,12. The method of claim 11, 상기 기판은 상기 기판의 바깥쪽 에지가 상기 유전체 스페이서 링과 상기 에지 링의 방사상 안쪽 부분 위에 걸치도록 상기 기판 지지체 표면 상에 장착되는, 플라즈마 에칭 챔버.Wherein the substrate is mounted on the substrate support surface such that an outer edge of the substrate extends over the radially inner portion of the dielectric spacer ring and the edge ring. 제 12 항에 있어서,13. The method of claim 12, 상기 유전체 스페이서 링은 0.5 내지 2.5 mm 의 폭 및 1 내지 3 mm 의 높이를 갖는, 플라즈마 에칭 챔버.Wherein the dielectric spacer ring has a width of 0.5 to 2.5 mm and a height of 1 to 3 mm. 제 11 항에 있어서,12. The method of claim 11, 상기 기판 지지체 표면의 면과 상기 유전체 스페이서 링의 상부 표면의 면 간의 거리는 0.25 mm 보다 적고, 상기 기판 지지체 표면의 면과 상기 에지 링의 방사상 안쪽 부분의 상부 표면의 면 간의 거리는 0.25 mm 보다 적은, 플라즈마 에칭 챔버.Wherein the distance between the surface of the substrate support surface and the surface of the upper surface of the dielectric spacer ring is less than 0.25 mm and the distance between the surface of the substrate support surface and the surface of the upper surface of the radially inner portion of the edge ring is less than 0.25 mm, Etch chamber. 제 12 항에 있어서,13. The method of claim 12, 상기 기판의 하부 표면과 상기 유전체 스페이서 링의 상부 표면 간의 갭은 0.25 mm 보다 적고, 상기 기판의 하부 표면과 상기 에지 링의 방사상 안쪽 부분의 상부 표면 간의 갭은 0.25 mm 보다 적은, 플라즈마 에칭 챔버.Wherein the gap between the lower surface of the substrate and the upper surface of the dielectric spacer ring is less than 0.25 mm and the gap between the lower surface of the substrate and the upper surface of the radially inner portion of the edge ring is less than 0.25 mm. 제 11 항에 있어서,12. The method of claim 11, 상기 플라즈마 에칭 챔버는 상기 기판 지지체에 면하는 상부 샤워헤드 전극을 갖는 평행판 리액터를 포함하는, 플라즈마 에칭 챔버.Wherein the plasma etch chamber comprises a parallel plate reactor having an upper showerhead electrode facing the substrate support. 제 11 항에 있어서,12. The method of claim 11, 상기 기판 지지체는 RF 구동 전극을 포함하는, 플라즈마 에칭 챔버.Wherein the substrate support comprises an RF driving electrode. 제 11 항에 있어서,12. The method of claim 11, 상기 기판 지지체는 정전 척을 포함하는, 플라즈마 에칭 챔버.Wherein the substrate support comprises an electrostatic chuck. 제 11 항에 있어서,12. The method of claim 11, 상기 에지 링 어셈블리는 (ⅰ) 상기 에지 링과 베이스플레이트 간의 RF 커플링, (ⅱ) 상기 에지 링과 상기 베이스플레이트 간의 아크 발생 및 (ⅲ) 상기 기판 지지체 표면 상에 지지되는 상기 기판의 아래쪽, 에지, 또는 아래쪽 및 에지 양자 모두 상의 폴리머 퇴적 중 적어도 하나를 감소시키도록 구성되는, 플라즈마 에칭 챔버.The edge ring assembly includes: (i) RF coupling between the edge ring and the base plate; (ii) arc generation between the edge ring and the base plate; and (iii) , Or polymer deposition on both the bottom and the edge. ≪ Desc / Clms Page number 13 > 제 11 항의 플라즈마 에칭 챔버에서 반도체 기판 상에 형성된 층을 에칭하는 방법으로서,12. A method of etching a layer formed on a semiconductor substrate in the plasma etch chamber of claim 11, 상기 챔버 안쪽에 위치한 상기 기판 지지체 표면 상에 상기 반도체 기판을 지지하는 단계;Supporting the semiconductor substrate on the substrate support surface located inside the chamber; 상기 챔버에 에칭 가스를 공급하는 단계;Supplying an etching gas to the chamber; 상기 기판의 노출된 표면에 인접하여 플라즈마를 형성하는 단계; 및Forming a plasma adjacent the exposed surface of the substrate; And 상기 반도체 기판 상에 형성된 하나 이상의 층을 상기 플라즈마로 에칭하는 단계를 포함하는, 에칭 방법.And etching the at least one layer formed on the semiconductor substrate with the plasma. 제 11 항의 플라즈마 에칭 챔버에서 상기 유전체 스페이서 링을 교체하는 방법으로서,A method of replacing the dielectric spacer ring in the plasma etch chamber of claim 11, 소정 개수의 반도체 기판을 에칭한 후에, 상기 챔버로부터 상기 유전체 스페이서 링을 제거하는 단계 및 상기 유전체 스페이서 링을 다른 유전체 스페이스 링으로 교체하는 단계를 포함하는, 유전체 스페이서 링 교체 방법.Removing the dielectric spacer ring from the chamber after etching a predetermined number of semiconductor substrates, and replacing the dielectric spacer ring with another dielectric spacer ring. 플라즈마 에칭 챔버에서 기판 지지체 표면 상에 위치한 기판의 하부 표면과 유전체 스페이서 링의 상부 표면 간에 간극 (clearance gap) 을 제공하도록 하는 치수를 갖는 상기 유전체 스페이서 링으로서,The dielectric spacer ring having a dimension to provide a clearance gap between the lower surface of the substrate positioned on the substrate support surface in the plasma etch chamber and the upper surface of the dielectric spacer ring, 상기 유전체 스페이서 링은 또한, 상기 기판 아래에 놓이고, 상기 기판의 하부 표면과 에지 링의 상부 표면 간에 간극을 제공하도록 하는 치수를 갖는 상기 에지 링에 의해 둘러싸이도록 하는 치수를 갖고,The dielectric spacer ring also has a dimension that lies beneath the substrate and is surrounded by the edge ring having a dimension to provide a gap between the lower surface of the substrate and the upper surface of the edge ring, 상기 유전체 스페이서 링은 커플링용 링의 상부 표면 또는 베이스플레이트의 상부 표면에 본딩되는, 유전체 스페이서 링.Wherein the dielectric spacer ring is bonded to the upper surface of the ring for coupling or the upper surface of the base plate. 제 22 항에 있어서,23. The method of claim 22, 상기 유전체 스페이서 링은 0.5 내지 2.5 mm 의 폭 및 1 내지 3 mm 의 높이를 갖는, 유전체 스페이서 링.Wherein the dielectric spacer ring has a width of 0.5 to 2.5 mm and a height of 1 to 3 mm. 제 22 항에 있어서,23. The method of claim 22, 상기 유전체 스페이서 링은 커플링용 링의 방사상 안쪽 표면 상에 형성된 축방향으로 상향 연장되는 부분을 포함하는, 유전체 스페이서 링.Wherein the dielectric spacer ring comprises an axially upwardly extending portion formed on the radially inner surface of the coupling ring. 제 22 항에 있어서,23. The method of claim 22, 상기 유전체 스페이서 링과 상기 에지 링이 상기 플라즈마 에칭 챔버에 장착될 때, 상기 유전체 스페이서 링의 상부 표면과 상기 에지 링의 가장 안쪽 상부 표면은 사실상 동일 평면인, 유전체 스페이서 링.Wherein an upper surface of the dielectric spacer ring and an innermost upper surface of the edge ring are substantially coplanar when the dielectric spacer ring and the edge ring are mounted to the plasma etch chamber. 제 22 항에 있어서,23. The method of claim 22, 상기 유전체 스페이서 링은 석영으로 이루어지는, 유전체 스페이서 링.Wherein the dielectric spacer ring is made of quartz. 제 22 항에 있어서,23. The method of claim 22, 상기 유전체 스페이서 링은 0.95 내지 1.0 mm 의 폭 및 2.5 내지 2.7 mm 의 높이를 갖는, 유전체 스페이서 링.Wherein the dielectric spacer ring has a width of 0.95 to 1.0 mm and a height of 2.5 to 2.7 mm. 제 22 항에 있어서,23. The method of claim 22, 상기 유전체 스페이서 링은 상기 플라즈마 에칭 챔버에서 ESC (electrostatic chuck) 를 둘러싸도록 구성되고, 상기 유전체 스페이서 링은 상기 ESC 상에 지지되는 웨이퍼의 돌출 부분보다 좁은 폭을 갖는, 유전체 스페이서 링.Wherein the dielectric spacer ring is configured to surround an electrostatic chuck (ESC) in the plasma etch chamber, the dielectric spacer ring having a narrower width than the projecting portion of the wafer supported on the ESC. 제 24 항에 있어서,25. The method of claim 24, 상기 유전체 스페이서 링과 상기 커플링용 링은 단일체의 석영 재료로 이루어진, 유전체 스페이서 링.Wherein the dielectric spacer ring and the coupling ring are made of a monolithic quartz material.
KR1020087003093A 2005-08-08 2006-07-24 Edge ring assembly with dielectric spacer ring KR101432832B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/198,296 US20070032081A1 (en) 2005-08-08 2005-08-08 Edge ring assembly with dielectric spacer ring
US11/198,296 2005-08-08
PCT/US2006/028844 WO2007019049A2 (en) 2005-08-08 2006-07-24 Edge ring assembly with dielectric spacer ring

Publications (2)

Publication Number Publication Date
KR20080032163A KR20080032163A (en) 2008-04-14
KR101432832B1 true KR101432832B1 (en) 2014-08-26

Family

ID=37718175

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087003093A KR101432832B1 (en) 2005-08-08 2006-07-24 Edge ring assembly with dielectric spacer ring

Country Status (5)

Country Link
US (3) US20070032081A1 (en)
KR (1) KR101432832B1 (en)
CN (1) CN101238553B (en)
TW (1) TWI417957B (en)
WO (1) WO2007019049A2 (en)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US20070059938A1 (en) * 2005-09-15 2007-03-15 Hanako Kida Method and system for etching silicon oxide and silicon nitride with high selectivity relative to silicon
US7393788B2 (en) * 2006-02-10 2008-07-01 Cook Julie A Method and system for selectively etching a dielectric material relative to silicon
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
JP5317424B2 (en) 2007-03-28 2013-10-16 東京エレクトロン株式会社 Plasma processing equipment
US20100213170A1 (en) * 2007-06-22 2010-08-26 Ulvac, Inc. Etching method and etching apparatus
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
JP5264231B2 (en) * 2008-03-21 2013-08-14 東京エレクトロン株式会社 Plasma processing equipment
US8409355B2 (en) * 2008-04-24 2013-04-02 Applied Materials, Inc. Low profile process kit
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8547085B2 (en) * 2008-07-07 2013-10-01 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
KR200464037Y1 (en) 2009-10-13 2012-12-07 램 리써치 코포레이션 - edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
KR20120116923A (en) * 2009-11-30 2012-10-23 램 리써치 코포레이션 An electrostatic chuck with an angled sidewall
DE202010015933U1 (en) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont An edge ring arrangement for plasma etching chambers
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8268184B2 (en) 2010-06-29 2012-09-18 Tokyo Electron Limited Etch process for reducing silicon recess
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
US20120318455A1 (en) * 2011-06-14 2012-12-20 Andreas Fischer Passive compensation for temperature-dependent wafer gap changes in plasma processing systems
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
CN103165374B (en) * 2011-12-08 2017-05-10 中微半导体设备(上海)有限公司 Plasma processing device and edge ring applied to the same
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
CN103187232B (en) * 2011-12-28 2015-09-16 中微半导体设备(上海)有限公司 A kind of focusing ring reducing chip back surface generation polymer
US9252002B2 (en) 2012-07-17 2016-02-02 Applied Materials, Inc. Two piece shutter disk assembly for a substrate process chamber
JP1628116S (en) 2012-10-24 2019-04-01
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
CN103811247B (en) * 2014-02-17 2016-04-13 清华大学 For plasma etching focusing ring and there is its plasma etching apparatus
KR101594928B1 (en) * 2014-03-06 2016-02-17 피에스케이 주식회사 Apparatus and method for treating a substrate
JP6908603B2 (en) 2015-11-11 2021-07-28 グリーン, ツイード テクノロジーズ, インコーポレイテッド Seal ring and seal ring assembly for high temperature end applications
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US20180019169A1 (en) * 2016-07-12 2018-01-18 QMAT, Inc. Backing substrate stabilizing donor substrate for implant or reclamation
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11251026B2 (en) * 2017-03-31 2022-02-15 Mattson Technology, Inc. Material deposition prevention on a workpiece in a process chamber
KR101927936B1 (en) * 2017-06-09 2018-12-11 세메스 주식회사 Substrate treating apparatus
CN115938902A (en) * 2017-12-15 2023-04-07 朗姆研究公司 Toroidal structure and system for use in a plasma chamber
KR20200135550A (en) 2018-04-18 2020-12-02 어플라이드 머티어리얼스, 인코포레이티드 Two-piece shutter disc assembly with self-centering feature
KR102500219B1 (en) 2018-05-12 2023-02-14 어플라이드 머티어리얼스, 인코포레이티드 Pre-clean chamber with integrated shutter garage
KR20230106754A (en) * 2018-08-13 2023-07-13 램 리써치 코포레이션 Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
CN109767968B (en) * 2018-12-17 2021-06-08 北京北方华创微电子装备有限公司 Lower electrode structure and reaction chamber
CN111354672B (en) * 2018-12-21 2023-05-09 夏泰鑫半导体(青岛)有限公司 Electrostatic chuck and plasma processing apparatus
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11018046B2 (en) 2019-04-12 2021-05-25 Samsung Electronics Co., Ltd. Substrate processing apparatus including edge ring
US20220235459A1 (en) * 2019-06-18 2022-07-28 Lam Research Corporation Reduced diameter carrier ring hardware for substrate processing systems
KR102214333B1 (en) 2019-06-27 2021-02-10 세메스 주식회사 Apparatus and method for treating substrate
CN114008761A (en) * 2019-07-01 2022-02-01 应用材料公司 Tuning film properties by optimizing plasma-coupled materials
KR102116474B1 (en) * 2020-02-04 2020-05-28 피에스케이 주식회사 Substrate processing apparatus and substrate processing method
CN113097038B (en) * 2021-02-25 2022-07-15 长江存储科技有限责任公司 Etching device
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010080530A (en) * 1999-09-23 2001-08-22 리차드 에이치. 로브그렌 Gas distribution apparatus for semiconductor processing
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS5911629A (en) 1982-07-12 1984-01-21 Toshiba Corp Surface cleaning method
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US6140612A (en) * 1995-06-07 2000-10-31 Lam Research Corporation Controlling the temperature of a wafer by varying the pressure of gas between the underside of the wafer and the chuck
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
WO1997011482A2 (en) 1995-09-05 1997-03-27 Lsi Logic Corporation Removal of halogens and photoresist from wafers
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5667631A (en) * 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6149829A (en) * 1998-03-17 2000-11-21 James W. Mitzel Plasma surface treatment method and resulting device
US6551939B2 (en) * 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US6013984A (en) * 1998-06-10 2000-01-11 Lam Research Corporation Ion energy attenuation method by determining the required number of ion collisions
US7014788B1 (en) * 1998-06-10 2006-03-21 Jim Mitzel Surface treatment method and equipment
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
KR100292410B1 (en) * 1998-09-23 2001-06-01 윤종용 Process chamber for reducing particulate contamination for manufacturing semiconductor device
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6852636B1 (en) * 1999-12-27 2005-02-08 Lam Research Corporation Insitu post etch process to remove remaining photoresist and residual sidewall passivation
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6746961B2 (en) * 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
KR100657054B1 (en) * 2003-01-07 2006-12-13 동경 엘렉트론 주식회사 Plasma processing apparatus and focus ring
JP4991286B2 (en) * 2003-03-21 2012-08-01 東京エレクトロン株式会社 Method and apparatus for reducing substrate backside deposition during processing.
TWI488236B (en) * 2003-09-05 2015-06-11 Tokyo Electron Ltd Focusing ring and plasma processing device
JP4588391B2 (en) 2004-09-01 2010-12-01 芝浦メカトロニクス株式会社 Ashing method and ashing apparatus
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
KR20010080530A (en) * 1999-09-23 2001-08-22 리차드 에이치. 로브그렌 Gas distribution apparatus for semiconductor processing
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing

Also Published As

Publication number Publication date
US20070032081A1 (en) 2007-02-08
WO2007019049A3 (en) 2007-12-27
WO2007019049A2 (en) 2007-02-15
US8911589B2 (en) 2014-12-16
US20090186487A1 (en) 2009-07-23
TW200715402A (en) 2007-04-16
KR20080032163A (en) 2008-04-14
US20130292056A1 (en) 2013-11-07
CN101238553A (en) 2008-08-06
US8500953B2 (en) 2013-08-06
CN101238553B (en) 2014-07-02
TWI417957B (en) 2013-12-01

Similar Documents

Publication Publication Date Title
KR101432832B1 (en) Edge ring assembly with dielectric spacer ring
US6838012B2 (en) Methods for etching dielectric materials
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
EP1269529B1 (en) Method for improving uniformity and reducing etch rate variation of etching polysilicon
KR100274306B1 (en) Etching process
JP3792267B2 (en) Method of operating a high density plasma CVD reactor using both inductive and electrostatic coupling modes
US6379575B1 (en) Treatment of etching chambers using activated cleaning gas
US6624082B2 (en) Systems and methods for two-sided etch of a semiconductor substrate
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
US20140367047A1 (en) Edge ring assembly for plasma etching chambers
US20070051471A1 (en) Methods and apparatus for stripping
KR20050016080A (en) Selective etching of carbon-doped low-k dielectrics
JP2010177671A (en) Conductive collar surrounding semiconductor workpiece in plasma chamber
EP1068632B1 (en) Contamination controlling method and plasma processing chamber
US20010009177A1 (en) Systems and methods for two-sided etch of a semiconductor substrate
US20010049196A1 (en) Apparatus for improving etch uniformity and methods therefor
US20220349050A1 (en) Method and apparatus with high conductance components for chamber cleaning

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170731

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180731

Year of fee payment: 5