KR101029948B1 - A system and method for controlling plasma with an adjustable coupling to ground circuit - Google Patents

A system and method for controlling plasma with an adjustable coupling to ground circuit Download PDF

Info

Publication number
KR101029948B1
KR101029948B1 KR1020057011629A KR20057011629A KR101029948B1 KR 101029948 B1 KR101029948 B1 KR 101029948B1 KR 1020057011629 A KR1020057011629 A KR 1020057011629A KR 20057011629 A KR20057011629 A KR 20057011629A KR 101029948 B1 KR101029948 B1 KR 101029948B1
Authority
KR
South Korea
Prior art keywords
ground
electrode
ground electrode
coupling circuit
plasma
Prior art date
Application number
KR1020057011629A
Other languages
Korean (ko)
Other versions
KR20050089976A (en
Inventor
투창 니
웬리 콜리슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20050089976A publication Critical patent/KR20050089976A/en
Application granted granted Critical
Publication of KR101029948B1 publication Critical patent/KR101029948B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

플라즈마를 제어하는 시스템 및 방법이 기재되어 있다. 이 플라즈마 제어 시스템은, 전력공급 전극, 또다른 전극, 및 접지에 대하여 조정가능한 커플링 회로를 포함한 반도체 챔버를 포함한다. 전력공급 전극은 웨이퍼 또는 기판을 수용하도록 구성된다. 전력공급 전극과 전기적 커넥션을 이루도록 구성된 적어도 하나의 접지 전극이 존재한다. 접지 전극 중 적어도 하나는 접지에 대하여 조정가능한 커플링 회로에 전기적으로 연결된다. 접지에 대하여 조정가능한 커플링 회로는 접지 전극의 임피던스를 변경하도록 구성된다. 플라즈마의 이온 에너지는 접지에 대하여 조정가능한 커플링 회로에 의해 제어된다.Systems and methods for controlling plasma are described. The plasma control system includes a semiconductor chamber including a powered electrode, another electrode, and a coupling circuit that is adjustable relative to ground. The powered electrode is configured to receive a wafer or substrate. There is at least one ground electrode configured to make an electrical connection with the powered electrode. At least one of the ground electrodes is electrically connected to a coupling circuit that is adjustable relative to ground. The coupling circuit adjustable relative to ground is configured to change the impedance of the ground electrode. The ion energy of the plasma is controlled by a coupling circuit that is adjustable relative to ground.

플라즈마 제어, 이온 에너지, 플라즈마 밀도, 한정 링, 플라즈마 처리 챔버Plasma control, ion energy, plasma density, confinement ring, plasma processing chamber

Description

접지에 대하여 조정가능한 커플링 회로로 플라즈마를 제어하는 시스템 및 방법 {A SYSTEM AND METHOD FOR CONTROLLING PLASMA WITH AN ADJUSTABLE COUPLING TO GROUND CIRCUIT}A SYSTEM AND METHOD FOR CONTROLLING PLASMA WITH AN ADJUSTABLE COUPLING TO GROUND CIRCUIT}

본 발명은 반도체 제조에 관한 것이다. 보다 상세하게는, 본 발명은 반도체 제조 중의 플라즈마 처리에 관한 것이다.The present invention relates to semiconductor manufacturing. More specifically, the present invention relates to plasma processing during semiconductor manufacturing.

반도체 기반 디바이스 (예를 들어, 집적 회로 또는 평면 패널 디스플레이) 의 제조에 있어서, 재료의 층은 교대로 웨이퍼 또는 기판 표면 (예를 들어, 반도체 웨이퍼 또는 글래스 패널) 상에 퇴적되고, 이들로부터 에칭될 수도 있다. 본 발명이 속하는 기술분야에 잘 알려진 바와 같이, 플라즈마 강화 에칭 (plasma-enhanced etching) 을 포함하여, 각종 기술에 의해 퇴적층(들)의 에칭이 달성될 수도 있다. 플라즈마 강화 에칭에 있어서, 실제 웨이퍼 또는 기판의 에칭은 플라즈마 처리 챔버 내부에서 일어난다. 에칭 프로세스 중에, 마스크에 의해 보호되지 않는 웨이퍼 또는 기판의 영역을 에칭하여 원하는 패턴을 남기기 위해서 적합한 에천트 소스 가스로부터 플라즈마가 형성된다.In the fabrication of semiconductor-based devices (eg, integrated circuits or flat panel displays), layers of material are alternately deposited on wafer or substrate surfaces (eg, semiconductor wafers or glass panels) to be etched from them. It may be. As is well known in the art, etching of the deposited layer (s) may be accomplished by various techniques, including plasma-enhanced etching. In plasma enhanced etching, the actual wafer or substrate etching occurs inside the plasma processing chamber. During the etching process, plasma is formed from a suitable etchant source gas to etch a region of the wafer or substrate that is not protected by the mask to leave a desired pattern.

플라즈마 강화 에칭에 채택되는 2 가지 타입의 플라즈마, 즉 한정 플라즈마 (confined plasma) 및 비한정 플라즈마 (unconfined plasma) 가 존재한다. 비한정 플라즈마는 플라즈마 처리 챔버 벽에 접촉하고, 이 챔버 벽으로부터의 원자를 웨이퍼 또는 기판 상에 재퇴적시킴으로써 웨이퍼 또는 기판을 오염시킬 수도 있다. 통상적으로, 플라즈마 처리 챔버 벽은, 웨이퍼 또는 기판에 대해 친화성이 없는 (incompatible) 재료로 이루어진다. 한정 플라즈마에 있어서, 몇몇 수단에 의해 플라즈마가 챔버 벽에 도달하는 것이 중단되기 때문에 오염이 거의 없거나 전혀 없다. 따라서, 한정 플라즈마는, 잘 알려진 비한정 플라즈마에 의해 제공되지 않는 청정도 레벨을 제공한다.There are two types of plasmas employed for plasma enhanced etching, namely confined plasmas and unconfined plasmas. Unqualified plasma may contact the plasma processing chamber wall and contaminate the wafer or substrate by re-depositing atoms from the chamber wall onto the wafer or substrate. Typically, the plasma processing chamber wall is made of a material that is incompatible with the wafer or substrate. In a confined plasma, there is little or no contamination since the plasma stops reaching the chamber walls by some means. Thus, the confined plasma provides a level of cleanliness that is not provided by the well-known non-limiting plasma.

종래 기술의 시스템에 있어서, 사실상 전계 또는 자계 중 어느 하나와 같은 각종 반발계 (repulsive field) 를 확립함으로써, 플라즈마가 챔버 벽에 도달하는 것이 방지될 수 있다. 예시로서, 플라즈마는, 한정 링의 내부 한계에 도달할 수 있기 직전에 플라즈마로부터의 전하 배출에 의해 또한 챔버 벽 내에 존재하는 복수의 한정 링에 의해 한정된다. 한정 링이 절연 재료로 이루어지기 때문에, 이들은 플라즈마의 전위와 유사한 전위로 하전된다. 그 결과, 플라즈마가 챔버 벽을 향하여 더 이상 외부로 나오는 것을 억제하는 각 한정 링의 리딩 에지 (leading edge) 로부터 반발 전계가 생겨난다.In prior art systems, plasma can be prevented from reaching the chamber wall by establishing various repulsive fields, such as virtually either an electric field or a magnetic field. By way of example, the plasma is defined by a plurality of confinement rings that are also present in the chamber wall by discharge of charge from the plasma just before the inner limit of the confinement ring can be reached. Since the confinement rings are made of insulating material, they are charged to a potential similar to that of the plasma. As a result, a repulsive electric field arises from the leading edge of each confinement ring which prevents the plasma from further exiting out towards the chamber wall.

도 1 을 참조하면, 용량 결합형 RF 플라즈마를 발생시키는 처리 챔버를 갖는 예시적인 종래 기술의 시스템 (100) 이 도시되어 있다. 비제한적인 예시로서, 이 예시적인 시스템은 램 리써치 코포레이션에 의해 제조된 EXELAN 시스템이다. 이 예시적인 시스템 (100) 은 반응기 (100) 와 같은 평행판 플라즈마 반응기를 포함한다. 반응기 (100) 는, 이 반응기의 일 벽의 배출구에 연결된 진공 펌프 (104) 에 의해 원하는 진공 압력으로 유지되는 내부 (102) 를 갖는 챔버를 포함한다. 가스 공급기 (106) 로부터 가스를 공급하여 플라즈마 반응기로 에칭 가스가 공급될 수 있다. 예를 들어, RF 소스 (108) 로부터의 RF 에너지가 정합 네트워크 (110) 를 통해 전력공급 전극 (powered electrode ; 112) 으로 공급되는 이중 주파수 구성에 의해 반응기에서 중간 밀도 플라즈마가 발생될 수 있다. RF 소스 (108) 는 27 MHz 및 2 MHz 에서 RF 전력을 공급하도록 구성된다. 전극 (114) 은 접지 전극이다. 웨이퍼 또는 기판 (116) 은 전력공급 전극 (112) 에 의해 지지되고, 에칭 가스를 플라즈마 상태로 에너자이징 (energizing) 함으로써 발생된 플라즈마로 에칭된다. 복수의 한정 링 (120a 및 120b) 은 플라즈마를 한정한다. 또한, 공동 소유된 미국특허 제 6,090,304 호 에 기재된 이중 주파수 플라즈마 에칭 반응기와 같이, RF 전력이 양 전극에 공급되는 반응기와 같은 다른 유도 결합형 반응기가 사용될 수 있는데, 그 개시내용은 본 명세서에 참조로서 포함되어 있다.Referring to FIG. 1, an exemplary prior art system 100 having a processing chamber for generating a capacitively coupled RF plasma is shown. As a non-limiting example, this example system is an EXELAN system manufactured by RAM Research Corporation. This exemplary system 100 includes a parallel plate plasma reactor, such as reactor 100. The reactor 100 includes a chamber having an interior 102 maintained at a desired vacuum pressure by a vacuum pump 104 connected to an outlet of one wall of the reactor. Etching gas may be supplied to the plasma reactor by supplying gas from the gas supplier 106. For example, a medium density plasma may be generated in the reactor by a dual frequency configuration in which RF energy from the RF source 108 is supplied to the powered electrode 112 via the matching network 110. The RF source 108 is configured to supply RF power at 27 MHz and 2 MHz. The electrode 114 is a ground electrode. The wafer or substrate 116 is supported by the power supply electrode 112 and etched with a plasma generated by energizing the etching gas into a plasma state. The plurality of confinement rings 120a and 120b confine the plasma. In addition, other inductively coupled reactors, such as those in which RF power is supplied to both electrodes, can be used, such as the dual-frequency plasma etching reactor described in commonly owned US Pat. No. 6,090,304, the disclosure of which is incorporated herein by reference. Included.

도 2 를 참조하면, 플라즈마 처리 챔버 (100) 의 내부 (102) 의 단면도가 도시되어 있다. 내부 (102) 는 한정 링 (120a 및 120b) 을 포함한다. 2 개의 한정 링만이 도시되어 있지만, 임의의 개수의 한정 링이 제공될 수도 있다. 플라즈마 처리 챔버 (100) 의 내부 (102) 에, 웨이퍼 또는 기판 (124) 을 수용하기에 적합한 전력공급 전극 (122) 이 도시되어 있다. 전력공급 전극 (122) 은, 임의의 적합한 척킹 시스템 (예를 들어, 정전, 기계, 클램핑, 진공 등) 으로 구현될 수 있고, 석영 포커스 링과 같은 절연체 링 (126) 에 의해 포위된다. 에칭 중에, RF 전원 (128) 은 약 2 MHz 내지 약 27 MHz 의 주파수를 갖는 RF 전력을 전력공급 전극 (122) 으로 전달할 수 있다. 웨이퍼 또는 기판 (124) 위에, 한정 링 (120a 및 120b) 에 연결되는 접지 전극 (130) 이 배치되어 있다. 또다른 접지 전극 (132) 은 절연체 링 (126) 에 접하고, 전력공급 전극 (122) 에 근접하여 위치된다. 동작에 있어서, RF 전원 (128) 은, 접지 전극 (130) 에 전기적으로 연결되는 전력공급 전극 (122) 으로 RF 전력을 전달한다.Referring to FIG. 2, a cross-sectional view of the interior 102 of the plasma processing chamber 100 is shown. Interior 102 includes confinement rings 120a and 120b. Although only two confinement rings are shown, any number of confinement rings may be provided. In the interior 102 of the plasma processing chamber 100, a powered electrode 122 suitable for receiving a wafer or substrate 124 is shown. The powered electrode 122 can be implemented with any suitable chucking system (eg, electrostatic, mechanical, clamping, vacuum, etc.) and is surrounded by an insulator ring 126, such as a quartz focus ring. During etching, the RF power source 128 can deliver RF power having a frequency between about 2 MHz and about 27 MHz to the powered electrode 122. On the wafer or substrate 124, a ground electrode 130 is arranged which is connected to the confinement rings 120a and 120b. Another ground electrode 132 abuts the insulator ring 126 and is located proximate to the powered electrode 122. In operation, the RF power source 128 delivers RF power to the powered electrode 122 that is electrically connected to the ground electrode 130.

발명의 개요Summary of the Invention

본 발명은, 플라즈마를 발생시키도록 구성된 챔버 내의 이온 에너지 및 플라즈마 밀도를 제어하는 시스템 및 방법을 제공한다. 예시적인 실시형태에 있어서, 플라즈마는 유도 결합형 방전으로 발생된다. 반도체 챔버는, 전력공급 전극, 전원, 복수의 접지 전극, 및 접지에 대하여 조정가능한 커플링 회로를 포함한다. 전력공급 전극은 웨이퍼 또는 기판을 수용하도록 구성된다. 전원은 전력공급 전극에 동작가능하게 연결된다. 복수의 접지 전극은, 전력공급 전극과 전기적 커넥션을 이루도록 구성된다. 접지 전극 중 적어도 하나는 접지에 대하여 조정가능한 커플링 회로에 전기적으로 연결된다. 접지에 대하여 조정가능한 커플링 회로는 접지 전극의 임피던스를 변경하도록 구성된다. 이온 에너지는 접지에 대하여 조정가능한 커플링 회로에 의해 제어된다. 플라즈마 밀도는 전원에 의해 제어된다.The present invention provides a system and method for controlling plasma density and ion energy in a chamber configured to generate a plasma. In an exemplary embodiment, the plasma is generated with an inductively coupled discharge. The semiconductor chamber includes a power supply electrode, a power supply, a plurality of ground electrodes, and a coupling circuit that is adjustable relative to ground. The powered electrode is configured to receive a wafer or substrate. The power source is operably connected to the powered electrode. The plurality of ground electrodes are configured to make an electrical connection with the power supply electrode. At least one of the ground electrodes is electrically connected to a coupling circuit that is adjustable relative to ground. The coupling circuit adjustable relative to ground is configured to change the impedance of the ground electrode. Ion energy is controlled by a coupling circuit that is adjustable relative to ground. The plasma density is controlled by the power source.

접지에 대하여 조정가능한 커플링 회로는 커패시터 또는 인덕터 중 어느 하나 또는 이들의 조합을 포함한다. 일 실시형태에 있어서, 커패시터는 가변 커패시터이다. 또다른 실시형태에 있어서, 커패시터는 고정 커패시턴스를 가질 수 있다. 또한, 고정 커패시터 및 가변 커패시터와 인덕터의 조합이 채택될 수 있다. 또다른 실시형태에 있어서, 가변 인덕턴스를 갖는 인덕터와 같은 인덕터가 커패시터 대신에 사용된다. 또다른 실시형태에 있어서, 접지에 대하여 조정가능한 커플링 회로로서 커패시터와 인덕터의 조합이 사용된다.Coupling circuits adjustable relative to ground include either capacitors or inductors, or a combination thereof. In one embodiment, the capacitor is a variable capacitor. In yet another embodiment, the capacitor can have a fixed capacitance. In addition, a combination of a fixed capacitor and a variable capacitor and an inductor can be adopted. In another embodiment, an inductor, such as an inductor with variable inductance, is used instead of a capacitor. In yet another embodiment, a combination of capacitor and inductor is used as the coupling circuit that is adjustable relative to ground.

동작에 있어서, 예시적인 챔버는, 복수의 한정 링으로 한정되는 한정 플라즈마를 발생시키도록 구성된다. 예시적인 실시형태에 있어서, 접지에 대하여 조정가능한 커플링 회로에 전기적으로 연결된 제 1 접지 전극이 존재한다. 접지에 대하여 조정가능한 커플링 회로는 제 1 접지 전극에 제 1 임피던스를 제공한다. 제 1 접지 전극에 대한 제 1 임피던스는, 접지에 대하여 조정가능한 커플링 회로에 사용되는 커패시터 또는 인덕터에 종속한다. 제 2 접지 전극 및 제 3 접지 전극은 접지에 직접적으로 연결된다. 예시적인 실시형태에 있어서, 제 1 접지 전극에 대한 제 1 임피던스는, 다른 전극과 연관된 임피던스보다 크다. 접지 전극의 임피던스에서의 이들 변화의 결과, 플라즈마에 대한 이온 에너지가 제어될 수 있다. 예시적인 실시예에 있어서, 보다 높은 임피던스를 갖는 제 1 접지 전극은 이온 에너지를 제 1 접지 전극으로부터 떨어져 다른 접지 전극으로 시프트시킨다.In operation, the exemplary chamber is configured to generate a confined plasma that is confined to a plurality of confinement rings. In an exemplary embodiment, there is a first ground electrode electrically connected to a coupling circuit that is adjustable relative to ground. A coupling circuit that is adjustable relative to ground provides a first impedance to the first ground electrode. The first impedance for the first ground electrode depends on the capacitor or inductor used in the coupling circuit that is adjustable relative to ground. The second ground electrode and the third ground electrode are directly connected to ground. In an exemplary embodiment, the first impedance for the first ground electrode is greater than the impedance associated with the other electrode. As a result of these changes in the impedance of the ground electrode, the ion energy for the plasma can be controlled. In an exemplary embodiment, the first ground electrode with a higher impedance shifts ion energy away from the first ground electrode to another ground electrode.

부가적으로, 플라즈마 처리 챔버에서 플라즈마를 제어하는 방법이 제공된다. 이 플라즈마 제어 방법은, 플라즈마 처리 챔버에서 가스를 수용하는 제 1 단계를 포함한다. 전력공급 전극은 웨이퍼 또는 기판을 수용하도록 구성되고, 전원으로부터 전력을 수신한다. 전력공급 전극을 제 1 접지 전극 및 제 2 접지 전극에 전기적으로 연결시킴으로써 플라즈마가 발생된다. 접지 전극의 임피던스가 이온 에너지를 제어하는데 이용된다. 전원이 플라즈마 밀도를 제어하는데 사용된다.In addition, a method of controlling a plasma in a plasma processing chamber is provided. This plasma control method includes a first step of receiving a gas in a plasma processing chamber. The powered electrode is configured to receive a wafer or substrate and receives power from a power source. Plasma is generated by electrically connecting the powered electrode to the first ground electrode and the second ground electrode. The impedance of the ground electrode is used to control the ion energy. The power source is used to control the plasma density.

도면의 간단한 설명Brief description of the drawings

본 발명의 바람직한 실시형태는 첨부 도면에 도시되어 있다.Preferred embodiments of the invention are illustrated in the accompanying drawings.

도 1 은 용량 결합형 플라즈마를 발생시키는 처리 챔버를 갖는 종래 기술의 시스템을 도시한 도면이다.1 illustrates a prior art system having a processing chamber for generating a capacitively coupled plasma.

도 2 는 도 1 에 도시된 플라즈마 처리 챔버의 내부의 단면도이다.FIG. 2 is a cross-sectional view of the interior of the plasma processing chamber shown in FIG. 1.

도 3 은 접지에 대하여 조정가능한 커플링 회로를 갖는 플라즈마 처리 챔버의 제 1 실시형태의 단면도이다.3 is a cross sectional view of a first embodiment of a plasma processing chamber having an adjustable coupling circuit with respect to ground;

도 4 는 접지에 대하여 조정가능한 커플링 회로를 갖는 플라즈마 처리 챔버의 제 2 실시형태의 단면도이다.4 is a cross-sectional view of a second embodiment of a plasma processing chamber having a coupling circuit that is adjustable relative to ground.

도 5 는 접지에 대하여 조정가능한 커플링 회로를 갖는 플라즈마 처리 챔버의 제 3 실시형태의 단면도이다.5 is a cross-sectional view of a third embodiment of a plasma processing chamber having a coupling circuit that is adjustable relative to ground.

도 6 은 접지에 대하여 조정가능한 커플링 회로를 갖는 플라즈마 처리 챔버의 제 4 실시형태의 단면도이다.6 is a cross-sectional view of a fourth embodiment of a plasma processing chamber having a coupling circuit that is adjustable relative to ground.

도 7 은 접지에 대하여 조정가능한 커플링 회로를 갖는 플라즈마 처리 챔버의 제 5 실시형태의 단면도이다.7 is a cross-sectional view of a fifth embodiment of a plasma processing chamber having a coupling circuit that is adjustable relative to ground.

도 8 은 처리 챔버에서 플라즈마를 제어하는 방법에 대한 흐름도이다. 8 is a flow chart for a method of controlling a plasma in a processing chamber.

상세한 설명details

다음의 상세한 설명에 있어서, 본원의 일부를 형성하는 첨부 도면에 대한 참조가 이루어진다. 이들 도면은, 본 발명이 실시될 수도 있는 특정 실시형태를 예시로서 나타낸다. 다른 실시형태가 이용될 수도 있고, 본 발명의 범위를 벗어나지 않으면서 구조적 변경이 이루어질 수도 있다는 것이 이해되어야 한다.In the following detailed description, reference is made to the accompanying drawings that form a part hereof. These drawings show, by way of illustration, specific embodiments in which the invention may be practiced. It is to be understood that other embodiments may be utilized and structural changes may be made without departing from the scope of the present invention.

도 3 을 참조하면, 접지에 대하여 조정가능한 커플링 회로를 갖는 플라즈마 처리 챔버의 제 1 실시형태가 도시되어 있다. 도 3 은 용량 결합형 방전을 발생시키도록 구성된 처리 챔버 (200) 의 단면도이다. 또한, 플라즈마 처리 챔버 (200) 는 시스템으로도 언급된다. 동작에 있어서, 플라즈마 처리 챔버 (200) 는, 플라즈마로 변환되는 가스를 수용하도록 구성된다. 비제한적인 예시로서, 비교적 높은 가스 유량이 플라즈마 처리 챔버로 펌핑된다.Referring to FIG. 3, there is shown a first embodiment of a plasma processing chamber having a coupling circuit that is adjustable relative to ground. 3 is a cross-sectional view of a processing chamber 200 configured to generate a capacitively coupled discharge. The plasma processing chamber 200 is also referred to as a system. In operation, the plasma processing chamber 200 is configured to receive a gas that is converted into plasma. As a non-limiting example, a relatively high gas flow rate is pumped into the plasma processing chamber.

플라즈마 처리 챔버 (200) 는 전력공급 전극 (202), 전원 (204), 및 접지에 대하여 조정가능한 커플링 회로 (208) 를 갖는 제 1 접지 전극 (206) 을 포함한다. 전력공급 전극 (202) 은 웨이퍼 또는 기판을 수용하기에 적합하다. 전력공급 전극 (202) 은, RF 전력을 발생시키도록 구성된 전원 (204) 에 동작가능하게 연결된다. 비제한적인 예시로서, 제 1 접지 전극은 전력공급 전극 (202) 의 면적보다 작은 면적을 갖는다. 부가적으로, 비제한적인 예시로서, 전원 (204) 은 RF 전원이다.The plasma processing chamber 200 includes a first ground electrode 206 having a powered electrode 202, a power source 204, and a coupling circuit 208 that is adjustable relative to ground. The powered electrode 202 is suitable for receiving a wafer or substrate. The powered electrode 202 is operatively connected to a power supply 204 configured to generate RF power. As a non-limiting example, the first ground electrode has an area smaller than the area of the powered electrode 202. Additionally, as a non-limiting example, the power supply 204 is an RF power supply.

석영 포커스 링 (210) 은 전력공급 전극 (202) 을 포위한다. 부가적으로, 제 2 접지 전극 링 (212) 은 제 1 접지 전극 (206) 을 포위한다. 제 2 접지 전극 링 (212) 은 접지에 전기적으로 연결되고, 접지에 대하여 조정가능한 커플링 회로를 갖지 않는다. 제 3 접지 전극 (214) 은 석영 포커스 링 (210) 아래에 배치된다. 또한, 제 3 접지 전극 (214) 은 접지에 대하여 조정가능한 커플링 회로를 포함하지 않는다.Quartz focus ring 210 surrounds the powered electrode 202. In addition, the second ground electrode ring 212 surrounds the first ground electrode 206. The second ground electrode ring 212 is electrically connected to ground and does not have an adjustable coupling circuit with respect to ground. The third ground electrode 214 is disposed below the quartz focus ring 210. Also, the third ground electrode 214 does not include a coupling circuit that is adjustable relative to ground.

플라즈마 처리 챔버 (200) 는 한정 플라즈마를 발생시키도록 구성된다. 한정 링 (216a 및 216b) 은 플라즈마를 한정하도록 구성된다. 통상적으로, 플라즈마 처리 챔버 벽은 웨이퍼 또는 기판에 대해 친화성이 없는 재료로 이루어진다. 한정 플라즈마는, 처리 챔버 벽으로부터의 오염을 거의 제공하지 않거나 전혀 제공하지 않는다. 한정 플라즈마가 잘 알려진 비한정 플라즈마에 의해 제공되지 않는 청정도 레벨을 제공한다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 인식되어야 한다.The plasma processing chamber 200 is configured to generate a confined plasma. Confinement rings 216a and 216b are configured to confine the plasma. Typically, the plasma processing chamber walls are made of a material that is not affinity for the wafer or substrate. The confined plasma provides little or no contamination from the processing chamber walls. It should be appreciated by those skilled in the art that the confined plasma provides a level of cleanliness that is not provided by the well-known non-limiting plasma.

접지에 대하여 조정가능한 커플링 회로 (208) 는 제 1 접지 전극 (206) 에 전기적으로 연결된다. 접지에 대하여 조정가능한 커플링 회로 (208) 는 제 1 접지 전극 (206) 의 임피던스를 변경하도록 구성된다. 한정 플라즈마의 플라즈마 밀도 및 이온 에너지는 접지에 대하여 조정가능한 커플링 회로 (208) 에 의해 제어된다. 접지에 대하여 조정가능한 커플링 회로 (208) 는 커패시터 (218) 를 포함한다. 커패시터 (218) 는, 통상적으로 1000 pF 미만인 고정 커패시턴스를 갖는다. 그러나, 커패시터 (218) 가 가변 커패시터일 수도 있다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 인식되어야 한다.A coupling circuit 208 that is adjustable relative to ground is electrically connected to the first ground electrode 206. The coupling circuit 208 that is adjustable relative to ground is configured to change the impedance of the first ground electrode 206. The plasma density and ion energy of the confined plasma are controlled by a coupling circuit 208 that is adjustable relative to ground. Coupling circuit 208 adjustable relative to ground includes capacitor 218. Capacitor 218 has a fixed capacitance that is typically less than 1000 pF. However, it should be appreciated by those skilled in the art that the capacitor 218 may be a variable capacitor.

접지에 대하여 조정가능한 커플링 회로 (208) 의 커패시터 (218) 및 저항기 (220) 는, 제 2 접지 전극 (212) 및 제 3 접지 전극 (214) 의 임피던스와 상이한 제 1 임피던스를 발생시킨다. 접지 전극의 임피던스에서의 이들 변화의 결과, 플라즈마에 대한 플라즈마 밀도 및 이온 에너지가 제어될 수 있다. 제 1 실시형태에 있어서, 접지에 대하여 조정가능한 커플링 회로 (208) 를 갖는 제 1 접지 전극 (206) 은, 제 2 접지 전극 (212) 및 제 3 접지 전극 (214) 모두보다 높은 임피던스를 갖는다. 제 1 접지 전극으로부터의 보다 높은 임피던스는, 이온 에너지 및 플라즈마 밀도가 보다 낮은 임피던스를 갖는 접지 전극으로 시프트되도록 제 1 접지 전극으로부터 떨어져 이온 에너지 및 플라즈마 밀도를 시프트시킨다.Capacitor 218 and resistor 220 of coupling circuit 208 adjustable with respect to ground generate a first impedance that is different from the impedance of second ground electrode 212 and third ground electrode 214. As a result of these changes in the impedance of the ground electrode, the plasma density and ion energy for the plasma can be controlled. In the first embodiment, the first ground electrode 206 with the coupling circuit 208 adjustable relative to ground has a higher impedance than both the second ground electrode 212 and the third ground electrode 214. . The higher impedance from the first ground electrode shifts the ion energy and plasma density away from the first ground electrode such that the ion energy and plasma density are shifted to a ground electrode having a lower impedance.

종래 기술에 있어서, 플라즈마 밀도 및 이온 에너지의 독립적인 제어를 위해 이중 주파수 RF 전원 (예를 들어, 27 MHz 및 2 MHz) 이 사용된다. 여기서, 플라즈마 처리 챔버 (200) 는 하나의 RF 소스로 이온 에너지 및 플라즈마 밀도의 독립적인 제어를 허용한다. 접지 전극과 협력하여, 접지에 대하여 조정가능한 커플링 회로 (208) 는 하나의 RF 소스로 이온 에너지의 독립적인 제어를 허용한다. 플라즈마 밀도는 주로 전원 (204) 에 의해 공급된 전체 전력으로 제어된다.In the prior art, dual frequency RF power supplies (eg, 27 MHz and 2 MHz) are used for independent control of plasma density and ion energy. Here, the plasma processing chamber 200 allows independent control of ion energy and plasma density with one RF source. In coordination with the ground electrode, the adjustable coupling circuit 208 with respect to ground allows independent control of ion energy with one RF source. The plasma density is mainly controlled by the total power supplied by the power source 204.

이온 에너지 및 플라즈마 밀도를 제어하는 능력을 확인하는데 예시적인 수학 모델이 이용되었다. 도 1 및 도 2 에서의 종래 기술의 플라즈마 처리 챔버를 참조하면, 1200 V (피크 대 피크) 및 27 MHz RF 전력이 하부의 전력공급 전극 (122) 에 인가되고, 그 결과적인 DC 바이어스는 대략 302 V 이고, 플라즈마 전극 전압은 -858 V 이다. 도 3 을 참조하면, 예시적인 접지에 대하여 조정가능한 커플링 회로는, 2 pF 의 커패시턴스를 갖는 커패시터 (218), 및 3 μΩ 의 저항을 갖는 저항기 (220) 를 포함한다. 플라즈마 처리 챔버 (200) 에 있어서, 1100 V 및 27 MHz RF 전력이 전력공급 전극 (202) 에 인가되어, 플라즈마 처리 챔버 (100) 에 의해 발생된 플라즈마와 유사한 플라즈마 분포 및 플라즈마 밀도를 달성한다. 부가적으로, 제 1 접지 전극의 임피던스에서의 변화로 인해, DC 바이어스는 단지 -200 V 이고, 플라즈마 전극 전압은 659 V 이다. 이 예시적인 실시예는, 플라즈마 처리 챔버 (200) 내의 플라즈마 밀도 및 이온 에너지가, RF 전력을 변경함으로써 또한 접지에 대하여 조정가능한 커플링 회로로 제어될 수 있다는 것을 명백하게 나타낸다.Exemplary mathematical models were used to confirm the ability to control ion energy and plasma density. Referring to the prior art plasma processing chamber in FIGS. 1 and 2, 1200 V (peak to peak) and 27 MHz RF power are applied to the underlying power supply electrode 122, with the resulting DC bias of approximately 302. V, and the plasma electrode voltage is -858 V. Referring to FIG. 3, an exemplary coupling circuit that is adjustable with respect to ground includes a capacitor 218 having a capacitance of 2 pF, and a resistor 220 having a resistance of 3 μΩ. In the plasma processing chamber 200, 1100 V and 27 MHz RF power is applied to the powered electrode 202 to achieve a plasma distribution and plasma density similar to the plasma generated by the plasma processing chamber 100. Additionally, due to the change in impedance of the first ground electrode, the DC bias is only -200 V and the plasma electrode voltage is 659 V. This exemplary embodiment clearly shows that the plasma density and ion energy in the plasma processing chamber 200 can be controlled with a coupling circuit that is also adjustable with respect to ground by changing RF power.

도 4 를 참조하면, 이온 에너지 및 플라즈마 밀도를 제어하도록 구성된 또다른 처리 챔버 (250) 가 도시되어 있다. 전력공급 전극 (252) 은 전원 (254) 에 동작가능하게 연결된다. 석영 포커스 링 (256) 은 전력공급 전극 (252) 을 포위한다. 플라즈마가 처리 챔버 (250) 내에 형성되고, 한정 링 (258) 에 의해 한정된다. 제 1 접지 전극 (260) 은 전력공급 전극 (252) 보다 큰 표면적을 갖는다. 제 1 접지 전극 (260) 은, 접지에 대하여 조정가능한 커플링을 허용하는 가변 커패시터 (262) 에 전기적으로 연결된다. 비제한적인 예시로서, 가변 커패시터 (262) 는 5 pF 내지 1000 pF 의 커패시턴스 범위를 갖는다. 제 2 접지 전극 (264) 은, 제 1 접지 전극 (260) 을 포위하는 접지 링이다. 제 2 접지 전극 (264) 은 또다른 가변 커패시터 (266) 에 동작가능하게 연결된다. 제 3 접지 전극 (268) 은 석영 포커스 링 (256) 의 바로 밑에 배치된다.Referring to FIG. 4, another processing chamber 250 is shown that is configured to control ion energy and plasma density. The powered electrode 252 is operatively connected to the power source 254. Quartz focus ring 256 surrounds the powered electrode 252. Plasma is formed in the processing chamber 250 and defined by the confinement ring 258. The first ground electrode 260 has a larger surface area than the power supply electrode 252. The first ground electrode 260 is electrically connected to a variable capacitor 262 that allows for adjustable coupling to ground. As a non-limiting example, the variable capacitor 262 has a capacitance range of 5 pF to 1000 pF. The second ground electrode 264 is a ground ring surrounding the first ground electrode 260. The second ground electrode 264 is operably connected to another variable capacitor 266. The third ground electrode 268 is disposed directly below the quartz focus ring 256.

동작에 있어서, 처리 챔버 (250) 는 플라즈마 처리 챔버 (200) 보다 높은 이온 에너지 제어 정도를 허용한다. 2 개의 접지에 대하여 조정가능한 커플링 회로를 가짐으로써, 개선된 제어가 제공된다. 제 1 접지 전극 (260) 및 제 2 접지 전극 (264) 은 그 각각의 임피던스를 변경할 커패시티 (capacity) 를 갖는다. 그 결과, 오퍼레이터는 한정 플라즈마의 "상부" 를 보다 효과적으로 제어할 수 있다.In operation, the processing chamber 250 allows a higher degree of ion energy control than the plasma processing chamber 200. By having an adjustable coupling circuit for two grounds, improved control is provided. The first ground electrode 260 and the second ground electrode 264 have a capacity to change their respective impedances. As a result, the operator can more effectively control the "top" of the confined plasma.

도 5 를 참조하면, 접지에 대하여 조정가능한 커플링 회로를 갖는 또다른 처리 챔버 (300) 가 도시되어 있다. 처리 챔버 (300) 는, 한정 링, 포커스 링, 전력공급 전극 및 전원과 같이 도 4 의 처리 챔버 (250) 와 공통으로 다수의 부분을 공유한다. 처리 챔버들 사이의 차이는 접지 전극에 초점을 맞춘다. 처리 챔버 (300) 는, 가변 커패시터 (304) 에 동작가능하게 연결된 제 1 접지 전극 (302) 을 포함한다. 제 2 접지 전극 (306) 은, 제 1 접지 전극 (302) 을 포위하는 링이다. 제 3 접지 전극 (308) 은 전력공급 전극 (309) 에 근접하여 배치된다. 가변 커패시터 (310) 는 제 3 접지 전극에 전기적으로 연결된다.Referring to FIG. 5, another processing chamber 300 is shown having a coupling circuit that is adjustable relative to ground. The processing chamber 300 shares a number of parts in common with the processing chamber 250 of FIG. 4, such as a confinement ring, a focus ring, a powered electrode, and a power source. The difference between the processing chambers focuses on the ground electrode. The processing chamber 300 includes a first ground electrode 302 operably connected to the variable capacitor 304. The second ground electrode 306 is a ring surrounding the first ground electrode 302. The third ground electrode 308 is disposed in proximity to the powered electrode 309. The variable capacitor 310 is electrically connected to the third ground electrode.

동작에 있어서, 처리 챔버 (300) 에서의 접지 전극의 조합이, 오퍼레이터가 한정 플라즈마의 상부 및 한정 플라즈마의 측부 상의 이온 에너지를 제어하는 것을 허용할 것으로 예상된다. 또한, 제 2 접지 전극 (306) 이, 접지에 대하여 조정가능한 커플링 회로를 포함하여 그 각각의 임피던스를 제어하기에 적합할 수도 있다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 인식되어야 한다.In operation, a combination of ground electrodes in the processing chamber 300 is expected to allow the operator to control the ion energy on top of the confining plasma and on the sides of the confining plasma. It will also be appreciated by those skilled in the art that the second ground electrode 306 may be suitable for controlling its respective impedance, including a coupling circuit that is adjustable relative to ground. It must be recognized.

도 6 을 참조하면, 4 개의 접지 전극을 갖는 처리 챔버 (350) 가 도시되어 있다. 제 1 접지 전극 (352) 은 접지되고, 전력공급 전극 (353) 보다 작은 면적을 갖는다. 제 2 접지 전극 (354) 은, 제 1 접지 전극 (352) 을 포위하는 링이다. 제 2 접지 전극 (354) 은 가변 커패시터 (356) 에 전기적으로 연결되고, 가변 임피던스를 갖는다. 제 3 접지 전극 (358) 은, 제 2 접지 전극 (354) 을 포위하는 또다른 링이다. 제 3 접지 전극 (358) 은 가변 커패시터 (360) 에 동작가능하게 연결되고, 또한 가변 임피던스를 갖는다. 제 4 접지 전극 (362) 은 전력공급 전극 (353) 에 근접하여 위치되고, 가변 커패시터 (364) 에 동작가능하게 연결된다. 동작에 있어서, 이 처리 챔버 (350) 는, 오퍼레이터가 한정 플라즈마의 측부 상의 이온 에너지를 제어하는 것을 허용한다.Referring to FIG. 6, a processing chamber 350 with four ground electrodes is shown. The first ground electrode 352 is grounded and has a smaller area than the power supply electrode 353. The second ground electrode 354 is a ring surrounding the first ground electrode 352. The second ground electrode 354 is electrically connected to the variable capacitor 356 and has a variable impedance. The third ground electrode 358 is another ring surrounding the second ground electrode 354. The third ground electrode 358 is operably connected to the variable capacitor 360 and also has a variable impedance. The fourth ground electrode 362 is located proximate to the powered electrode 353 and is operably connected to the variable capacitor 364. In operation, this processing chamber 350 allows the operator to control the ion energy on the side of the confined plasma.

도 7 을 참조하면, 이중 주파수 전원 (402) 을 갖는 처리 챔버 (400) 가 도시되어 있다. 비제한적인 예시로서, 이중 주파수 전원은 27 MHz 및 2 MHz 에서 RF 전력을 발생시킨다. 전력공급 전극 (404) 은 이중 주파수 전원 (402) 에 동작가능하게 연결된다. 제 1 접지 전극 (406) 은 접지에 대하여 조정가능한 커플링 회로 (408) 에 전기적으로 연결된다. 접지에 대하여 조정가능한 커플링 회로 (408) 는 가변 커패시터 (410), 인덕터 (412), 및 저항기 (414) 를 포함한다. 제 1 접지 전극 (406) 에 대한 임피던스의 제어를 허용하는 것에 부가하여, 접지에 대하여 조정가능한 커플링 회로 (408) 는 고역 통과 필터 또는 저역 통과 필터의 역할을 하도록 구성된다. 제 2 접지 전극 (416) 은 제 1 접지 전극 (406) 을 포위한다. 제 2 접지 전극 (416) 은 접지에 대하여 조정가능한 커플링 회로를 포함하지 않는다. 제 3 접지 전극 (418) 은 전력공급 전극 (404) 에 근접한다. 제 3 접지 전극은 인덕터 (420) 에 전기적으로 연결된다.Referring to FIG. 7, a processing chamber 400 with a dual frequency power source 402 is shown. As a non-limiting example, a dual frequency power supply generates RF power at 27 MHz and 2 MHz. The powered electrode 404 is operably connected to the dual frequency power source 402. The first ground electrode 406 is electrically connected to a coupling circuit 408 that is adjustable relative to ground. Coupling circuit 408 adjustable with respect to ground includes variable capacitor 410, inductor 412, and resistor 414. In addition to allowing control of the impedance to the first ground electrode 406, the adjustable coupling circuit 408 with respect to ground is configured to serve as a high pass filter or a low pass filter. The second ground electrode 416 surrounds the first ground electrode 406. The second ground electrode 416 does not include a coupling circuit that is adjustable relative to ground. The third ground electrode 418 is close to the powered electrode 404. The third ground electrode is electrically connected to the inductor 420.

동작에 있어서, 제 3 접지 전극의 임피던스는 커패시터 대신에 인덕터 (420) 를 사용함으로써 제어될 수 있다. 또한, 인덕터가, 툴 오퍼레이터에 의해 제어되는 각종 상이한 인덕턴스를 발생시키도록 구성된 가변 인덕터일 수도 있다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 인식되어야 한다.In operation, the impedance of the third ground electrode can be controlled by using inductor 420 instead of a capacitor. It should also be appreciated by those skilled in the art that the inductor may be a variable inductor configured to generate a variety of different inductances controlled by a tool operator.

또한, 제 1 접지 전극 (406) 의 임피던스는, 접지에 대하여 조정가능한 커플링 회로의 가변 커패시터 (410), 인덕터 (412) 및 저항기 (414) 에 의해 제어될 수 있다. 부가적으로, 이중 주파수 전원 (402) 의 2 MHz RF 전력 또는 27 MHz RF 전력 중 어느 하나를 필터링하는데 접지에 대하여 조정가능한 커플링 회로 (408) 가 사용될 수 있다.In addition, the impedance of the first ground electrode 406 can be controlled by the variable capacitor 410, inductor 412, and resistor 414 of the coupling circuit that is adjustable relative to ground. Additionally, an adjustable coupling circuit 408 can be used with respect to ground to filter out either the 2 MHz RF power or the 27 MHz RF power of the dual frequency power supply 402.

도 8 을 참조하면, 전술한 각종 시스템을 사용함으로써 처리 챔버에서 플라즈마를 제어하는 방법 (450) 의 흐름도가 도시되어 있다. 이 플라즈마 제어 방법은 처리 단계 452 에서 개시되는데, 여기서 플라즈마 처리 챔버에 대한 동작 파라미터가 확립된다. 동작 파라미터는 수행되는 작업의 타입에 대해 특정된다. 비제한적인 예시로서, 에칭 프로세스에 있어서, 가스의 타입이 선택되고, 가스 각각에 대한 가스 유량이 결정된다. 그런 다음, 특정 작업에 대한 동작 압력이 툴에 입력된다. 부가적으로, 인가되는 RF 전력의 양도 제공된다. 또한, 예시적인 에칭 동작을 수행하는데 필요한 시간도 제공된다. 대안적으로, 전술한 시스템은 또한 플라즈마 지원 CVD (plasma-assisted chemical vapor deposition) 를 이용하여 작동하기에 적합할 수 있다. 그런 다음, 이 플라즈마 제어 방법은, 처리 단계 454 로 진행하는데, 여기서 처리 블록 452 에서 식별된 예시적인 제어 파라미터는 정상 상태에 도달하고, 원하는 설정점에 도달한다.Referring to FIG. 8, a flowchart of a method 450 of controlling plasma in a processing chamber by using the various systems described above is shown. This plasma control method is initiated at processing step 452, where operating parameters for the plasma processing chamber are established. Operational parameters are specified for the type of work performed. As a non-limiting example, in the etching process, the type of gas is selected and the gas flow rate for each gas is determined. The operating pressure for the particular task is then entered into the tool. In addition, the amount of RF power applied is also provided. In addition, the time required to perform the exemplary etching operation is also provided. Alternatively, the system described above may also be suitable for operation using plasma-assisted chemical vapor deposition (CVD). This plasma control method then proceeds to processing step 454, where the exemplary control parameters identified in processing block 452 reach a steady state and reach a desired set point.

그런 다음, 이 플라즈마 제어 방법은 처리 블록 456 으로 진행하는데, 여기서 RF 전력이 전력공급 전극으로 전달된다. 예시를 위하여, 전술한 시스템은 단일 전력공급 전극을 언급하지만, 본 특허에 기재된 시스템 및 방법이 복수의 전력공급 전극을 갖는 처리 챔버에 적용될 수 있다는 것이 본 개시물의 이점을 갖는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 인식되어야 한다.This plasma control method then proceeds to processing block 456 where RF power is delivered to a powered electrode. For purposes of illustration, the above-mentioned system refers to a single powered electrode, but the present invention has the advantage of the present disclosure that the systems and methods described in this patent can be applied to a processing chamber having a plurality of powered electrodes. Should be recognized by one of ordinary skill in the art.

그런 다음, 예시적인 방법의 처리 블록 458 에서, 한정 플라즈마가 발생된다. 일단 플라즈마가 발생되면, 이온 에너지 및 플라즈마 밀도가 변경되어야 하는지에 관한 판정이 이루어진다. 이 판정은 판정 다이아몬드 460 에서 이루어진다. 한정 플라즈마의 이온 에너지를 변경해야 한다고 판정되는 경우에는, 이 플라즈마 제어 방법은 처리 블록 462 로 진행하는데, 여기서 접지에 대하여 조정가능한 커플링 회로가 변경된다. 플라즈마 밀도가 변경되어야 하는 경우, 이 플라즈마 제어 방법은 처리 블록 463 으로 진행하고, 여기서 플라즈마 밀도를 제어하도록 전력이 변경된다. 접지에 대하여 조정가능한 커플링 회로는, 접지 전극의 임피던스를 변경함으로써 이온 에너지를 제어한다. 전원에 의해 플라즈마 밀도가 제어된다.Then, at processing block 458 of the example method, a confined plasma is generated. Once the plasma is generated, a determination is made as to whether the ion energy and plasma density should be changed. This decision is made at decision diamond 460. If it is determined that the ion energy of the confined plasma should be changed, the plasma control method proceeds to process block 462 where the coupling circuit adjustable relative to ground is changed. If the plasma density should be changed, the plasma control method proceeds to processing block 463 where the power is changed to control the plasma density. A coupling circuit that is adjustable relative to ground controls ion energy by changing the impedance of the ground electrode. The plasma density is controlled by the power supply.

판정 다이아몬드 460 에서 플라즈마의 특성이 수락가능하다고 판정되는 경우에는, 이 플라즈마 제어 방법은 처리 블록 464 로 진행하는데, 여기서 기판 또는 웨이퍼가 처리된다. 예시적인 한정 플라즈마가 원하는 이온 에너지 및 플라즈마 밀도를 갖도록 접지에 대하여 조정가능한 커플링 회로가 구성될 수도 있다는 것이 본 개시물의 이점을 갖는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 인식되어야 한다.If it is determined at decision diamond 460 that the characteristics of the plasma are acceptable, the plasma control method proceeds to process block 464 where the substrate or wafer is processed. It should be appreciated by those skilled in the art to which the present invention has the benefit of the present disclosure that an adjustable coupling circuit may be constructed with respect to ground such that the exemplary confined plasma has the desired ion energy and plasma density. do.

전술한 설명이 다수의 상이한 실시형태를 포함하지만, 이들은 본 발명의 범위를 제한하는 것이 아니라, 단지 본 발명의 현재의 바람직한 실시형태의 일부의 예시를 제공하는 것으로 해석되어야 한다. 따라서, 주어진 예시적인 실시예보다는 첨부된 특허청구범위 및 그 법적 등가물에 의해 본 발명의 범위가 결정되어야 한다.Although the foregoing description includes many different embodiments, these should not be construed as limiting the scope of the invention, but as merely providing illustrations of some of the presently preferred embodiments of the invention. Accordingly, the scope of the invention should be determined by the appended claims and their legal equivalents rather than by the given exemplary embodiments.

Claims (39)

플라즈마를 발생시키도록 구성된 플라즈마 처리 챔버로서,A plasma processing chamber configured to generate a plasma, comprising: 웨이퍼 또는 기판을 수용하도록 구성된 전력공급 전극 (powered electrode);A powered electrode configured to receive a wafer or substrate; 상기 전력공급 전극에 대향하여 배치되는 제1 접지 전극으로서, 상기 플라즈마가 상기 전력공급 전극과 상기 제1 접지 전극 사이에서 발생하도록 구성되는, 상기 제1 접지 전극;A first ground electrode disposed opposite the powered electrode, the first ground electrode configured to generate the plasma between the powered electrode and the first ground electrode; 상기 제1 접지 전극을 둘러싸는 제2 접지 전극;A second ground electrode surrounding the first ground electrode; 상기 플라즈마를 한정하는 한정 링 (confinement ring)으로서, 상기 제2 접지 전극은 상기 한정 링 및 상기 제1 접지 전극 사이에 배치되는, 상기 한정 링; A confinement ring confining the plasma, wherein the second ground electrode is disposed between the confinement ring and the first ground electrode; 상기 제1 접지 전극에 전기적으로 연결되는 접지에 대하여 조정가능한 커플링 회로로서, 상기 제1 접지 전극은 상기 접지에 대하여 조정가능한 커플링 회로를 통해 접지에 전기적으로 연결되고, 상기 접지에 대하여 조정가능한 커플링 회로는 상기 제1 접지 전극의 가변 임피던스를 변경하도록 구성되고, 상기 접지에 대하여 조정가능한 커플링 회로는 적어도 하나의 커패시터와 저항기를 포함하고, 상기 커패시터는 상기 저항기를 통해 상기 접지로 전기적으로 연결되는, 상기 접지에 대하여 조정가능한 커플링 회로; 및A coupling circuit adjustable with respect to ground electrically connected to the first ground electrode, the first ground electrode being electrically connected to ground with an adjustable coupling circuit with respect to the ground, and adjustable with respect to the ground A coupling circuit is configured to change the variable impedance of the first ground electrode, wherein the adjustable coupling circuit with respect to ground includes at least one capacitor and a resistor, the capacitor electrically connected to the ground through the resistor. A coupling circuit connected to said ground, said adjustable circuit; And 상기 제2 접지 전극에 전기적으로 연결되는 접지에 대한 제2 커플링 회로로서, 상기 제2 접지 전극은 상기 접지에 대한 제2 커플링 회로를 통해 상기 접지로 전기적으로 연결되고, 상기 접지에 대한 제2 커플링 회로는 상기 제2 접지 전극의 외부에 있고, 상기 접지에 대한 제2 커플링 회로는 상기 한정 링 및 상기 제1 접지 전극 사이에 배치되는, 상기 접지에 대한 제2 커플링 회로를 포함하는, 플라즈마 처리 챔버.A second coupling circuit to ground electrically connected to the second ground electrode, the second ground electrode being electrically connected to the ground through a second coupling circuit to the ground, the second coupling circuit being connected to the ground; A second coupling circuit is external to the second ground electrode and the second coupling circuit to ground comprises a second coupling circuit to ground, disposed between the confinement ring and the first ground electrode. Plasma processing chamber. 삭제delete 삭제delete 제 1 항에 있어서,The method of claim 1, 상기 접지에 대하여 조정가능한 커플링 회로는 적어도 하나의 가변 커패시터와 저항기를 포함하고 상기 가변 커패시터는 상기 저항기를 통해 상기 접지로 전기적으로 연결되는, 플라즈마 처리 챔버.The coupling circuit adjustable with respect to ground includes at least one variable capacitor and a resistor, the variable capacitor being electrically connected to the ground through the resistor. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 제 1 항에 있어서, The method of claim 1, 상기 접지에 대하여 조정가능한 커플링 회로는 적어도 하나의 커패시터를 포함하고, 상기 커패시터는 고정 커패시턴스를 갖는, 플라즈마 처리 챔버. And the coupling circuit adjustable relative to ground includes at least one capacitor, the capacitor having a fixed capacitance. 제 1 항에 있어서, The method of claim 1, 상기 접지에 대하여 조정가능한 커플링 회로는 적어도 하나의 커패시터를 포함하고, 상기 커패시터의 커패시턴스는 1000㎊보다 작은, 플라즈마 처리 챔버. And the coupling circuit adjustable relative to ground includes at least one capacitor, the capacitance of the capacitor being less than 1000 mW. 제 24 항에 있어서, The method of claim 24, 상기 커패시터의 상기 커패시턴스는 2㎊인, 플라즈마 처리 챔버.The capacitance of the capacitor is 2 kHz. 제 1 항에 있어서,The method of claim 1, 상기 접지에 대하여 조정가능한 커플링 회로는 적어도 하나의 저항기를 포함하는, 플라즈마 처리 챔버.And the coupling circuit adjustable relative to ground includes at least one resistor. 제 26 항에 있어서,The method of claim 26, 상기 저항기의 저항은 3 μΩ인, 플라즈마 처리 챔버.And the resistor has a resistance of 3 μΩ. 제 1 항에 있어서,The method of claim 1, 상기 접지에 대하여 조정가능한 커플링 회로는 적어도 하나의 저항기와 커패시터를 포함하는, 플라즈마 처리 챔버.And the coupling circuit adjustable with respect to ground includes at least one resistor and a capacitor. 제 1 항에 있어서,The method of claim 1, 상기 제1 접지 전극의 임피던스는 상기 제2 접지 전극의 임피던스와 다른, 플라즈마 처리 챔버.The impedance of the first ground electrode is different from the impedance of the second ground electrode. 제 1 항에 있어서,The method of claim 1, 상기 제1 접지 전극의 임피던스는 상기 제2 접지 전극의 임피던스보다 높은, 플라즈마 처리 챔버.And the impedance of the first ground electrode is higher than the impedance of the second ground electrode. 제 1 항에 있어서,The method of claim 1, 상기 전력공급 전극은 오직 하나의 단일 전력 공급원과 연결되는, 플라즈마 처리 챔버.And the powered electrode is connected with only one single power source. 제 1 항에 있어서,The method of claim 1, 상기 전력공급 전극을 둘러싸는 포커스 링으로서, 상기 제2 접지 전극은 상기 포커스 링과 겹치는(overlapping), 상기 포커스 링; 및A focus ring surrounding the power supply electrode, the second ground electrode overlapping the focus ring; And 상기 포커스 링 아래에 배치되는 제3 접지 전극을 더 포함하고,A third ground electrode disposed under the focus ring; 상기 제1 접지 전극의 임피던스는 상기 제3 접지 전극의 임피던스와 다른, 플라즈마 처리 챔버.The impedance of the first ground electrode is different from the impedance of the third ground electrode. 제 32 항에 있어서,33. The method of claim 32, 상기 제1 접지 전극의 상기 임피던스는 상기 제3 접지 전극의 상기 임피던스보다 높은, 플라즈마 처리 챔버.The impedance of the first ground electrode is higher than the impedance of the third ground electrode. 제 1 항에 있어서,The method of claim 1, 많아도 하나의 전력 공급원을 더 포함하고, 상기 많아도 하나의 전력 공급원은 상기 전력공급 전극에 연결되는, 플라즈마 처리 챔버.And at least one power supply, the at least one power supply being connected to the power supply electrode. 제 1 항에 있어서,The method of claim 1, 상기 한정 링은 상기 제2 접지 전극 위로 연장되고 상기 제2 접지 전극 아래로 연장되는, 플라즈마 처리 챔버.The confinement ring extends above the second ground electrode and extends below the second ground electrode. 제 1 항에 있어서,The method of claim 1, 상기 제1 접지 전극은 상기 접지에 대하여 조정가능한 커플링 회로를 통해 접지되는, 플라즈마 처리 챔버.And the first ground electrode is grounded through a coupling circuit that is adjustable relative to ground. 제 1 항에 있어서,The method of claim 1, 상기 제2 접지 전극은 임의의 접지에 대하여 조정가능한 커플링 회로를 통해 접지되지 않는, 플라즈마 처리 챔버.And the second ground electrode is not grounded through a coupling circuit that is adjustable for any ground. 제 1 항에 있어서,The method of claim 1, 상기 제1 접지 전극의 표면은 상기 전력공급 전극의 표면과 대향하고, The surface of the first ground electrode is opposite to the surface of the power supply electrode, 상기 제1 접지 전극의 상기 표면의 면적은 상기 전력공급 전극의 상기 표면의 면적보다 작은, 플라즈마 처리 챔버.An area of the surface of the first ground electrode is smaller than an area of the surface of the power supply electrode. 제 1 항에 있어서,The method of claim 1, 상기 제2 접지 전극의 적어도 일 부분은 상기 전력공급 전극의 적어도 일부분과 겹치는, 플라즈마 처리 챔버.At least a portion of the second ground electrode overlaps at least a portion of the powered electrode.
KR1020057011629A 2002-12-20 2003-12-17 A system and method for controlling plasma with an adjustable coupling to ground circuit KR101029948B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/326,918 US20040118344A1 (en) 2002-12-20 2002-12-20 System and method for controlling plasma with an adjustable coupling to ground circuit
US10/326,918 2002-12-20

Publications (2)

Publication Number Publication Date
KR20050089976A KR20050089976A (en) 2005-09-09
KR101029948B1 true KR101029948B1 (en) 2011-04-19

Family

ID=32594132

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057011629A KR101029948B1 (en) 2002-12-20 2003-12-17 A system and method for controlling plasma with an adjustable coupling to ground circuit

Country Status (8)

Country Link
US (3) US20040118344A1 (en)
EP (1) EP1573795B1 (en)
JP (1) JP5129433B2 (en)
KR (1) KR101029948B1 (en)
CN (1) CN100380606C (en)
AU (1) AU2003297165A1 (en)
TW (1) TWI327752B (en)
WO (1) WO2004059716A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200470195Y1 (en) 2012-04-13 2013-12-02 아조호 엔터프라이즈 코포레이션 리미티드 Network signal coupling circuit

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
JP4553247B2 (en) * 2004-04-30 2010-09-29 東京エレクトロン株式会社 Plasma processing equipment
JP4628696B2 (en) * 2004-06-03 2011-02-09 東京エレクトロン株式会社 Plasma CVD equipment
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
KR20060005560A (en) * 2004-07-13 2006-01-18 삼성전자주식회사 Apparatus for fabricating semiconductor device using plasma
JP4628874B2 (en) * 2005-06-03 2011-02-09 東京エレクトロン株式会社 Plasma processing apparatus and potential control apparatus
US7988814B2 (en) * 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
US20070221332A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus
US7517437B2 (en) * 2006-03-29 2009-04-14 Applied Materials, Inc. RF powered target for increasing deposition uniformity in sputtering systems
US7611603B2 (en) * 2006-03-31 2009-11-03 Tokyo Electron Limited Plasma processing apparatus having impedance varying electrodes
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
JP5160802B2 (en) * 2007-03-27 2013-03-13 東京エレクトロン株式会社 Plasma processing equipment
JP5348848B2 (en) * 2007-03-28 2013-11-20 東京エレクトロン株式会社 Plasma processing equipment
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
US8450635B2 (en) * 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
JP2009081812A (en) * 2007-09-27 2009-04-16 Nec Electronics Corp Signal processing apparatus and method
JP5165993B2 (en) * 2007-10-18 2013-03-21 東京エレクトロン株式会社 Plasma processing equipment
CN101478857A (en) * 2008-01-04 2009-07-08 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma treatment apparatus
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
JP2009187673A (en) * 2008-02-01 2009-08-20 Nec Electronics Corp Plasma treatment device and method
US8920611B2 (en) * 2008-07-15 2014-12-30 Applied Materials, Inc. Method for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency RF impedance tuning
US9887069B2 (en) * 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5350043B2 (en) 2009-03-31 2013-11-27 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
JP5606063B2 (en) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 Plasma processing equipment
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012004160A (en) * 2010-06-14 2012-01-05 Tokyo Electron Ltd Substrate processing method and substrate processing apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102395243A (en) * 2011-10-19 2012-03-28 中微半导体设备(上海)有限公司 Inductance coupling plasma device for improving uniformity and efficiency of plasmon
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102156894B1 (en) * 2013-03-07 2020-09-17 세메스 주식회사 Apparatus for treating substrate adn method for controlling plasma
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102038647B1 (en) * 2013-06-21 2019-10-30 주식회사 원익아이피에스 Substrate support apparatus and substrate process apparatus having the same
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9232626B2 (en) 2013-11-04 2016-01-05 Kla-Tencor Corporation Wafer grounding using localized plasma source
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
WO2015099892A1 (en) * 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9472410B2 (en) * 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9211500B2 (en) * 2014-04-29 2015-12-15 Korea Institute Of Machinery & Materials Plasma reactor for abating hazardous material
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9490116B2 (en) 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN107305830B (en) * 2016-04-20 2020-02-11 中微半导体设备(上海)股份有限公司 Capacitively coupled plasma processing apparatus and plasma processing method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR101881779B1 (en) * 2017-10-31 2018-07-25 에스케이 텔레콤주식회사 Filter for ion trap apparatus and designing method thereof
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102512209B1 (en) * 2018-11-16 2023-03-21 주식회사 원익아이피에스 Substrate processing apparatus
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200395199A1 (en) * 2019-06-14 2020-12-17 Asm Ip Holding B.V. Substrate treatment apparatus and method of cleaning inside of chamber
CN112151343B (en) * 2019-06-28 2023-03-24 中微半导体设备(上海)股份有限公司 Capacitive coupling plasma processing device and method thereof
KR102279639B1 (en) * 2019-07-09 2021-07-20 한양대학교 산학협력단 Substrate treating apparatus
CN110379701A (en) * 2019-07-24 2019-10-25 沈阳拓荆科技有限公司 Wafer support seat with tunable radio frequency component
CN112530776B (en) * 2019-09-18 2024-02-09 中微半导体设备(上海)股份有限公司 Plasma processing device
KR20210042653A (en) * 2019-10-10 2021-04-20 주성엔지니어링(주) Substrate Processing Apparatus
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
CN113808900B (en) * 2020-06-17 2023-09-29 中微半导体设备(上海)股份有限公司 Plasma processing device and confinement ring assembly and method thereof
CN114023620B (en) * 2021-10-29 2023-07-14 德鸿半导体设备(浙江)有限公司 Processing station for processing substrates

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04157164A (en) * 1990-10-18 1992-05-29 Canon Inc Plasma treating device
KR100751748B1 (en) * 1999-12-30 2007-08-27 램 리써치 코포레이션 Linear drive system for use in a plasma processing system

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58158929A (en) * 1982-03-17 1983-09-21 Kokusai Electric Co Ltd Plasma generator
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
JPS60189925A (en) * 1984-03-10 1985-09-27 Anelva Corp High frequency discharge reactor
JPS61166028A (en) 1985-01-17 1986-07-26 Anelva Corp Dry etching equipment
JPS61174633A (en) * 1985-01-29 1986-08-06 Ulvac Corp Vacuum discharge treating device
JPS61175633A (en) 1985-01-30 1986-08-07 Toshiba Corp Image forming device
JPS61176633A (en) 1985-01-31 1986-08-08 Mitsubishi Rayon Co Ltd Composite prepreg
JPH029115A (en) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp Semiconductor manufacturing equipment
JPH05234697A (en) * 1992-02-19 1993-09-10 Hitachi Ltd Microwave plasma treating device
JPH0661185A (en) * 1992-08-06 1994-03-04 Tokyo Electron Ltd Plasma processing device
KR0141659B1 (en) * 1993-07-19 1998-07-15 가나이 쓰토무 An apparatus for removing foreign particles and the method
KR100302167B1 (en) * 1993-11-05 2001-11-22 히가시 데쓰로 Plasma Treatment Equipment and Plasma Treatment Methods
JP2956494B2 (en) 1994-10-26 1999-10-04 住友金属工業株式会社 Plasma processing equipment
JPH08321488A (en) * 1995-05-26 1996-12-03 Sony Corp Dry etching method and magnetron rie equipment
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6051284A (en) * 1996-05-08 2000-04-18 Applied Materials, Inc. Chamber monitoring and adjustment by plasma RF metrology
US5925519A (en) 1996-06-03 1999-07-20 The Regents Of The University Of California Genetic alterations associated with prostate cancer
JP3022806B2 (en) * 1997-05-15 2000-03-21 九州日本電気株式会社 Semiconductor device manufacturing apparatus and adjustment method thereof
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6677711B2 (en) * 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
WO2003017317A1 (en) 2001-08-13 2003-02-27 Mapper Lithography Ip B.V. Lithography system comprising a protected converter plate
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04157164A (en) * 1990-10-18 1992-05-29 Canon Inc Plasma treating device
KR100751748B1 (en) * 1999-12-30 2007-08-27 램 리써치 코포레이션 Linear drive system for use in a plasma processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200470195Y1 (en) 2012-04-13 2013-12-02 아조호 엔터프라이즈 코포레이션 리미티드 Network signal coupling circuit

Also Published As

Publication number Publication date
TW200423249A (en) 2004-11-01
JP5129433B2 (en) 2013-01-30
CN100380606C (en) 2008-04-09
US8518211B2 (en) 2013-08-27
US20060112878A1 (en) 2006-06-01
AU2003297165A1 (en) 2004-07-22
KR20050089976A (en) 2005-09-09
EP1573795B1 (en) 2017-02-15
US20130306240A1 (en) 2013-11-21
US20040118344A1 (en) 2004-06-24
TWI327752B (en) 2010-07-21
WO2004059716A1 (en) 2004-07-15
JP2006511059A (en) 2006-03-30
US9190302B2 (en) 2015-11-17
EP1573795A1 (en) 2005-09-14
EP1573795A4 (en) 2007-07-18
CN1726584A (en) 2006-01-25

Similar Documents

Publication Publication Date Title
KR101029948B1 (en) A system and method for controlling plasma with an adjustable coupling to ground circuit
US10774423B2 (en) Tunable ground planes in plasma chambers
US6706138B2 (en) Adjustable dual frequency voltage dividing plasma reactor
US10622190B2 (en) Systems and methods for controlling a plasma edge region
TWI448212B (en) Apparatus and method for plasma processing
KR101700981B1 (en) Multifrequency capacitively coupled plasma etch chamber
US20240030002A1 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
KR20060090745A (en) Side rf coil and side heater for plasma processing apparatus
CN112740396A (en) High temperature RF heater base
US11282679B2 (en) Plasma control apparatus and plasma processing system including the same
US20220399184A1 (en) Plasma uniformity control in pulsed dc plasma chamber
US11276601B2 (en) Apparatus and methods for manipulating power at an edge ring in a plasma processing device
KR102467966B1 (en) Hybrid plasma generator and control method of hybrid plasma generator
US11495470B1 (en) Method of enhancing etching selectivity using a pulsed plasma
US20220399185A1 (en) Plasma chamber and chamber component cleaning methods
CN114446755A (en) Apparatus for processing substrate and method for processing substrate
KR20050059858A (en) Power supply system comprising a plurality of electrode blocks

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140326

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160328

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170331

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180330

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 9