KR101010106B1 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
KR101010106B1
KR101010106B1 KR1020080065001A KR20080065001A KR101010106B1 KR 101010106 B1 KR101010106 B1 KR 101010106B1 KR 1020080065001 A KR1020080065001 A KR 1020080065001A KR 20080065001 A KR20080065001 A KR 20080065001A KR 101010106 B1 KR101010106 B1 KR 101010106B1
Authority
KR
South Korea
Prior art keywords
gate
landing plug
forming
film
oxide film
Prior art date
Application number
KR1020080065001A
Other languages
Korean (ko)
Other versions
KR20100004688A (en
Inventor
황주희
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020080065001A priority Critical patent/KR101010106B1/en
Publication of KR20100004688A publication Critical patent/KR20100004688A/en
Application granted granted Critical
Publication of KR101010106B1 publication Critical patent/KR101010106B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Abstract

본 발명은 반도체 소자의 제조방법에 관한 것으로, 랜딩플러그 콘택홀 저부의 질화막을 산화시켜 이를 제거한 후, 활성영역만을 선택적으로 식각함으로써 소자분리막의 손실을 최소화시켜 하부의 게이트 폴리실리콘층이 노출되는 현상을 방지하고, 이에 따라 게이트와 랜딩플러그가 전기적으로 쇼트되는 SAC 불량을 방지할 수 있는 기술을 개시한다. The present invention relates to a method of fabricating a semiconductor device, and after oxidizing and removing the nitride film at the bottom of the landing plug contact hole, selectively etching only the active region to minimize the loss of the device isolation layer to expose the lower gate polysilicon layer. And a technique capable of preventing SAC defects in which the gate and the landing plug are electrically shorted accordingly.

핀 마스크, 랜딩플러그 Pin Mask, Landing Plug

Description

반도체 소자의 제조방법{METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}Manufacturing method of semiconductor device {METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}

본 발명은 반도체 소자의 제조방법에 관한 것으로, 특히 반도체 소자의 랜딩플러그 형성 방법에 관한 기술이다. The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for forming a landing plug of a semiconductor device.

일반적으로, 트랜지스터에 널리 적용된 수평채널을 갖는 트랜지스터는 디자인 룰(design rule)이 감소함에 따라 여러가지 문제를 유발시켜 트랜지스터의 축소에 한계가 있다. 축소된 수평채널 트랜지스터의 가장 큰 문제점으로 채널의 길이가 짧아져 발생하는 단채널 효과 및 DIBL(Drain Induced Barrier Lower) 효과 등을 들 수 있다.In general, a transistor having a horizontal channel widely applied to a transistor has various limitations as the design rule is reduced, thereby limiting the size of the transistor. The biggest problems of the reduced horizontal channel transistors include short channel effects and drain induced barrier lower (DIBL) effects caused by shorter channel lengths.

수평채널 트랜지스터의 문제점을 극복하기 위하여 이중 게이트 트랜지스터(double gate transistor)가 제안되었다. 이중 게이트 트랜지스터는 30nm 이하의 두께를 갖는 채널과, 이 채널을 감싸거나, 이 채널의 양측에 게이트가 배치된 구조를 갖는다. 수평채널 트랜지스터는 게이트 전극이 수평채널의 상부에만 형성됨으로써 채널에는 상하 비대칭적으로 전계가 인가되어 게이트 전극에 의해 트랜지스터의 온/오프 동작을 효과적으로 제어하는데 많은 어려움이 있다. 그 결과, 채널 크기가 축소될수록 단채널 효과의 영향은 그만큼 커지게 된다.In order to overcome the problems of horizontal channel transistors, double gate transistors have been proposed. The double gate transistor has a channel having a thickness of 30 nm or less, and a structure surrounding the channel or having gates disposed on both sides of the channel. In the horizontal channel transistor, since the gate electrode is formed only on the upper portion of the horizontal channel, an electric field is applied asymmetrically to the channel, and thus there are many difficulties in effectively controlling the on / off operation of the transistor by the gate electrode. As a result, the smaller the channel size, the greater the influence of the short channel effect.

이에 반해, 수직채널을 갖는 이중 게이트 트랜지스터는 얇은 채널의 양측에 게이트 전극이 형성되기 때문에 채널의 모든 영역은 게이트 전극의 영향을 받게 된다. 따라서, 트랜지스터가 오프일 때 소스 및 드레인 사이의 전하 흐름을 억제할 수 있기 때문에 전력소모를 감소시킬 수 있고, 트랜지스터의 온/오프 동작을 효과적으로 제어할 수 있다. 이러한 수직 채널을 갖는 트랜지스터로는 핀(fin) 트랜지스터, 리세스(recess) 트랜지스터 및 핀 트랜지스터와 리세스 트랜지스터를 혼합한 새들 핀(saddle fin) 트랜지스터가 있다.In contrast, in the double gate transistor having the vertical channel, since gate electrodes are formed on both sides of the thin channel, all regions of the channel are affected by the gate electrode. Therefore, since the charge flow between the source and the drain can be suppressed when the transistor is off, power consumption can be reduced, and the on / off operation of the transistor can be effectively controlled. Transistors having such vertical channels include fin transistors, recess transistors, and saddle fin transistors in which fin transistors and recess transistors are mixed.

도 1a 내지 도 1d는 종래기술에 따른 반도체 소자의 제조방법을 도시한 단면도이다.1A to 1D are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the prior art.

도 1a를 참조하면, 반도체 기판(10)에 활성영역(12)을 정의하는 소자분리막(14)을 형성한다. 그 다음, 핀(fin) 마스크를 이용한 사진 식각 공정으로 활성영역(12) 및 소자분리막(14)을 식각하여 리세스(16)를 형성하고, 세정 공정을 진행하여 식각 잔유물을 제거한다. 이때, 세정 공정에 의해 소자분리막(14) 상에 형성된 리세스(16)의 중간 부분에 보잉 프로파일(bowing profile)이 형성된다. Referring to FIG. 1A, an isolation layer 14 defining an active region 12 is formed in a semiconductor substrate 10. Next, the active region 12 and the device isolation layer 14 are etched to form a recess 16 by a photolithography process using a fin mask, and the etching process is performed to remove the etch residues. At this time, a bowing profile is formed in the middle portion of the recess 16 formed on the device isolation layer 14 by the cleaning process.

도 1b를 참조하면, 리세스(16)를 포함한 반도체 기판(10) 전면에 게이트 산화막(미도시)을 형성한다. 그 다음, 상기 게이트 산화막 상부에 게이트 폴리실리콘층(18a), 베리어 메탈층(미도시), 게이트 전극층(18b) 및 게이트 하드마스크층(18c)을 형성한다. Referring to FIG. 1B, a gate oxide film (not shown) is formed on the entire surface of the semiconductor substrate 10 including the recess 16. Next, a gate polysilicon layer 18a, a barrier metal layer (not shown), a gate electrode layer 18b, and a gate hard mask layer 18c are formed on the gate oxide layer.

여기서, 베리어 메탈층은 티타늄(Ti)막과 티타늄 질화(TiN)막의 적층구조로 형성하고, 게이트 전극층(18b)은 텅스텐(W)층으로 형성하며, 게이트 하드마스크 층(18c)은 질화막으로 형성한다.Here, the barrier metal layer is formed of a laminated structure of a titanium (Ti) film and a titanium nitride (TiN) film, the gate electrode layer 18b is formed of a tungsten (W) layer, and the gate hard mask layer 18c is formed of a nitride film. do.

그 다음, 게이트 마스크(미도시)를 이용한 사진 식각공정으로 게이트 하드마스크층(18c), 게이트 전극층(18b), 베리어 메탈층 및 게이트 폴리실리콘층(18a)을 식각하여 게이트(18)를 형성한다. Next, the gate 18 is formed by etching the gate hard mask layer 18c, the gate electrode layer 18b, the barrier metal layer, and the gate polysilicon layer 18a by a photolithography process using a gate mask (not shown). .

그 다음, 게이트(18)를 포함한 반도체 기판(10) 표면에 게이트용 스페이서막(20)을 형성한다. 여기서, 게이트용 스페이서막(20)은 질화막으로 형성한다. 그 다음, 게이트용 스페이서막(20) 상부에 게이트(18) 사이를 매립하는 층간절연막(22)을 형성한다. 여기서, 층간절연막(22)은 산화막으로 형성한다.Next, a gate spacer film 20 is formed on the surface of the semiconductor substrate 10 including the gate 18. Here, the gate spacer film 20 is formed of a nitride film. Next, an interlayer insulating film 22 is formed on the gate spacer film 20 to fill the gap between the gates 18. Here, the interlayer insulating film 22 is formed of an oxide film.

도 1c를 참조하면, 랜딩플러그 콘택 마스크(미도시)를 이용한 사진 식각공정으로 층간절연막(22)을 식각하여 랜딩플러그 콘택홀(미도시)을 형성한다. 그 다음, 랜딩플러그 콘택홀 및 게이트용 스페이서막(20) 표면에 랜딩플러그 콘택용 스페이서막(24)을 형성하고, 랜딩플러그 콘택용 스페이서막(24) 상부에 버퍼 산화막(미도시)을 형성한다. Referring to FIG. 1C, the interlayer insulating layer 22 is etched by a photolithography process using a landing plug contact mask (not shown) to form a landing plug contact hole (not shown). Next, a landing plug contact spacer film 24 is formed on the landing plug contact hole and the gate spacer film 20, and a buffer oxide film (not shown) is formed on the landing plug contact spacer film 24. .

여기서, 랜딩플러그 콘택용 스페이서막(24)은 질화막으로 형성하고, 버퍼 산화막은 USG(Undoped Silicate Glass)막으로 형성한다. 이때, 버퍼 산화막은 게이트(18) 상부에는 두껍게 증착되고, 폭이 좁은 게이트(18) 사이에서는 얇게 증착된다. Here, the landing plug contact spacer 24 is formed of a nitride film, and the buffer oxide film is formed of a USG (Undoped Silicate Glass) film. At this time, the buffer oxide film is thickly deposited on the gate 18, and is thinly deposited between the narrow gates 18.

도 1d를 참조하면, 버퍼 산화막을 식각 마스크로 게이트(18) 사이의 랜딩플러그 콘택용 스페이서막(24) 및 게이트용 스페이서막(20)을 식각한다. 여기서, 랜딩플러그 콘택용 스페이서막(24) 및 게이트용 스페이서막(20)의 식각 공정은 에치 백(etch-back) 방법으로 수행하며, 반응가스로 플로린 계열을 사용한다. 이때, 에치백 공정시 랜딩플러그 콘택홀이 낫 오픈(not open) 되는 현상을 방지하기 위해 반도체 기판(10)도 일부 손실되도록 실시한다. 그런데, 소자분리막(14)이 활성영역(12) 보다 식각 속도가 더 빠르기 때문에, 소자분리막(14)의 손실이 더 크게 된다. 이때, 소자분리막(14) 상에 형성된 게이트 폴리실리콘층(18a)에 보잉 프로파일(bowing profile)이 형성된 상태이므로 소자분리막(14)의 손실에 의해 게이트 폴리실리콘층(18a)이 노출되게 된다. 이 경우 후속 공정에서 형성될 랜딩플러그와 게이트(18)가 전기적으로 쇼트(short) 되는 SAC 불량이 유발되는 문제점이 있다.Referring to FIG. 1D, the landing plug contact spacer 24 and the gate spacer layer 20 between the gates 18 are etched using the buffer oxide layer as an etching mask. Here, the etching process of the landing plug contact spacer layer 24 and the gate spacer layer 20 is performed by an etch-back method and uses a florin series as a reaction gas. In this case, the semiconductor substrate 10 may be partially lost to prevent the landing plug contact hole from being opened during the etch back process. However, since the isolation rate of the device isolation layer 14 is faster than that of the active region 12, the loss of the device isolation layer 14 is greater. In this case, since a bowing profile is formed in the gate polysilicon layer 18a formed on the isolation layer 14, the gate polysilicon layer 18a is exposed by the loss of the isolation layer 14. In this case, there is a problem in that the landing plug to be formed in a subsequent process and the SAC failure that the gate 18 is electrically short (short) is caused.

도 2는 종래기술에 따른 반도체 소자의 제조방법의 문제점을 도시한 사진이다.2 is a photograph showing a problem of a method of manufacturing a semiconductor device according to the prior art.

도 2를 참조하면, 핀 마스크를 이용한 사진 식각 공정시 소자분리막(14)에도 리세스가 형성된다. 그런데, 식각 잔유물을 제거하기 위한 세정 공정시 (a)에 도시된 바와 같이, 소자분리막(14) 상에 형성된 리세스의 중간 부분에 보잉 프로파일(bowing profile)(A)이 형성되는 것을 볼 수 있다. 이 상태에서 후속 버퍼 산화막 에치백(BOEB;Buffer Oxide Etch Back) 공정을 진행하면 소자분리막(14) 상의 게이트 폴리실리콘층(18a)이 노출된다. 이로 인해, (b) 및 (c)에 도시된 바와 같이, 랜딩플러그(28)와 게이트(18)가 전기적으로 쇼트(B)되는 SAC 불량이 유발된다. 이러한 문제점을 해결하기 위해 소자분리막(14) 상에 형성되는 리세스의 선폭을 감소시키면 게이트의 선폭이 확보가 되지 않아 트랜지스터의 특성이 열화되며, 세정 공정을 진행하지 않으면 계면 특성이 열화되는 문제점이 있다. Referring to FIG. 2, a recess is formed in the device isolation layer 14 during the photolithography process using the fin mask. However, as shown in (a) during the cleaning process for removing the etch residue, it can be seen that a bowing profile A is formed in the middle portion of the recess formed on the device isolation layer 14. . In this state, when a subsequent buffer oxide etch back (BOEB) process is performed, the gate polysilicon layer 18a on the device isolation layer 14 is exposed. This causes a SAC failure in which the landing plug 28 and the gate 18 are electrically shorted (B), as shown in (b) and (c). In order to solve this problem, if the line width of the recess formed on the device isolation layer 14 is reduced, the line width of the gate is not secured and the characteristics of the transistor are deteriorated. If the cleaning process is not performed, the interface characteristics are deteriorated. have.

본 발명은 다음과 같은 목적을 갖는다.The present invention has the following object.

첫째, 랜딩플러그 콘택홀 저부의 질화막을 산화시켜 이를 제거한 후, 활성영역만을 선택적으로 식각함으로써 소자분리막의 손실을 최소화시켜 하부의 게이트 폴리실리콘층이 노출되는 현상을 방지하고, 이에 따라 게이트와 랜딩플러그가 전기적으로 쇼트되는 SAC 불량을 방지할 수 있는데 그 목적이 있다.First, the nitride film of the bottom of the landing plug contact hole is oxidized and removed, and then only the active region is selectively etched to minimize the loss of the device isolation layer to prevent the lower gate polysilicon layer from being exposed, and thus the gate and the landing plug. The purpose of this is to prevent the SAC failure that is electrically shorted.

둘째, 버퍼 산화막의 형성, 세정 및 식각 공정을 생략할 수 있어 TAT(turn around time)를 단축시킬 수 있는데 그 목적이 있다. Second, since the formation, cleaning, and etching processes of the buffer oxide layer can be omitted, the purpose of the present invention is to shorten the turn around time (TAT).

본 발명에 따른 반도체 소자의 제조방법은 반도체 기판에 활성영역을 정의하는 소자분리막을 형성하는 단계; 상기 활성영역 및 상기 소자분리막을 식각하여 리세스를 형성하는 단계; 상기 리세스 상에 게이트를 형성하는 단계; 상기 게이트 사이를 매립하는 절연막을 형성하는 단계; 상기 절연막을 식각하여 랜딩플러그 콘택홀을 형성하는 단계; 상기 랜딩플러그 콘택홀 표면에 랜딩플러그용 스페이서막을 형성하는 단계; 상기 게이트 상부 및 랜딩플러그 콘택홀 저부의 상기 랜딩플러그용 스페이서막을 산화시켜 산화막을 형성하는 단계; 및 상기 산화막을 제거하는 단계를 포함하는 것을 특징으로 한다.A method of manufacturing a semiconductor device according to the present invention includes forming a device isolation film defining an active region on a semiconductor substrate; Etching the active region and the device isolation layer to form a recess; Forming a gate on the recess; Forming an insulating film filling the gate; Etching the insulating layer to form a landing plug contact hole; Forming a landing plug spacer layer on a surface of the landing plug contact hole; Forming an oxide layer by oxidizing the landing plug spacer layer on the gate and the bottom of the landing plug contact hole; And removing the oxide film.

여기서, 상기 리세스 형성 공정은 핀 마스크를 이용하여 수행하는 것과, 상기 리세스 형성 단계 이후에 식각 잔유물을 제거하기 위한 세정 공정을 수행하는 단계를 더 포함하는 것과, 상기 게이트 형성 단계 이후에 상기 게이트 및 상기 반도체 기판 표면에 게이트용 스페이서막을 형성하는 단계를 더 포함하는 것과, 상기 게이트용 스페이서막은 질화막(Si3N4)을 30~60Å의 두께로 형성하는 것과, 상기 랜딩플러그용 스페이서막은 질화막(Si3N4)을 20~40Å의 두께로 형성하는 것을 특징으로 한다.The recess forming process may further include performing a process using a fin mask, performing a cleaning process for removing etch residue after the recess forming step, and performing the gate after the gate forming step. And forming a gate spacer film on the surface of the semiconductor substrate, wherein the gate spacer film is formed to have a thickness of about 30 to 60 microns (N 3 ) of the nitride film (Si 3 N 4 ), and the spacer film for landing plug is a nitride film ( Si 3 N 4 ) to form a thickness of 20 ~ 40 20.

그리고, 상기 산화막 형성 단계는 산소 라디컬을 이용한 플라즈마 공정으로 수행하는 것과, 상기 산화막 형성 단계는 0.3~1.0torr의 압력과 400~600℃의 온도 하에서 SiH4, H2, O2 및 He의 혼합 가스를 이용하여 수행하는 것과, 상기 산화막의 두께는 50~100Å인 것과, 상기 산화막 제거 공정은 습식 세정 방법으로 수행하는 것과, 상기 산화막 제거 공정은 순수(DI water)와 HF를 25~30:1의 비율로 혼합한 용액으로 5~10초 동안 수행하는 것을 특징으로 한다.The oxide film forming step may be performed by a plasma process using oxygen radicals, and the oxide film forming step may be performed by mixing SiH 4 , H 2 , O 2, and He under a pressure of 0.3˜1.0 torr and a temperature of 400˜600 ° C. It is carried out using a gas, the thickness of the oxide film is 50 ~ 100Å, the oxide film removing process is carried out by a wet cleaning method, the oxide film removing process is DI water and HF 25 ~ 30: 1 It is characterized in that it is carried out for 5 to 10 seconds with a solution mixed in the ratio of.

또한, 상기 노출된 반도체 기판을 식각하는 단계를 더 포함하는 것과, 상기 노출된 반도체 기판의 식각 공정은 100~120sccm의 HBr 가스와 3~5sccm의 O2 가스를 이용하여 5~10초 동안 수행하는 것을 특징으로 한다.The method may further include etching the exposed semiconductor substrate, and the etching process of the exposed semiconductor substrate may be performed for 5 to 10 seconds using 100 to 120 sccm of HBr gas and 3 to 5 sccm of O2 gas. It features.

본 발명은 다음과 같은 효과를 제공한다.The present invention provides the following effects.

첫째, 랜딩플러그 콘택홀 저부의 질화막을 산화시켜 이를 제거한 후, 활성영역만을 선택적으로 식각함으로써 소자분리막의 손실을 최소화시켜 하부의 게이트 폴리실리콘층이 노출되는 현상을 방지하고, 이에 따라 게이트와 랜딩플러그가 전기 적으로 쇼트되는 SAC 불량을 방지할 수 있는 효과를 제공한다. First, the nitride film of the bottom of the landing plug contact hole is oxidized and removed, and then only the active region is selectively etched to minimize the loss of the device isolation layer to prevent the lower gate polysilicon layer from being exposed, and thus the gate and the landing plug. It provides an effect to prevent the SAC failure that is electrically shorted.

둘째, 버퍼 산화막의 형성, 세정 및 식각 공정을 생략할 수 있어 TAT(turn around time)를 단축시킬 수 있는 효과를 제공한다. Second, the formation, cleaning, and etching processes of the buffer oxide layer may be omitted, thereby providing an effect of shortening the turn around time (TAT).

이하, 첨부한 도면을 참조하여 본 발명의 실시예에 대해 상세히 설명하고자 한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 3a 내지 도 3f는 본 발명에 따른 반도체 소자의 제조방법을 도시한 단면도이다.3A to 3F are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with the present invention.

도 3a를 참조하면, 반도체 기판(100)에 활성영역(102)을 정의하는 소자분리막(104)을 형성한다. 그 다음, 핀(fin) 마스크를 이용한 사진 식각 공정으로 활성영역(102) 및 소자분리막(104)을 식각하여 리세스(106)를 형성하고, 세정 공정을 진행하여 식각 잔유물을 제거한다. 이때, 세정 공정에 의해 소자분리막(104) 상에 형성된 리세스(106)의 중간 부분에 보잉 프로파일(bowing profile)이 형성된다. Referring to FIG. 3A, an isolation layer 104 defining an active region 102 is formed in the semiconductor substrate 100. Next, the active region 102 and the device isolation layer 104 are etched to form a recess 106 by a photolithography process using a fin mask, and the etch residue is removed by performing a cleaning process. At this time, a bowing profile is formed in the middle portion of the recess 106 formed on the device isolation film 104 by the cleaning process.

도 3b를 참조하면, 리세스(106)를 포함한 반도체 기판(100) 전면에 게이트 산화막(미도시)을 형성한다. 그 다음, 상기 게이트 산화막 상부에 게이트 폴리실리콘층(108a), 베리어 메탈층(미도시), 게이트 전극층(108b) 및 게이트 하드마스크층(108c)을 형성한다.Referring to FIG. 3B, a gate oxide film (not shown) is formed on the entire surface of the semiconductor substrate 100 including the recess 106. A gate polysilicon layer 108a, a barrier metal layer (not shown), a gate electrode layer 108b, and a gate hard mask layer 108c are formed on the gate oxide layer.

여기서, 베리어 메탈층은 티타늄(Ti)막과 티타늄 질화(TiN)막의 적층구조로 형성하고, 게이트 전극층(108b)은 텅스텐(W)층으로 형성하며, 게이트 하드마스크층(108c)은 질화막으로 형성하는 것이 바람직하다.Here, the barrier metal layer is formed of a laminated structure of a titanium (Ti) film and a titanium nitride (TiN) film, the gate electrode layer 108b is formed of a tungsten (W) layer, and the gate hard mask layer 108c is formed of a nitride film. It is desirable to.

그 다음, 게이트 마스크(미도시)를 이용한 사진 식각공정으로 게이트 하드마스크층(108c), 게이트 전극층(108b), 베리어 메탈층 및 게이트 폴리실리콘층(108a)을 식각하여 게이트(108)를 형성한다. Next, the gate 108 is formed by etching the gate hard mask layer 108c, the gate electrode layer 108b, the barrier metal layer, and the gate polysilicon layer 108a by a photolithography process using a gate mask (not shown). .

그 다음, 게이트(108)를 포함한 반도체 기판(100) 표면에 게이트용 스페이서막(110)을 형성한다. 여기서, 게이트용 스페이서막(110)은 질화막(Si3N4)을 30~60Å의 두께로 형성한다. 그 다음, 게이트용 스페이서막(110) 상부에 게이트(108) 사이를 매립하는 층간절연막(112)을 형성한다. 여기서, 층간절연막(112)은 산화막으로 형성하는 것이 바람직하다.Next, a gate spacer layer 110 is formed on the surface of the semiconductor substrate 100 including the gate 108. Here, the gate spacer film 110 forms a nitride film (Si 3 N 4 ) to a thickness of 30 ~ 60Å. Next, an interlayer insulating film 112 is formed on the gate spacer film 110 to fill the gap between the gates 108. Here, the interlayer insulating film 112 is preferably formed of an oxide film.

도 3c를 참조하면, 랜딩플러그 콘택 마스크(미도시)를 이용한 사진 식각공정으로 층간절연막(112)을 식각하여 랜딩플러그 콘택홀(미도시)을 형성한다. 그 다음, 랜딩플러그 콘택홀 및 게이트용 스페이서막(110) 표면에 랜딩플러그 콘택용 스페이서막(114)을 형성한다. 여기서, 랜딩플러그 콘택용 스페이서막(114)은 질화막(Si3N4)을 20~40Å의 두께로 형성한다.Referring to FIG. 3C, the interlayer insulating layer 112 is etched by a photolithography process using a landing plug contact mask (not shown) to form a landing plug contact hole (not shown). Next, the landing plug contact spacer layer 114 is formed on the surface of the landing plug contact hole and the gate spacer layer 110. Here, the landing plug contact spacer film 114 forms a nitride film (Si 3 N 4 ) to a thickness of 20 to 40 kPa.

도 3d를 참조하면, 산소 라디컬을 이용한 플라즈마 공정을 수행하여 게이트용 스페이서막(110) 및 랜딩플러그 콘택용 스페이서막(114)을 산화시켜 산화막(SiO2)(116)을 형성한다. 이때, 플라즈마 가스(115)의 주입 방향과 수직한 면, 즉 게이트(108) 상부와 랜딩플러그 콘택홀 저부의 게이트용 스페이서막(110) 및 랜딩플러그 콘택용 스페이서막(114)의 산화가 플라즈마 가스의 주입 방향과 수평한 면, 즉 게이트(108) 측벽보다 더 빠르게 진행된다. 따라서, 게이트(108) 상부와 랜딩플 러그 콘택홀 저부에만 산화막(SiO2)(116)이 형성된다. 여기서, 플라즈마 공정은 0.3~1.0torr의 압력과 400~600℃의 온도 하에서 SiH4, H2, O2 및 He의 혼합 가스를 이용하여 수행하는 것이 바람직하다. 여기서, 혼합 가스 간의 반응, 즉 SiH4와 O2의 반응보다 게이트용 스페이서막(110) 및 랜딩플러그 콘택용 스페이서막(114), 즉 Si3N4와 O2의 반응을 더 우세하게 시킴으로써 Si3N4를 SiO2로 산화시킬 수 있다. 이때, 산화막(116)의 두께는 50~100Å인 것이 바람직하다. Referring to FIG. 3D, an oxide film (SiO 2 ) 116 is formed by oxidizing the gate spacer film 110 and the landing plug contact spacer film 114 by performing a plasma process using oxygen radicals. At this time, oxidation of the gate spacer film 110 and the landing plug contact spacer film 114 on the plane perpendicular to the injection direction of the plasma gas 115, that is, the upper portion of the gate 108 and the bottom of the landing plug contact hole is caused by the plasma gas. Is faster than the plane parallel to the injection direction, i.e., the gate 108 sidewall. Therefore, an oxide film (SiO 2 ) 116 is formed only on the gate 108 and the bottom of the landing plug contact hole. Here, the plasma process is preferably performed using a mixed gas of SiH 4 , H 2 , O 2 and He under a pressure of 0.3 ~ 1.0torr and a temperature of 400 ~ 600 ℃. Here, the reaction between the mixed gas, that is, the reaction between the gate spacer film 110 and the landing plug contact film 114, i.e., Si 3 N 4 and O 2 , is more preferable than the reaction between SiH 4 and O 2 . 3 N 4 can be oxidized to SiO 2 . At this time, it is preferable that the thickness of the oxide film 116 is 50-100 kPa.

도 3e를 참조하면, 산화막(116)을 제거한다. 여기서, 산화막(116)의 제거 공정은 습식 세정 공정으로 수행하는 것이 바람직하다. 이때, 습식 세정 공정은 순수(DI water)와 HF를 25~30:1의 비율로 혼합한 용액으로 5~10초 동안 수행하는 것이 바람직하다. Referring to FIG. 3E, the oxide film 116 is removed. Here, the removal process of the oxide film 116 is preferably performed by a wet cleaning process. In this case, the wet cleaning process is preferably performed for 5 to 10 seconds with a solution in which pure water (DI water) and HF are mixed at a ratio of 25 to 30: 1.

도 3f를 참조하면, 랜딩플러그 콘택홀이 낫 오픈(not open) 되는 현상을 방지하기 위해 반도체 기판(100)을 일부 식각한다. 여기서, 반도체 기판(100)의 식각 공정은 실리콘에 대한 식각 선택비가 높은 조건으로 수행하는 것이 바람직하다. 즉, 반도체 기판(100)의 식각 공정은 100~120sccm의 HBr 가스와 3~5sccm의 O2 가스를 이용하여 5~10초 동안 수행하는 것이 바람직하다. 이에 따라, 활성영역(102)은 100~200Å의 손실이 발생하는데 반해, 소자분리막(104)은 10Å 이하의 손실만이 발생한다. 따라서, 소자분리막(104)의 손실에 의해 게이트 폴리실리콘층(108a)이 노출되어 SAC 불량이 발생하는 현상을 방지할 수 있다. Referring to FIG. 3F, the semiconductor substrate 100 is partially etched to prevent the landing plug contact hole from being opened. In this case, the etching process of the semiconductor substrate 100 may be performed under conditions in which the etching selectivity to silicon is high. That is, the etching process of the semiconductor substrate 100 is preferably performed for 5 to 10 seconds using HBr gas of 100 ~ 120sccm and O2 gas of 3 ~ 5sccm. As a result, the loss of 100-200 GPa occurs in the active region 102, while the loss of the device isolation film 104 only 10 dB or less occurs. Accordingly, the gate polysilicon layer 108a may be exposed by the loss of the device isolation film 104, thereby preventing the SAC defect.

아울러 본 발명의 바람직한 실시예는 예시의 목적을 위한 것으로, 당업자라 면 첨부된 특허청구범위의 기술적 사상과 범위를 통해 다양한 수정, 변경, 대체 및 부가가 가능할 것이며, 이러한 수정 변경 등은 이하의 특허청구범위에 속하는 것으로 보아야 할 것이다.In addition, the preferred embodiment of the present invention is for the purpose of illustration, those skilled in the art will be able to various modifications, changes, substitutions and additions through the spirit and scope of the appended claims, such modifications and modifications are the following patents It should be regarded as belonging to the claims.

도 1a 내지 도 1d는 종래기술에 따른 반도체 소자의 제조방법을 도시한 단면도.1A to 1D are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the prior art.

도 2는 종래기술에 따른 반도체 소자의 제조방법의 문제점을 도시한 사진.Figure 2 is a photograph showing a problem of the manufacturing method of a semiconductor device according to the prior art.

도 3a 내지 도 3f는 본 발명에 따른 반도체 소자의 제조방법을 도시한 단면도.3A to 3F are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with the present invention.

Claims (13)

반도체 기판에 활성영역을 정의하는 소자분리막을 형성하는 단계;Forming an isolation layer defining an active region on the semiconductor substrate; 상기 활성영역 및 상기 소자분리막을 식각하여 리세스를 형성하는 단계;Etching the active region and the device isolation layer to form a recess; 상기 리세스 상에 게이트를 형성하는 단계;Forming a gate on the recess; 상기 게이트 사이를 매립하는 절연막을 형성하는 단계;Forming an insulating film filling the gate; 상기 절연막을 식각하여 랜딩플러그 콘택홀을 형성하는 단계;Etching the insulating layer to form a landing plug contact hole; 상기 랜딩플러그 콘택홀 표면에 랜딩플러그용 스페이서막을 형성하는 단계;Forming a landing plug spacer layer on a surface of the landing plug contact hole; 상기 게이트 상부 및 상기 랜딩플러그 콘택홀 저부의 상기 랜딩플러그용 스페이서막을 산화시켜 산화막을 형성하는 단계; 및Forming an oxide film by oxidizing the landing plug spacer layer on the gate and on the bottom of the landing plug contact hole; And 상기 산화막을 제거하여 상기 반도체 기판을 노출시키는 단계를 포함하되,Removing the oxide film to expose the semiconductor substrate, 상기 반도체 기판을 노출시키는 단계 이후 상기 노출된 반도체 기판을 식각하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법. And etching the exposed semiconductor substrate after exposing the semiconductor substrate. 제 1 항에 있어서, 상기 리세스 형성 공정은 핀 마스크를 이용하여 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the recess forming process is performed using a fin mask. 제 1 항에 있어서, 상기 리세스 형성 단계 이후에 식각 잔유물을 제거하기 위한 세정 공정을 수행하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, further comprising performing a cleaning process for removing etch residue after the recess forming step. 제 1 항에 있어서, 상기 게이트 형성 단계 이후에 상기 게이트 및 상기 반도체 기판 표면에 게이트용 스페이서막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, further comprising forming a gate spacer film on the gate and the surface of the semiconductor substrate after the gate forming step. 제 4 항에 있어서, 상기 게이트용 스페이서막은 질화막(Si3N4)을 30~60Å의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of manufacturing a semiconductor device according to claim 4, wherein the gate spacer film is formed of a nitride film (Si 3 N 4 ) having a thickness of 30 to 60 kPa. 제 1 항에 있어서, 상기 랜딩플러그용 스페이서막은 질화막(Si3N4)을 20~40Å의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of manufacturing a semiconductor device according to claim 1, wherein the landing plug spacer film forms a nitride film (Si 3 N 4 ) having a thickness of 20 to 40 kPa. 제 1 항에 있어서, 상기 산화막 형성 단계는 산소 라디컬을 이용한 플라즈마 공정으로 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the forming of the oxide film is performed by a plasma process using oxygen radicals. 제 1 항에 있어서, 상기 산화막 형성 단계는 0.3~1.0torr의 압력과 400~600℃의 온도 하에서 SiH4, H2, O2 및 He의 혼합 가스를 이용하여 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.The semiconductor device of claim 1, wherein the forming of the oxide film is performed using a mixed gas of SiH 4 , H 2 , O 2, and He under a pressure of 0.3˜1.0 torr and a temperature of 400˜600 ° C. 3 . Manufacturing method. 제 1 항에 있어서, 상기 산화막의 두께는 50~100Å인 것을 특징으로 하는 반도체 소자의 제조방법.The method of manufacturing a semiconductor device according to claim 1, wherein the oxide film has a thickness of 50 to 100 GPa. 제 1 항에 있어서, 상기 산화막 제거 공정은 습식 식각 방법으로 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the oxide film removing process is performed by a wet etching method. 제 1 항에 있어서, 상기 산화막 제거 공정은 순수(DI water)와 HF를 25~30:1의 비율로 혼합한 용액으로 5~10초 동안 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the oxide film removing process is performed for 5 to 10 seconds using a solution in which DI water and HF are mixed at a ratio of 25 to 30: 1. 삭제delete 제 1 항에 있어서, 상기 노출된 반도체 기판의 식각 공정은 100~120sccm의 HBr 가스와 3~5sccm의 O2 가스를 이용하여 5~10초 동안 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the etching process of the exposed semiconductor substrate is performed for 5 to 10 seconds using 100 to 120 sccm of HBr gas and 3 to 5 sccm of O 2 gas.
KR1020080065001A 2008-07-04 2008-07-04 Method for manufacturing semiconductor device KR101010106B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080065001A KR101010106B1 (en) 2008-07-04 2008-07-04 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080065001A KR101010106B1 (en) 2008-07-04 2008-07-04 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
KR20100004688A KR20100004688A (en) 2010-01-13
KR101010106B1 true KR101010106B1 (en) 2011-01-24

Family

ID=41814237

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080065001A KR101010106B1 (en) 2008-07-04 2008-07-04 Method for manufacturing semiconductor device

Country Status (1)

Country Link
KR (1) KR101010106B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013151324A1 (en) * 2012-04-03 2013-10-10 Kim Beom Jin Cradle for portable terminal

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100724629B1 (en) 2005-12-12 2007-06-04 주식회사 하이닉스반도체 Method for fabricating semiconductor device
KR20080013172A (en) * 2006-08-07 2008-02-13 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with cell spacer
KR20080045960A (en) * 2006-11-21 2008-05-26 주식회사 하이닉스반도체 Method for fabricating landing plug in semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100724629B1 (en) 2005-12-12 2007-06-04 주식회사 하이닉스반도체 Method for fabricating semiconductor device
KR20080013172A (en) * 2006-08-07 2008-02-13 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with cell spacer
KR20080045960A (en) * 2006-11-21 2008-05-26 주식회사 하이닉스반도체 Method for fabricating landing plug in semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013151324A1 (en) * 2012-04-03 2013-10-10 Kim Beom Jin Cradle for portable terminal

Also Published As

Publication number Publication date
KR20100004688A (en) 2010-01-13

Similar Documents

Publication Publication Date Title
US8178921B2 (en) Semiconductor device having reduced standby leakage current and increased driving current and method for manufacturing the same
KR102002782B1 (en) Method of manufacturing for Semiconductor device using expandable material
TWI249774B (en) Forming method of self-aligned contact for semiconductor device
TW201735266A (en) Semiconductor device and manufacturing method thereof
KR100733446B1 (en) Method for fabricating the same of semiconductor device with recess gate of flask shape
CN105448730A (en) Semiconductor structure and method of forming same
US20190013204A1 (en) Method of fabricating buried word line and gate on finfet
CN104517901A (en) Method for forming CMOS transistor
KR20040004911A (en) Method for forming self-aligned contact hole in semiconductor device
TWI609457B (en) Method of forming contact hole and semiconductor structure with contact plug
WO2019007335A1 (en) Semiconductor device and method for manufacturing same
KR101010106B1 (en) Method for manufacturing semiconductor device
JP2009032982A (en) Method for manufacturing semiconductor apparatus and semiconductor apparatus
KR20110078105A (en) Method for fabricating butting contact in semiconductor devices
KR100547247B1 (en) Method for fabricating semiconductor memory device
KR100951566B1 (en) Method for manufacturing semiconductor device with recess gate
KR100844935B1 (en) Method for fabricating semiconductor device with landing plug contact structure
TW202331934A (en) Method for forming semiconductor device structure
KR100734088B1 (en) Method of manufacturing transistor
KR20130005775A (en) Buried gate in semiconductor device and method for fabricating the same
KR100608375B1 (en) Method for forming gate in semiconductor device
KR100847831B1 (en) Method of Manufacturing Semiconductor Device
KR100607306B1 (en) Method of forming a contact plug in semiconductor device
KR20100028434A (en) Method for fabricating contact in semicondutor device
KR20090090712A (en) Merhod of manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee