KR100989852B1 - Lift pin structure and substrate treating apparatus with it - Google Patents

Lift pin structure and substrate treating apparatus with it Download PDF

Info

Publication number
KR100989852B1
KR100989852B1 KR1020080080921A KR20080080921A KR100989852B1 KR 100989852 B1 KR100989852 B1 KR 100989852B1 KR 1020080080921 A KR1020080080921 A KR 1020080080921A KR 20080080921 A KR20080080921 A KR 20080080921A KR 100989852 B1 KR100989852 B1 KR 100989852B1
Authority
KR
South Korea
Prior art keywords
magnet
lift pin
pin
lift
bellows
Prior art date
Application number
KR1020080080921A
Other languages
Korean (ko)
Other versions
KR20100022303A (en
Inventor
김형준
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020080080921A priority Critical patent/KR100989852B1/en
Publication of KR20100022303A publication Critical patent/KR20100022303A/en
Application granted granted Critical
Publication of KR100989852B1 publication Critical patent/KR100989852B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Abstract

본 발명은 리프트 핀 구조체 및 이를 구비하는 기판 처리 장치에 관한 것이다. 기판 처리 장치는 진공 챔버와, 정전척으로 구비되는 플레이트와, 리프트 핀과 핀 홀더 및 벨로우즈를 구비하는 리프트 핀 구조체 및, 리프트 핀 구조체를 동시에 상하로 이동하도록 구동하는 구동부를 포함한다. 리프트 핀 구조체는 복수 개의 자석들을 이용하여 리프트 핀과 핀 홀더가 결합된다. 본 발명에 의하면, 리프트 핀을 핀 홀더에 삽입함으로써, 자석들의 자력에 의해 고정, 결합된다. 따라서 리프트 핀의 설치 및 유지 보수가 용이하고, 기존의 나사 결합에 의해 발생되는 파티클의 발생을 방지할 수 있다.The present invention relates to a lift pin structure and a substrate processing apparatus having the same. The substrate processing apparatus includes a vacuum chamber, a plate provided by an electrostatic chuck, a lift pin structure having a lift pin, a pin holder and a bellows, and a drive unit for moving the lift pin structure to move up and down simultaneously. The lift pin structure is coupled to the lift pin and the pin holder using a plurality of magnets. According to the present invention, by inserting the lift pin into the pin holder, it is fixed and coupled by the magnetic force of the magnets. Therefore, it is easy to install and maintain the lift pin, and it is possible to prevent the generation of particles generated by the existing screw coupling.

기판 처리 장치, 리프트 핀 구조체, 핀 홀더, 자석, 벨로우즈 Substrate Processing Unit, Lift Pin Structure, Pin Holder, Magnet, Bellows

Description

리프트 핀 구조체 및 이를 구비하는 기판 처리 장치{LIFT PIN STRUCTURE AND SUBSTRATE TREATING APPARATUS WITH IT}LIFT PIN STRUCTURE AND SUBSTRATE TREATING APPARATUS WITH IT}

본 발명은 기판 처리 장치에 관한 것으로, 좀 더 구체적으로 조립 및 유지 보수가 용이하도록 자력을 이용하는 리프트 핀 구조체 및 이를 구비하는 기판 처리 장치에 관한 것이다.The present invention relates to a substrate processing apparatus, and more particularly, to a lift pin structure using magnetic force to facilitate assembly and maintenance, and a substrate processing apparatus having the same.

일반적으로, 반도체 소자를 제조하기 위하여, 기판에 증착, 도포, 현상, 식각, 세정 등과 같은 단위 공정들을 순차적 또는 반복적으로 처리한다. 이와 같은 단위 공정들을 처리하는 기판 처리 장치는 챔버 내부에 기판이 안착되는 플레이트를 구비한다. 플레이트에는 기판을 지지하는 복수 개의 리프트 핀들이 일정한 간격으로 배치된다. 또 플레이트는 기판을 고정시키기 위해, 클램프 또는 진공을 이용하거나, 정전기력을 이용하여 기판을 고정시킨다.In general, in order to manufacture a semiconductor device, unit processes such as deposition, coating, developing, etching, cleaning, and the like are sequentially or repeatedly processed on a substrate. A substrate processing apparatus for processing such unit processes includes a plate on which a substrate is mounted in a chamber. In the plate, a plurality of lift pins supporting the substrate are arranged at regular intervals. The plate also uses a clamp or a vacuum to fix the substrate, or uses an electrostatic force to fix the substrate.

도 1 및 도 2를 참조하면, 일반적인 기판 처리 장치는 챔버(미도시됨) 내부에 기판이 안착되는 정전척(ElectroStatic Chuck : ESC)(10)을 구비한다.1 and 2, a general substrate processing apparatus includes an electrostatic chuck (ESC) 10 in which a substrate is mounted in a chamber (not shown).

정전척(10)은 기판을 상부면에 안착하고, 상부면으로부터 기판을 분리, 지지하기 위한 복수 개의 리프트 핀(20)들이 상호 일정한 간격으로 배치된다. 정전척(10)은 리프트 핀(20)들은 설치하기 위한 복수 개의 핀홀(12)들을 제공한다. 핀홀(12)들 각각은 설치된 리프트 핀(20)이 상하로 이동될 수 있도록 정전척(10)을 상하로 수직 관통된다.The electrostatic chuck 10 seats the substrate on the upper surface, and a plurality of lift pins 20 for separating and supporting the substrate from the upper surface are arranged at regular intervals from each other. The electrostatic chuck 10 provides a plurality of pinholes 12 for mounting the lift pins 20. Each of the pinholes 12 vertically penetrates the electrostatic chuck 10 vertically so that the installed lift pins 20 can be moved up and down.

리프트 핀(20)들은 정전척(10) 하부에서 핀 홀더(30)와 결합된다. 이를 위해 리프트 핀(20)과 핀 홀더(30)는 상호 나사 체결된다. 예를 들어, 리프트 핀(20)의 하단부(22)와 핀 홀더(30)의 상단부(32)에 나사산이 형성되고, 이를 통해 결합된다. 또 핀 홀더(30)의 하단부(34)는 리프트 핀(20)을 상하로 이동시키는 구동부재(미도시됨)와 결합하기 위한 나사산이 형성된다. 예를 들어, 구동부재는 벨로우즈로 구비되며, 벨로우즈는 핀 홀더(30)의 하단부를 감싸도록 구성되어 리프트 핀(20)이 설치된 챔버 내부와 격리된다.The lift pins 20 are coupled to the pin holder 30 under the electrostatic chuck 10. To this end, the lift pin 20 and the pin holder 30 are screwed together. For example, a thread is formed at the lower end 22 of the lift pin 20 and the upper end 32 of the pin holder 30, and coupled thereto. In addition, the lower end portion 34 of the pin holder 30 is formed with a thread for engaging with a driving member (not shown) for moving the lift pin 20 up and down. For example, the drive member is provided with a bellows, the bellows is configured to surround the lower end of the pin holder 30 is isolated from the interior of the chamber in which the lift pin 20 is installed.

그러나 이러한 핀 홀더(30)는 직경이 작은 핀 형상의 구조물이므로 상단부(32)에 나사산을 가공하기가 어렵고, 가공 또는 결합 시, 쉽게 파손되는 등의 문제점이 있다. 또한, 리프트 핀(20)을 설치하거나 유지 보수하는 경우, 핀 홀더(30)와의 나사산을 분리, 결합하는 조립 과정에서 파티클이 발생될 수 있다.However, since the pin holder 30 is a pin-shaped structure having a small diameter, it is difficult to process the thread on the upper end 32, and there is a problem such as being easily broken when machining or joining. In addition, when the lift pin 20 is installed or maintained, particles may be generated in an assembly process of separating and coupling a thread with the pin holder 30.

본 발명의 목적은 자력을 이용하는 리프트 핀 구조체 및 이를 구비하는 기판 처리 장치를 제공하는 것이다.An object of the present invention is to provide a lift pin structure using a magnetic force and a substrate processing apparatus having the same.

본 발명의 다른 목적은 조립 및 유지 보수가 용이한 리프트 핀 구조체 및 이를 구비하는 기판 처리 장치를 제공하는 것이다.Another object of the present invention is to provide a lift pin structure that is easy to assemble and maintain and a substrate processing apparatus having the same.

본 발명의 또 다른 목적은 파손 및 파티클 발생원을 제거하기 위한 리프트 핀 구조체 및 이를 구비하는 기판 처리 장치를 제공하는 것이다.Still another object of the present invention is to provide a lift pin structure for removing breakage and particle generation sources and a substrate processing apparatus having the same.

상기 목적들을 달성하기 위한, 본 발명의 리프트 핀 구조체는 자력을 이용하여 결합하는데 그 한 특징이 있다. 이와 같이 리프트 핀 구조체는 설치 및 유지 보수가 용이하다.In order to achieve the above objects, the lift pin structure of the present invention is characterized by the coupling using magnetic force. As such, the lift pin structure is easy to install and maintain.

본 발명의 리프트 핀 구조체는, 상단이 기판과 접촉하여 상기 기판을 지지하는 리프트 핀과; 상기 리프트 핀의 하단부에 결합되는 제 1 자석과; 상부가 개방되고 상기 리프트 핀이 상하로 이동되는 방향으로 연장되는 내부 공간에 상기 리프트 핀이 삽입 설치되는 핀 홀더 및; 상기 내부 공간의 하부면에 설치되고, 상기 제 1 자석과 작용하여 상기 리프트 핀을 상기 핀 홀더에 결합하는 제 2 자석을 포함한다.The lift pin structure of the present invention includes: a lift pin having an upper end in contact with a substrate to support the substrate; A first magnet coupled to a lower end of the lift pin; A pin holder in which an upper portion of the lift pin is inserted and installed in an inner space extending in a direction in which the lift pin moves up and down; It is installed on the lower surface of the inner space, and includes a second magnet that acts with the first magnet to couple the lift pin to the pin holder.

한 실시예에 있어서, 상기 핀 홀더는; 상기 내부 공간의 내측 상단부에 설치되어, 상기 리프트 핀이 상기 내부 공간의 중심축으로부터 이탈되는 것을 방지하도록 상기 제 1 자석과 작용하여 상기 리프트 핀의 위치를 보정하는 제 3 자석을 더 포함한다.In one embodiment, the pin holder; And a third magnet installed at an inner upper end portion of the inner space to operate with the first magnet so as to prevent the lift pin from being separated from the central axis of the inner space.

다른 실시예에 있어서, 상기 제 1 자석과 상기 제 2 자석은 상호 반대 극성으로 구비되고, 상기 제 3 자석은 상기 제 1 자석과 동일한 극성으로 구비된다.In another embodiment, the first magnet and the second magnet is provided with the opposite polarity, the third magnet is provided with the same polarity as the first magnet.

또 다른 실시예에 있어서, 상기 제 1 자석은 상기 제 2 자석과 결합된 상태에서 일부가 상기 제 3 자석과 중첩되게 배치된다.In another embodiment, the first magnet is partially overlapped with the third magnet while being coupled with the second magnet.

또 다른 실시예에 있어서, 상기 제 2 자석과 상기 제 3 자석은 상호 일정 거리 이격되게 배치된다.In another embodiment, the second magnet and the third magnet is disposed spaced apart from each other by a predetermined distance.

또 다른 실시예에 있어서, 상기 제 1 자석은 상기 리프트 핀의 하단부가 연장된 형상으로 구비되고, 상기 제 2 자석은 상기 제 1 자석과 동일한 형상으로 구비된다.In another embodiment, the first magnet is provided in a shape in which the lower end of the lift pin is extended, the second magnet is provided in the same shape as the first magnet.

또 다른 실시예에 있어서, 상기 리프트 핀과 상기 제 1 자석은 본딩 또는 나사산 결합으로 접합된다.In yet another embodiment, the lift pins and the first magnet are bonded by bonding or threaded coupling.

또 다른 실시예에 있어서, 상기 리프트 핀 구조체는; 내부에 상기 핀 홀더가 고정 설치되어 상기 리프트 핀을 상하로 이동시키는 벨로우즈를 더 포함한다.In another embodiment, the lift pin structure; The pin holder is fixed to the inside further includes a bellows for moving the lift pin up and down.

또 다른 실시예에 있어서, 상기 핀 홀더는 상기 리프트 핀의 상하 이동 거리에 대응하여 상기 벨로우즈 내부에서 상하로 이동되도록 배치된다.In another embodiment, the pin holder is arranged to move up and down inside the bellows corresponding to the vertical movement distance of the lift pin.

본 발명의 다른 특징에 의하면, 자력을 이용하여 체결되는 리프트 핀 구조체를 구비하는 기판 처리 장치가 제공된다. 이와 같은 본 발명의 기판 처리 장치는 자력을 이용하여 리프트 핀을 설치함으로써, 유지 보수가 용이하고, 파티클 발생을 방지하여 플레이트가 손상되는 것을 방지할 수 있다.According to another feature of the present invention, there is provided a substrate processing apparatus having a lift pin structure that is fastened using magnetic force. Such a substrate processing apparatus of the present invention can provide easy maintenance by preventing the occurrence of particles by preventing the occurrence of particles by providing a lift pin using magnetic force.

본 발명의 기판 처리 장치는, 기판을 처리하는 챔버와; 상기 챔버의 내부 하단에 배치되어 기판이 안착되는 플레이트와; 상기 플레이트 내부에 상하로 관통되게 설치되어 기판을 지지하도록 상하 이동하고, 하단부에 제 1 자석이 결합되는 복수 개의 리프트 핀과; 내부 공간에 상기 리프트 핀이 삽입되고, 상기 내부 공간의 하부면에 상기 제 1 자석과 작용하여 상기 리프트 핀이 결합되는 제 2 자석을 구비 하는 복수 개의 핀 홀더와; 상단이 상기 플레이트의 하부면과 결합되고, 내부에 상기 핀 홀더가 장착되어, 상기 리프트 핀을 상하로 이동시키는 복수 개의 벨로우즈 및; 상기 벨로우즈들의 하단부와 결합되어 상기 벨로우즈들을 동시에 구동하는 구동부를 포함한다.A substrate processing apparatus of the present invention includes a chamber for processing a substrate; A plate disposed at an inner lower end of the chamber to seat a substrate; A plurality of lift pins installed vertically through the plate to move up and down to support a substrate and having a first magnet coupled to a lower end thereof; A plurality of pin holders having a lift pin inserted into an inner space, and having a second magnet coupled to the first magnet on a lower surface of the inner space to engage the lift pin; A plurality of bellows having an upper end coupled with a lower surface of the plate, and having the pin holder mounted therein to move the lift pin up and down; It is coupled to the lower end of the bellows and includes a driving unit for driving the bellows at the same time.

한 실시예에 있어서, 상기 핀 홀더는; 상기 내부 공간의 내측 상단부에 설치되어, 상기 리프트 핀이 상기 내부 공간의 중심축으로부터 이탈되는 것을 방지하도록 상기 제 1 자석과 작용하여 상기 리프트 핀의 위치를 보정하는 제 3 자석을 더 구비한다.In one embodiment, the pin holder; And a third magnet installed at an inner upper end portion of the inner space to operate with the first magnet so as to prevent the lift pin from being separated from the central axis of the inner space.

다른 실시예에 있어서, 상기 제 1 자석과 상기 제 2 자석은 반대 극성으로 구비되고, 상기 제 3 자석은 상기 제 1 자석과 동일한 극성으로 구비된다.In another embodiment, the first magnet and the second magnet is provided with the opposite polarity, the third magnet is provided with the same polarity as the first magnet.

또 다른 실시예에 있어서, 상기 제 1 자석은 상기 제 2 자석과 결합된 상태에서 일부가 상기 제 3 자석과 중첩되게 배치되고, 상기 제 2 자석과 상기 제 3 자석은 상호 일정 거리 이격되게 배치된다.In another embodiment, the first magnet is partially overlapped with the third magnet while being coupled with the second magnet, and the second magnet and the third magnet are disposed to be spaced apart from each other by a predetermined distance. .

또 다른 실시예에 있어서, 상기 제 1 자석은 상기 리프트 핀의 하단부가 연장된 형상으로 구비되고, 상기 제 2 자석은 상기 제 1 자석과 동일한 형상으로 구비된다.In another embodiment, the first magnet is provided in a shape in which the lower end of the lift pin is extended, the second magnet is provided in the same shape as the first magnet.

또 다른 실시예에 있어서, 상기 구동부는; 상기 리프트 핀들이 동시에 상하로 이동하도록 상기 벨로우즈들의 하단부와 연결되는 연결부재 및; 상기 벨로우즈들이 동시에 수축 또는 팽창하도록 상기 연결부재를 상하로 이동시키는 구동부재를 포함한다.In another embodiment, the driving unit; A connecting member connected to a lower end of the bellows so that the lift pins move up and down simultaneously; And a driving member for moving the connecting member up and down to simultaneously contract or expand the bellows.

또 다른 실시예에 있어서, 상기 챔버는 진공 챔버로 구비되고, 상기 벨로우즈는 상기 챔버와 격리된 대기 상태에 배치된다.In another embodiment, the chamber is provided with a vacuum chamber, and the bellows is disposed in an atmosphere separate from the chamber.

상술한 바와 같이, 본 발명의 리프트 핀 구조체는 자력을 이용하여 리프트 핀을 핀 홀더와 결합함으로써, 조립 및 분해가 용이하다.As described above, the lift pin structure of the present invention is easy to assemble and disassemble by combining the lift pin with the pin holder using magnetic force.

또 본 발명의 리프트 핀 구조체는 리프트 핀과 핀 홀더의 결합 부분에 나사산을 제거함으로써, 조립 및 분해 시, 파손 및 파티클 발생을 방지할 수 있다.In addition, the lift pin structure of the present invention can prevent the occurrence of breakage and particles during assembly and disassembly, by removing the thread in the coupling portion of the lift pin and the pin holder.

또한 본 발명의 기판 처리 장치는 자력을 이용한 리프트 핀 구조체를 구비함으로써, 유지 보수 시간을 단축할 수 있다.Moreover, the substrate processing apparatus of this invention can shorten maintenance time by providing the lift pin structure using magnetic force.

또한 본 발명의 기판 처리 장치는 자력을 이용하여 리프트 핀을 설치 및 이동함으로, 파티클에 의해 플레이트의 핀홀 내부가 긁히는 현상을 방지할 수 있다.In addition, the substrate processing apparatus of the present invention can prevent the phenomenon that the inside of the pinhole of the plate is scratched by the particles by installing and moving the lift pin using magnetic force.

본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 서술하는 실시예로 인해 한정되어지는 것으로 해석되어서는 안된다. 본 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되는 것이다. 따라서 도면에서의 구성 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장되어진 것이다.The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be interpreted as being limited by the embodiments described below. This embodiment is provided to more completely explain the present invention to those skilled in the art. Therefore, the shapes and the like of the components in the drawings are exaggerated in order to emphasize a clearer explanation.

이하 첨부된 도 3 내지 도 8을 참조하여 본 발명의 실시예를 상세히 설명한다.Hereinafter, embodiments of the present invention will be described in detail with reference to FIGS. 3 to 8.

도 3은 본 발명에 따른 기판 처리 장치의 구성을 도시한 도면이고, 도 4는 도 3에 도시된 리프트 핀의 구성을 나타내는 도면이고, 도 5는 도 3에 도시된 핀 홀더의 구성을 나타내는 도면이다. 또 도 6은 도 5에 도시된 핀 홀더가 벨로우즈에 결합된 상태를 나타내는 도면이다.3 is a view showing the configuration of the substrate processing apparatus according to the present invention, FIG. 4 is a view showing the configuration of the lift pin shown in FIG. 3, and FIG. 5 is a view showing the configuration of the pin holder shown in FIG. to be. 6 is a view showing a state in which the pin holder shown in Figure 5 is coupled to the bellows.

도 3을 참조하면, 기판 처리 장치(100)는 하나의 기판을 공정 처리하는 매엽식 처리 장치로서, 기판(미도시됨)을 처리하는 챔버(102)와, 기판이 안착되는 플레이트(104)와, 기판을 지지하고, 상하로 이동하기 위한 복수 개의 리프트 핀 구조체(110) 및, 리프트 핀 구조체(110)를 구동하는 구동부(140)를 포함한다.Referring to FIG. 3, the substrate processing apparatus 100 is a sheet type processing apparatus for processing a single substrate, including a chamber 102 for processing a substrate (not shown), a plate 104 on which a substrate is placed, , A plurality of lift pin structures 110 for supporting the substrate and moving up and down, and a driver 140 driving the lift pin structures 110.

챔버(102)는 예를 들어, 식각, 플라즈마 공정 등을 처리하는 진공 챔버로 구비된다.The chamber 102 is provided with, for example, a vacuum chamber that processes etching, plasma processing, and the like.

플레이트(104)는 예컨대, 정전척으로 구비되며, 챔버(102) 내부의 하단에 배치되어 기판이 안착된다. 플레이트(104)는 기판을 지지 및 이송하는 복수 개의 리프트 핀(112)들이 일정한 간격으로 설치된다. 이를 위해 플레이트(104)는 내부가 상하로 관통되어 리프트 핀(112)들이 상하로 이동 가능한 복수 개의 핀홀(106)들이 형성된다.The plate 104 is provided with, for example, an electrostatic chuck and is disposed at the lower end of the chamber 102 to seat the substrate. The plate 104 is provided with a plurality of lift pins 112 for supporting and transporting the substrate at regular intervals. To this end, the plate 104 penetrates up and down to form a plurality of pin holes 106 in which the lift pins 112 can move up and down.

리프트 핀 구조체(110)는 리프트 핀(112)과, 리프트 핀(112)을 고정하는 핀 홀더(120) 및, 챔버(102)의 하부벽(102a)에 배치되고 내부에 핀 홀더(120)가 설치되는 벨로우즈(130)를 포함한다.The lift pin structure 110 is disposed on the lift pin 112, the pin holder 120 holding the lift pin 112, and the lower wall 102a of the chamber 102, and the pin holder 120 is disposed therein. It includes a bellows 130 is installed.

리프트 핀(112)은 예컨대, 세라믹 재질로 구비되어, 플레이트(104)의 핀홀(106)을 따라 상하 이동한다. 리프트 핀(112)은 도 4에 도시된 바와 같이, 하단부(116)에 제 1 자석(114)이 결합된다. 예를 들어, 제 1 자석(114)은 리프트 핀(112)의 하단부(116)와 본딩되거나 나사 결합된다.The lift pin 112 is provided with, for example, a ceramic material and moves up and down along the pinhole 106 of the plate 104. As shown in FIG. 4, the lift pin 112 is coupled to the first magnet 114 at the lower end 116. For example, the first magnet 114 is bonded or screwed to the lower end 116 of the lift pin 112.

핀 홀더(120)는 도 5에 도시된 바와 같이, 리프트 핀(112)이 삽입되는 내부 공간(121)이 형성된 몸체(122)를 포함한다. 몸체(122)는 내부 공간(121)의 상부가 개방되고 하부가 밀폐되며, 삽입 설치된 리프트 핀(112)이 상하로 이동되는 방향으로 연장되는 원통 형상을 갖는다. 또 핀 홀더(120)는 리프트 핀(112)을 고정하기 위하여, 내부 공간(121)의 하부면에 제 1 자석(114)과 작용하는 제 2 자석(126)을 구비한다. 또 핀 홀더(120)는 내부 공간(121)의 내측 상부면에 설치되어, 리프트 핀(112)이 내부 공간(121)의 중심축(123)으로부터 이탈되는 것을 방지하기 위해, 리프트 핀(112)의 제 1 자석(114)과 작용하여 리프트 핀(112)의 위치가 중심축(123) 상에 위치되도록 위치 보정하는 제 3 자석(124)을 구비한다. 또 핀 홀더(120)는 몸체(122)의 하단부(128)가 벨로우즈(130)에 고정 설치된다.The pin holder 120 includes a body 122 in which an inner space 121 into which the lift pin 112 is inserted is formed, as shown in FIG. 5. The body 122 has a cylindrical shape in which an upper portion of the inner space 121 is opened and a lower portion thereof is closed, and the lift pin 112 inserted therein extends in a vertical movement direction. In addition, the pin holder 120 includes a second magnet 126 that works with the first magnet 114 on the lower surface of the internal space 121 to fix the lift pin 112. In addition, the pin holder 120 is installed on the inner upper surface of the inner space 121, so as to prevent the lift pin 112 from being separated from the central axis 123 of the inner space 121, the lift pin 112. And a third magnet 124 that works with the first magnet 114 of to correct the position so that the position of the lift pin 112 is positioned on the central axis 123. In addition, the pin holder 120 is fixed to the bellows 130, the lower end portion 128 of the body 122.

제 1 자석(114)과 제 2 자석(126)은 핀 홀더(120)의 내부 공간(121)의 중심축(123) 상에 배치된다. 이 때, 제 1 자석(114)은 리프트 핀(112)의 하단부(116)가 연장된 형상으로 구비되고, 제 2 자석(126)은 제 1 자석(114)과의 결합 위치가 정확하도록 제 1 자석(114)과 동일한 형상으로 구비된다. 즉, 제 1 자석(114)과 제 2 자석이(126) 결합되는 결합면은 동일한 형상을 갖는다.The first magnet 114 and the second magnet 126 are disposed on the central axis 123 of the inner space 121 of the pin holder 120. At this time, the first magnet 114 is provided with a shape in which the lower end 116 of the lift pin 112 is extended, the second magnet 126 is the first magnet so that the coupling position with the first magnet 114 is accurate It is provided in the same shape as the magnet 114. That is, the coupling surface to which the first magnet 114 and the second magnet 126 are coupled has the same shape.

또 제 1 자석(114)과 제 2 자석(126)은 상호 반대 극성으로 구비되고, 제 1 자석(114)과 제 3 자석(124)은 동일한 극성으로 구비된다. 예를 들어, 제 1 및 제 3 자석(114, 124)은 S 극이고, 제 2 자석(126)은 N 극으로 구비된다. 물론 제 1 내지 제 3 자석(114, 126, 124)들은 각각 이와 반대의 극성으로도 구비될 수 있다.In addition, the first magnet 114 and the second magnet 126 are provided with opposite polarities, and the first magnet 114 and the third magnet 124 are provided with the same polarity. For example, the first and third magnets 114, 124 are S poles, and the second magnet 126 is provided with N poles. Of course, the first to third magnets 114, 126, and 124 may be provided with opposite polarities, respectively.

또 제 1 자석(114)은 리프트 핀(112)이 핀 홀더(120)에 삽입되어 제 2 자석(126)과 결합된 상태에서 제 3 자석(124)의 일부(도 7의 D1)와 중첩되게 배치되며, 제 2 자석(126)과 제 3 자석(124)은 상호 자력의 영향을 받지 않도록 일정 간격(도 7의 D2)이 이격되게 배치된다. 이는 제 3 자석(124)에 의해 리프트 핀(112)이 정지 상태 및 상하 이동 중에도 내부 공간(121)의 중심축(123)으로부터 이탈되는 것을 방지하기 위함이다.In addition, the first magnet 114 is overlapped with a part of the third magnet 124 (D1 in FIG. 7) while the lift pin 112 is inserted into the pin holder 120 and coupled with the second magnet 126. The second magnet 126 and the third magnet 124 are arranged to be spaced apart from each other by a predetermined interval (D2 in FIG. 7) so as not to be affected by mutual magnetic forces. This is to prevent the lift pin 112 from being separated from the central axis 123 of the internal space 121 by the third magnet 124 even during the stationary state and the vertical movement.

다시 도 3 및 도 6을 참조하면, 벨로우즈(130)는 리프트 핀(112)들에 대응하여 복수 개가 구비되고, 챔버(102)와 격리된 대기 상태에 배치된다. 또 벨로우즈(130)는 상단(132)이 플레이트(104)의 하부면과 결합되고, 내부에 핀 홀더(120)가 장착되며, 하단(134)이 구동부(140)와 결합된다. 이를 위해 벨로우즈(130)의 상단(132)과 하단(134)은 각각 플랜지(flange) 형상으로 구비된다.3 and 6, the bellows 130 is provided in plurality in correspondence with the lift pins 112, and is disposed in a stand-by state that is isolated from the chamber 102. In addition, the bellows 130 has an upper end 132 coupled to the lower surface of the plate 104, a pin holder 120 mounted therein, and a lower end 134 coupled to the driving unit 140. To this end, the upper end 132 and the lower end 134 of the bellows 130 are each provided in a flange shape.

벨로우즈(130)들은 구동부(140)에 의해 수축 및 팽창되어 리프트 핀(112)들을 동시에 상하로 이동시킨다. 이 때, 핀 홀더(130)는 벨로우즈(130)가 수축 및 팽창될 때에 벨로우즈(130)의 상단(132)과 내부 공간(121)의 내측 상단부 사이(도 7의 D3)를 이동하도록 벨로우즈(130) 내부에 고정 설치된다. 물론 벨로우즈(130)의 하단(134)과 핀 홀더(120)의 몸체(122)는 일체형으로 구비될 수 있다.The bellows 130 is contracted and expanded by the driver 140 to simultaneously move the lift pins 112 up and down. At this time, the pin holder 130 moves the bellows 130 to move between the upper end 132 of the bellows 130 and the inner upper end of the inner space 121 (D3 in FIG. 7) when the bellows 130 is contracted and expanded. ) It is fixed inside. Of course, the lower end 134 of the bellows 130 and the body 122 of the pin holder 120 may be integrally provided.

다시 도 3을 참조하면, 구동부(140)는 복수 개의 벨로우즈(130)들과 결합되어 벨로우즈(130)들을 동시에 구동한다. 즉, 구동부(140)는 리프트 핀(112)들이 동시에 상하로 이동하도록 복수 개의 벨로우즈(130)의 하단(134)과 연결되는 연결부재(144)와, 연결부재(144)를 상하로 구동하는 구동부재(142)를 포함한다. 예를 들 어, 연결부재(144)는 플레이트 형상으로 구비되고, 구동부재(142)는 연결부재(144)를 상하로 직선 이동시키는 모터, 실린더 등으로 구비된다. 물론 구동부(140)는 벨로우즈(130)들을 개별적으로 구동시키는 구조를 가질 수도 있다.Referring to FIG. 3 again, the driving unit 140 is coupled to the plurality of bellows 130 to simultaneously drive the bellows 130. That is, the driving unit 140 drives the connecting member 144 connected to the lower ends 134 of the plurality of bellows 130 and the connecting member 144 up and down so that the lift pins 112 move up and down at the same time. Member 142. For example, the connecting member 144 is provided in a plate shape, the drive member 142 is provided with a motor, a cylinder or the like for linearly moving the connecting member 144 up and down. Of course, the driving unit 140 may have a structure for individually driving the bellows 130.

따라서 본 발명의 리프트 핀 구조체(110) 및 이를 구비하는 기판 처리 장치(100)는 리프트 핀(112)을 핀 홀더(120)에 삽입함으로써, 제 1 내지 제 3 자석(114, 126, 124)의 자력에 의해 고정, 결합된다.Therefore, the lift pin structure 110 and the substrate processing apparatus 100 having the same of the present invention insert the lift pins 112 into the pin holders 120 to form the first to third magnets 114, 126, and 124. It is fixed and coupled by magnetic force.

이어서 도 7 및 도 8을 이용하여 본 발명에 따른 리프트 핀의 상하 이동 동작을 설명한다. 즉, 도 7은 벨로우즈의 팽창 상태를 나타내는 도면이고, 도 8은 벨로우즈의 수축 상태를 나타내는 도면이다.Next, a vertical movement operation of the lift pin according to the present invention will be described with reference to FIGS. 7 and 8. That is, FIG. 7 is a view showing an expanded state of the bellows, and FIG. 8 is a view showing a contracted state of the bellows.

도 7 및 도 8을 참조하면, 벨로우즈(130)는 리프트 핀(112)이 업다운되는 이동 거리에 대응하여 수축 및 팽창된다. 즉, 벨로우즈(130)는 구동부(140)에 의해 리프트 핀(112)이 고정 설치된 핀 홀더(120)를 상하로 이동시킨다. 이 때, 핀 홀더(120)는 벨로우즈(130) 내부에서 상하 이동되며, 핀 홀더(120)의 상하 이동 거리(D3)는 리프트 핀이 업다운되는 이동 거리와 동일하다. 예컨대, 리프트 핀(112)이 플레이트(도3의 104)에 안착된 기판을 업다운 이동시키기 위한 이동 거리는 약 10 ~ 15 mm 정도이며, 이에 대응해서 벨로우즈(130)가 수축 및 팽창되면, 핀 홀더(120)가 벨로우즈(130)의 상단(132)과, 핀 홀더(120)의 몸체(122) 상단(즉, 제 3 자석(124)이 설치된 내부 공간(121)의 내측 상단부) 사이를 이동하게 된다.Referring to FIGS. 7 and 8, the bellows 130 is contracted and expanded in response to the moving distance of the lift pin 112 up and down. That is, the bellows 130 moves the pin holder 120 in which the lift pin 112 is fixed by the driving unit 140 up and down. At this time, the pin holder 120 is moved up and down inside the bellows 130, the vertical movement distance (D3) of the pin holder 120 is the same as the moving distance of the lift pin up. For example, the movement distance for the lift pin 112 to move up and down the substrate seated on the plate (104 in FIG. 3) is about 10 to 15 mm, and correspondingly, when the bellows 130 is contracted and expanded, the pin holder ( 120 moves between the upper end 132 of the bellows 130 and the upper end of the body 122 of the pin holder 120 (that is, the inner upper end of the inner space 121 in which the third magnet 124 is installed). .

이상에서, 본 발명에 따른 리프트 핀 구조체를 구비하는 기판 처리 장치의 구성 및 작용을 상세한 설명과 도면에 따라 도시하였지만, 이는 실시예를 들어 설 명한 것에 불과하며, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 다양한 변화 및 변경이 가능하다.In the above, the configuration and operation of the substrate processing apparatus having a lift pin structure according to the present invention have been shown in accordance with the detailed description and the drawings, which are merely described by way of example, and do not depart from the spirit of the present invention. Various changes and modifications are possible within the scope.

도 1은 기판 처리 장치의 정전척의 구성을 나타내는 사시도;1 is a perspective view showing a configuration of an electrostatic chuck of a substrate processing apparatus;

도 2는 도 1에 도시된 리프트 핀의 결합 구성을 나타내는 사시도;FIG. 2 is a perspective view showing a coupling configuration of the lift pin shown in FIG. 1; FIG.

도 3은 본 발명에 따른 리프트 핀 구조체를 구비하는 기판 처리 장치의 구성을 도시한 도면;3 is a diagram illustrating a configuration of a substrate processing apparatus having a lift pin structure according to the present invention;

도 4는 도 3에 도시된 리프트 핀을 나타내는 도면;4 shows the lift pin shown in FIG. 3;

도 5는 도 3에 도시된 핀 홀더를 나타내는 도면;FIG. 5 shows the pin holder shown in FIG. 3; FIG.

도 6은 도 3에 도시된 벨로우즈와 핀 홀더의 결합 상태를 나타내는 도면;FIG. 6 is a view showing an engagement state of the bellows and the pin holder shown in FIG. 3; FIG.

도 7은 도 6에 도시된 핀 홀더와 리프트 핀의 결합 상태를 나타내는 도면; 그리고FIG. 7 is a view illustrating a coupling state of the pin holder and the lift pin shown in FIG. 6; FIG. And

도 8은 도 7에 도시된 리프트 핀을 업 이동하는 상태를 나타내는 도면이다.FIG. 8 is a diagram illustrating a state in which the lift pin illustrated in FIG. 7 is moved up.

* 도면의 주요 부분에 대한 부호 설명 *Explanation of symbols on the main parts of the drawings

100 : 기판 처리 장치 102 : 챔버100 substrate processing apparatus 102 chamber

104 : 플레이트 106 : 핀홀104: plate 106: pinhole

110 : 리프트 핀 구조체 112 : 리프트 핀110: lift pin structure 112: lift pin

114 : 제 1 자석 120 : 핀 홀더114: first magnet 120: pin holder

122 : 몸체 124 : 제 2 자석122: body 124: second magnet

126 : 제 3 자석 130 : 벨로우즈126: third magnet 130: bellows

Claims (16)

리프트 핀 구조체에 있어서:In the lift pin structure: 상단이 기판과 접촉하여 상기 기판을 지지하는 리프트 핀과;A lift pin whose upper end contacts the substrate to support the substrate; 상기 리프트 핀의 하단부에 결합되는 제 1 자석과;A first magnet coupled to a lower end of the lift pin; 상부가 개방되고 상기 리프트 핀이 상하로 이동되는 방향으로 연장되는 내부 공간에 상기 리프트 핀이 삽입 설치되는 핀 홀더 및;A pin holder in which an upper portion of the lift pin is inserted and installed in an inner space extending in a direction in which the lift pin moves up and down; 상기 내부 공간의 하부면에 설치되고, 상기 제 1 자석과 작용하여 상기 리프트 핀을 상기 핀 홀더에 결합하는 제 2 자석을 포함하는 것을 특징으로 하는 리프트 핀 구조체.And a second magnet installed on a lower surface of the inner space and acting with the first magnet to couple the lift pin to the pin holder. 제 1 항에 있어서,The method of claim 1, 상기 핀 홀더는;The pin holder; 상기 내부 공간의 내측 상단부에 설치되어, 상기 리프트 핀이 상기 내부 공간의 중심축으로부터 이탈되는 것을 방지하도록 상기 제 1 자석과 작용하여 상기 리프트 핀의 위치를 보정하는 제 3 자석을 더 포함하는 것을 특징으로 하는 리프트 핀 구조체.A third magnet installed at an inner upper end of the inner space, the third magnet configured to correct the position of the lift pin by working with the first magnet to prevent the lift pin from being separated from the central axis of the inner space; Lift pin structure. 제 2 항에 있어서,The method of claim 2, 상기 제 1 자석과 상기 제 2 자석은 상호 반대 극성으로 구비되고,The first magnet and the second magnet is provided with a polarity opposite to each other, 상기 제 3 자석은 상기 제 1 자석과 동일한 극성으로 구비되는 것을 특징으로 하는 리프트 핀 구조체.The third magnet is a lift pin structure, characterized in that provided with the same polarity as the first magnet. 제 3 항에 있어서,The method of claim 3, wherein 상기 제 1 자석은 상기 제 2 자석과 결합된 상태에서 일부가 상기 제 3 자석과 중첩되게 배치되는 것을 특징으로 하는 리프트 핀 구조체.The first magnet is a lift pin structure, characterized in that part of the overlapping with the third magnet in the state coupled with the second magnet. 제 2 항 내지 제 4항들 중 어느 한 항에 있어서,The method according to any one of claims 2 to 4, 상기 제 2 자석과 상기 제 3 자석은 상호 일정 거리 이격되게 배치되는 것을 특징으로 하는 리프트 핀 구조체.Lift pin structure, characterized in that the second magnet and the third magnet is arranged to be spaced apart from each other by a predetermined distance. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 제 1 자석은 상기 리프트 핀의 하단부가 연장된 형상으로 구비되고, 상기 제 2 자석은 상기 제 1 자석과 동일한 형상으로 구비되는 것을 특징으로 하는 리프트 핀 구조체.The first magnet is provided in a shape in which the lower end of the lift pin is extended, the second magnet is provided in the same shape as the first magnet, the lift pin structure. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 리프트 핀과 상기 제 1 자석은 본딩 또는 나사산 결합으로 접합되는 것을 특징으로 하는 리프트 핀 구조체.The lift pin and the first magnet is a lift pin structure, characterized in that joined by bonding or threaded coupling. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 리프트 핀 구조체는;The lift pin structure is; 내부에 상기 핀 홀더가 고정 설치되어 상기 리프트 핀을 상하로 이동시키는 벨로우즈를 더 포함하는 것을 특징으로 하는 리프트 핀 구조체.The pin holder is fixed to the inside of the lift pin structure further comprises a bellows for moving the lift pin up and down. 제 8 항에 있어서,The method of claim 8, 상기 핀 홀더는 상기 리프트 핀의 상하 이동 거리에 대응하여 상기 벨로우즈 내부에서 상하로 이동되도록 배치되는 것을 특징으로 하는 리프트 핀 구조체.The pin holder is a lift pin structure, characterized in that arranged to move up and down inside the bellows corresponding to the vertical movement distance of the lift pin. 기판 처리 장치에 있어서:In the substrate processing apparatus: 기판을 처리하는 챔버와;A chamber for processing the substrate; 상기 챔버의 내부 하단에 배치되어 기판이 안착되는 플레이트와;A plate disposed at an inner lower end of the chamber to seat a substrate; 상기 플레이트 내부에 상하로 관통되게 설치되어 기판을 지지하도록 상하 이동하고, 하단부에 제 1 자석이 결합되는 복수 개의 리프트 핀과;A plurality of lift pins installed vertically through the plate to move up and down to support a substrate and having a first magnet coupled to a lower end thereof; 내부 공간에 상기 리프트 핀이 삽입되고, 상기 내부 공간의 하부면에 상기 제 1 자석과 작용하여 상기 리프트 핀이 결합되는 제 2 자석을 구비하는 복수 개의 핀 홀더와;A plurality of pin holders having a lift pin inserted in an inner space, and having a second magnet coupled to a lower surface of the inner space to act as the first magnet; 상단이 상기 플레이트의 하부면과 결합되고, 내부에 상기 핀 홀더가 장착되어, 상기 리프트 핀을 상하로 이동시키는 복수 개의 벨로우즈 및;A plurality of bellows having an upper end coupled with a lower surface of the plate, and having the pin holder mounted therein to move the lift pin up and down; 상기 벨로우즈들의 하단부와 결합되어 상기 벨로우즈들을 동시에 구동하는 구동부를 포함하는 것을 특징으로 하는 기판 처리 장치.And a driving unit coupled to lower ends of the bellows to simultaneously drive the bellows. 제 10 항에 있어서,The method of claim 10, 상기 핀 홀더는;The pin holder; 상기 내부 공간의 내측 상단부에 설치되어, 상기 리프트 핀이 상기 내부 공간의 중심축으로부터 이탈되는 것을 방지하도록 상기 제 1 자석과 작용하여 상기 리프트 핀의 위치를 보정하는 제 3 자석을 더 구비하는 것을 특징으로 하는 기판 처리 장치.And a third magnet installed at an inner upper end portion of the inner space to act as the first magnet to prevent the lift pin from being separated from the central axis of the inner space, thereby correcting the position of the lift pin. The substrate processing apparatus made into it. 제 11 항에 있어서,The method of claim 11, 상기 제 1 자석과 상기 제 2 자석은 반대 극성으로 구비되고,The first magnet and the second magnet is provided with the opposite polarity, 상기 제 3 자석은 상기 제 1 자석과 동일한 극성으로 구비되는 것을 특징으로 하는 기판 처리 장치.And the third magnet is provided with the same polarity as the first magnet. 제 12 항에 있어서,13. The method of claim 12, 상기 제 1 자석은 상기 제 2 자석과 결합된 상태에서 일부가 상기 제 3 자석과 중첩되게 배치되고, 상기 제 2 자석과 상기 제 3 자석은 상호 일정 거리 이격되게 배치되는 것을 특징으로 하는 기판 처리 장치.The first magnet may be partially overlapped with the third magnet while being coupled to the second magnet, and the second magnet and the third magnet may be disposed to be spaced apart from each other by a predetermined distance. . 제 10 항 내지 제 13 항들 중 어느 한 항에 있어서,The method according to any one of claims 10 to 13, 상기 제 1 자석은 상기 리프트 핀의 하단부가 연장된 형상으로 구비되고, 상기 제 2 자석은 상기 제 1 자석과 동일한 형상으로 구비되는 것을 특징으로 하는 기판 처리 장치.And the first magnet is provided in an extended shape of the lower end of the lift pin, and the second magnet is provided in the same shape as the first magnet. 제 10 항에 있어서,The method of claim 10, 상기 구동부는;The driving unit; 상기 리프트 핀들이 동시에 상하로 이동하도록 상기 벨로우즈들의 하단부와 연결되는 연결부재 및;A connecting member connected to a lower end of the bellows so that the lift pins move up and down simultaneously; 상기 벨로우즈들이 동시에 수축 또는 팽창하도록 상기 연결부재를 상하로 이동시키는 구동부재를 포함하는 것을 특징으로 하는 기판 처리 장치.And a driving member for moving the connecting member up and down to simultaneously contract or expand the bellows. 제 10 항 또는 제 15 항에 있어서,The method according to claim 10 or 15, 상기 챔버는 진공 챔버로 구비되고,The chamber is provided with a vacuum chamber, 상기 벨로우즈는 상기 챔버와 격리된 대기 상태에 배치되는 것을 특징으로 하는 기판 처리 장치.And said bellows is disposed in an atmospheric condition separate from said chamber.
KR1020080080921A 2008-08-19 2008-08-19 Lift pin structure and substrate treating apparatus with it KR100989852B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080080921A KR100989852B1 (en) 2008-08-19 2008-08-19 Lift pin structure and substrate treating apparatus with it

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080080921A KR100989852B1 (en) 2008-08-19 2008-08-19 Lift pin structure and substrate treating apparatus with it

Publications (2)

Publication Number Publication Date
KR20100022303A KR20100022303A (en) 2010-03-02
KR100989852B1 true KR100989852B1 (en) 2010-10-29

Family

ID=42174890

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080080921A KR100989852B1 (en) 2008-08-19 2008-08-19 Lift pin structure and substrate treating apparatus with it

Country Status (1)

Country Link
KR (1) KR100989852B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109300836A (en) * 2017-07-25 2019-02-01 细美事有限公司 Lift pin unit and substrate-holding units with the lift pin unit
CN110824850A (en) * 2018-08-10 2020-02-21 上海微电子装备(集团)股份有限公司 Silicon wafer handing-over manipulator and silicon wafer handing-over device
US10770337B2 (en) 2017-09-12 2020-09-08 Samsung Electronics Co., Ltd. Lift pin assembly, substrate support apparatus and substrate processing apparatus having the same
US11282738B2 (en) 2019-12-16 2022-03-22 Samsung Electronics Co., Ltd. Lift pin module
US11651990B2 (en) 2019-07-03 2023-05-16 Samsung Electronics Co., Ltd. Substrate processing apparatus and driving method thereof

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101274461B1 (en) * 2010-07-19 2013-06-14 노우철 an elevating device for panel the vacuum chamber
KR101515749B1 (en) * 2014-01-29 2015-04-28 세메스 주식회사 Lift pin for supporting a substrate
KR102100298B1 (en) * 2014-04-29 2020-04-14 엘지디스플레이 주식회사 A vacuum deposition apparatus
JP7329960B2 (en) * 2019-05-14 2023-08-21 東京エレクトロン株式会社 Mounting table and plasma processing device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109300836A (en) * 2017-07-25 2019-02-01 细美事有限公司 Lift pin unit and substrate-holding units with the lift pin unit
US11139195B2 (en) * 2017-07-25 2021-10-05 Semes Co., Ltd. Lift pin unit and substrate supporting unit having the same
CN109300836B (en) * 2017-07-25 2024-04-09 细美事有限公司 Lift pin unit and substrate supporting unit having the same
US10770337B2 (en) 2017-09-12 2020-09-08 Samsung Electronics Co., Ltd. Lift pin assembly, substrate support apparatus and substrate processing apparatus having the same
CN110824850A (en) * 2018-08-10 2020-02-21 上海微电子装备(集团)股份有限公司 Silicon wafer handing-over manipulator and silicon wafer handing-over device
CN110824850B (en) * 2018-08-10 2021-02-02 上海微电子装备(集团)股份有限公司 Silicon wafer handing-over manipulator and silicon wafer handing-over device
US11651990B2 (en) 2019-07-03 2023-05-16 Samsung Electronics Co., Ltd. Substrate processing apparatus and driving method thereof
US11282738B2 (en) 2019-12-16 2022-03-22 Samsung Electronics Co., Ltd. Lift pin module

Also Published As

Publication number Publication date
KR20100022303A (en) 2010-03-02

Similar Documents

Publication Publication Date Title
KR100989852B1 (en) Lift pin structure and substrate treating apparatus with it
US11164842B2 (en) Bonding apparatus and bonding system
TWI471971B (en) Substrate holding member, substrate bonding apparatus, laminated substrate manufacturing apparatus, substrate bonding method, laminated substrate manufacturing method, and laminated semiconductor device manufacturing method
US7292427B1 (en) Pin lift chuck assembly for warped substrates
US10811234B2 (en) Plasma processing apparatus and upper electrode assembly
US8568554B2 (en) Movable gas introduction structure and substrate processing apparatus having same
KR20190087968A (en) Apparatus and system for processing a substrate in a vacuum chamber, and method of aligning a substrate carrier relative to a mask carrier
CN110504202B (en) Transfer unit and substrate processing apparatus including the same
JP6502215B2 (en) Magnetic adsorption device
JP6014927B2 (en) Position holding device
CN109563609B (en) Apparatus and system for processing a substrate in a vacuum chamber and method of transporting a carrier in a vacuum chamber
KR20090119885A (en) Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
KR20070025166A (en) Carrier and processing apparatus having the same
JP2020155598A (en) Electrostatic chuck
KR101316296B1 (en) Magnetic chuck
KR101578876B1 (en) robot hand for transferring glass
US9773647B2 (en) Plasma processing apparatus and upper electrode assembly
JP2009202294A (en) Floating chuck device and floating chuck unit
KR101526509B1 (en) Fixing chuck using lorentz force
KR101403766B1 (en) Magnetic chucker for substrate
JP2013531374A (en) Heated electrostatic chuck with the ability to mechanically fix at high temperatures
JPH08340683A (en) Electrostatic chuck
KR20090046556A (en) Apparatus for processing substrate
KR20090023950A (en) Chuck assembly for supporting wafer
KR20080089791A (en) Wafer lift unit and semiconductor manufacturing apparatus with the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131015

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141017

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151015

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161007

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20171019

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20181018

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20191011

Year of fee payment: 10