KR100982140B1 - Method for forming micro-pattern of semiconductor device - Google Patents

Method for forming micro-pattern of semiconductor device Download PDF

Info

Publication number
KR100982140B1
KR100982140B1 KR1020080071815A KR20080071815A KR100982140B1 KR 100982140 B1 KR100982140 B1 KR 100982140B1 KR 1020080071815 A KR1020080071815 A KR 1020080071815A KR 20080071815 A KR20080071815 A KR 20080071815A KR 100982140 B1 KR100982140 B1 KR 100982140B1
Authority
KR
South Korea
Prior art keywords
pattern
hard mask
forming
layer
film
Prior art date
Application number
KR1020080071815A
Other languages
Korean (ko)
Other versions
KR20100010777A (en
Inventor
김용수
주문식
정태우
조흥재
김원규
김태형
최원준
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020080071815A priority Critical patent/KR100982140B1/en
Publication of KR20100010777A publication Critical patent/KR20100010777A/en
Application granted granted Critical
Publication of KR100982140B1 publication Critical patent/KR100982140B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

본 발명은 공정 단가를 줄이고 패턴 붕괴를 방지하기 위한 반도체 소자의 미세 패턴 형성방법을 제공하기 위한 것으로, 이를 위해 본 발명은 피식각층이 형성된 기판상에 제 1, 제 2, 하드마스크막을 적층하는 단계와, 제 2 하드마스크막상에 포토레지스트 패턴을 형성하는 단계와, 포토레지스트 패턴의 변형을 일으키지 않는 온도에서 포토레지스트 패턴을 포함한 전면에 실리콘 산화막을 형성하는 단계와, 실리콘 산화막을 산소 플라즈마 처리하는 단계와, 실리콘 산화막을 전면 식각하여 포토레지스트 패턴의 측면에 스페이서를 형성하는 단계와, 포토레지스트 패턴을 제거하는 단계와, 스페이서를 마스크로 제 2 하드마스크막을 식각하여 제 2 하드마스크막 패턴을 형성하는 단계와, 스페이서 및 제 2 하드마스크막 패턴을 마스크로 제 1 하드마스크막을 식각하여 제 1 하드마스크막 패턴을 형성하는 단계를 포함하는 반도체 소자의 미세 패턴 형성방법을 제공한다.The present invention is to provide a method of forming a fine pattern of a semiconductor device to reduce the process cost and prevent the pattern collapse, the present invention is the step of laminating the first, second, hard mask film on the substrate on which the etched layer is formed Forming a photoresist pattern on the second hard mask film, forming a silicon oxide film on the entire surface including the photoresist pattern at a temperature that does not cause deformation of the photoresist pattern, and performing oxygen plasma treatment on the silicon oxide film. Forming a spacer on the side surface of the photoresist pattern by etching the entire silicon oxide layer, removing the photoresist pattern, and etching the second hard mask layer using the spacer as a mask to form a second hard mask layer pattern. And a first hard mask film using a spacer and a second hard mask film pattern as a mask. Etching to provide a fine pattern formation method of a semiconductor device including a step of forming a first hard mask pattern.

미세 패턴, 아모포스 카본막, 실리콘 산화막, 산소 플라즈마 처리 Fine pattern, amorphous carbon film, silicon oxide film, oxygen plasma treatment

Description

반도체 소자의 미세 패턴 형성방법{METHOD FOR FORMING MICRO-PATTERN OF SEMICONDUCTOR DEVICE}METHOD FOR FORMING MICRO-PATTERN OF SEMICONDUCTOR DEVICE}

본 발명은 반도체 기술에 관한 것으로, 특히, 한계 해상력 이하의 피치(pitch)를 갖는 미세 패턴을 형성하는 방법에 관한 것이다. TECHNICAL FIELD The present invention relates to semiconductor technology, and more particularly, to a method of forming a fine pattern having a pitch below a limit resolution.

반도체 소자의 디자인 룰(design rule)이 감소함에 따라 반도체 소자를 구성하는데 요구되는 패턴의 최소 피치(minimum pitch)도 크게 감소하고 있다. 그러나, 패턴을 구현하는데 사용되는 리소그래피(lithography) 공정의 해상도는 디자인 룰의 감소를 뒷받침하지 못하고 있다.As the design rule of the semiconductor device decreases, the minimum pitch of the pattern required for forming the semiconductor device also decreases significantly. However, the resolution of the lithography process used to implement the pattern does not support the reduction of design rules.

특히, 30nm급 이하의 패턴을 형성하는데 필요한 153nm 파장대의 엑시머 레이저(excimer laser)나 더 짧은 파장의 EUV(Extreme Ultra Violet)급 리소그래피 기술은 아직 개발 중으로 실제 패턴 형성에 이용되기 어려운 실정이다. 이에, SPT(Pacer Patterning Technology) 스킴을 이용하여 한계 해상력 이하의 피치를 갖는 미세 패턴을 형성하는 방법이 도입되었다. Particularly, excimer lasers of 153 nm wavelength or extreme ultra violet (EUV) lithography technologies having shorter wavelengths, which are required to form patterns of 30 nm or less, are still under development and are difficult to be used for actual pattern formation. Thus, a method of forming a fine pattern having a pitch below the limit resolution is introduced by using a SPT (Pacer Patterning Technology) scheme.

도 1a 내지 도 1g는 SPT 스킴을 이용한 종래 기술에 따른 반도체 소자의 미세 패턴 형성방법을 나타낸 단면도들이다.1A to 1G are cross-sectional views illustrating a method for forming a fine pattern of a semiconductor device according to the prior art using an SPT scheme.

먼저, 도 1a에 도시된 바와 같이, 기판(10)에 형성된 하부층(11)상에 피식각층(12)을 형성하고, 피식각층(12)상에 제 1 하드마스크막(13)을 형성한다. First, as shown in FIG. 1A, the etched layer 12 is formed on the lower layer 11 formed on the substrate 10, and the first hard mask layer 13 is formed on the etched layer 12.

제 1 하드마스크막(13)은, 피식각층(12) 식각시 하드마스크로 사용되는 것으로, 피식각층(12)에 대해 식각 선택비를 갖아야 한다. 또한, 이후 형성하는 스페이서막(16, 도 1d 참조)에 대해서도 식각 선택비를 갖아야 한다. 제 1 하드마스크막(13)으로는 이상적으로 아모포스 카본(amorphous carbon)막이 적당하며, 실리콘산질화막(SiON)과 아모포스 카본막의 적층 구조를 취할 수도 있다.The first hard mask layer 13 is used as a hard mask in etching the etched layer 12 and should have an etching selectivity with respect to the etched layer 12. In addition, the spacer layer 16 (see FIG. 1D) to be formed later should have an etching selectivity. Ideally, an amorphous carbon film is suitable as the first hard mask film 13, and a laminated structure of a silicon oxynitride film (SiON) and an amorphous carbon film may be taken.

이어, 제 1 하드마스크막(13)상에 제 2 하드마스크막(14)을 형성한다. 제 2 하드마스크막(14)은 제 1 하드마스크막(13) 및 이후 형성하는 스페이서막(16)에 대해 식각 선택비를 갖는 물질, 예컨대 폴리실리콘으로 형성한다.Subsequently, a second hard mask film 14 is formed on the first hard mask film 13. The second hard mask layer 14 is formed of a material having an etching selectivity with respect to the first hard mask layer 13 and the spacer layer 16 formed thereafter, for example, polysilicon.

계속해서, 제 2 하드마스크막(14)상에 제 3 하드마스크막(15)을 형성한다.Subsequently, a third hard mask film 15 is formed on the second hard mask film 14.

제 3 하드마스크막(15)은 실리콘산질화막(SiON)과 아모퍼스 카본막의 적층막으로 형성한다.The third hard mask film 15 is formed of a laminated film of silicon oxynitride film (SiON) and amorphous carbon film.

그 다음에, 제 3 하드마스크막(15)상에 포토레지스트 패턴(PR)을 형성한다. 이때, 이웃하는 포토레지스트 패턴(PR)간 간격(S)은 포토레지스트 패턴(PR) 선폭(L)의 3배 또는 그 이상이 되게 한다.Next, the photoresist pattern PR is formed on the third hard mask film 15. In this case, the space S between neighboring photoresist patterns PR may be three times or more than the line width L of the photoresist pattern PR.

이어, 도 1b에 도시된 바와 같이, 포토레지스트 패턴(PR)을 식각 마스크로 제 3 하드마스크막(15)을 식각하여 제 3 하드마스크막 패턴(15A)을 형성한다.Subsequently, as illustrated in FIG. 1B, the third hard mask layer 15 is etched using the photoresist pattern PR as an etch mask to form a third hard mask layer pattern 15A.

그 다음, 도 1c에 도시된 바와 같이, 포토레지스트 패턴(PR)과 제 3 하드마스크막 패턴(15A)을 식각 마스크로 제 2 하드마스크막(14)을 식각하여 제 2 하드마스크막 패턴(14A)을 형성한다.Next, as illustrated in FIG. 1C, the second hard mask layer 14 is etched using the photoresist pattern PR and the third hard mask layer pattern 15A as an etch mask to form the second hard mask layer pattern 14A. ).

이어, 도 1d에 도시된 바와 같이, 남아있는 포토레지스트 패턴(PR) 및 제 3 하드마스크막 패턴(15A)을 제거하고, 제 2 하드마스크막 패턴(14A)을 포함한 전면에 스페이서막(16)을 형성한다. Subsequently, as shown in FIG. 1D, the remaining photoresist pattern PR and the third hard mask layer pattern 15A are removed, and the spacer layer 16 is disposed on the entire surface including the second hard mask layer pattern 14A. To form.

스페이서막(16)은 제 2 하드마스크막 패턴(14A) 및 제 1 하드마스크막(13)에 대해 식각 선택비를 갖는 물질, 예컨대 다결정 실리콘으로 형성한다. The spacer layer 16 is formed of a material having an etch selectivity with respect to the second hard mask layer pattern 14A and the first hard mask layer 13, for example, polycrystalline silicon.

이어, 도 1e에 도시된 바와 같이, 스페이서막(16)을 전면 식각하여 제 2 하드마스크막 패턴(14A)의 측면에 스페이서(16A)를 형성한다. 전면 식각 공정 결과, 스페이서막(16)은 제 2 하드마스크막 패턴(14A)의 측면에만 남겨지며, 이에 따라 제 2 하드마스크막 패턴(14A)의 상면 및 스페이서(16A) 양측 하부의 제 1 하드마스크막(13)이 노출된다. Subsequently, as shown in FIG. 1E, the spacer layer 16 is etched to the entire surface to form the spacer 16A on the side of the second hard mask layer pattern 14A. As a result of the entire surface etching process, the spacer layer 16 is left only on the side surface of the second hard mask layer pattern 14A. Accordingly, the first hard layer is disposed on the upper surface of the second hard mask layer pattern 14A and lower portions of both sides of the spacer 16A. The mask film 13 is exposed.

상기 공정 결과, 스페이서(16A)의 피치(P2)는 포토레지스트 패턴(PR) 피치(P1)의 절반이 된다.As a result of the above process, the pitch P2 of the spacer 16A becomes half the pitch P1 of the photoresist pattern PR.

이어, 도 1f에 도시된 바와 같이, 습식 식각 공정으로 제 2 하드마스크막 패턴(14A)을 제거하고, 스페이서(16A)를 식각 마스크로 제 1 하드마스크막(13)을 식각하여 제 1 하드마스크막 패턴(13A)을 형성한다. Subsequently, as shown in FIG. 1F, the second hard mask layer pattern 14A is removed by a wet etching process, and the first hard mask layer 13 is etched using the spacer 16A as an etch mask to etch the first hard mask. The film pattern 13A is formed.

그 다음, 도 1g에 도시된 바와 같이, 스페이서(16A)를 제거한다.Then, as shown in Fig. 1G, the spacer 16A is removed.

이후, 도시하지 않았지만 제 1 하드마스크막 패턴(13A)을 식각 마스크로 피 식각층(12)을 식각하여 포토레지스트 패턴(PR) 피치(P1)의 절반 크기의 피치(P2)를 갖는 미세 패턴을 형성한다.Subsequently, although not shown, a fine pattern having a pitch P2 that is about half the pitch P1 of the photoresist pattern PR may be etched by etching the etching target layer 12 using the first hard mask layer pattern 13A as an etching mask. Form.

그러나, 전술한 종래 기술은 고가의 아모포스 카본 공정이 2번이나 사용되고, 2회의 아모포스 카본 공정 및 질화막으로 된 스페이서(16A)를 사용함에 따라 공정 스텝 수가 20 내지 25개 정도로 많아, 공정 단가가 높은 문제점이 있다. However, the above-described prior art uses two expensive amorphous amorphous carbon processes twice, and uses twice the amorphous amorphous carbon process and a spacer 16A made of nitride film, so that the number of process steps is about 20 to 25. There is a high problem.

또한, 제 3 하드마스크막(15) 및 제 2 하드마스크막(14) 식각 공정시 식각 마진을 확보하기 위해서 포토레지스트 패턴(PR)을 두껍게 형성해야 하는데, 두꺼운 포토레지스트 패턴(PR)이 사용됨에 따라 패턴 종횡비가 증가되어 패턴 붕괴에 매우 취약한 문제점이 있다.In addition, in the etching process of the third hard mask layer 15 and the second hard mask layer 14, a thick photoresist pattern PR should be formed in order to secure an etching margin, since a thick photoresist pattern PR is used. Accordingly, there is a problem that the pattern aspect ratio is increased and is very vulnerable to pattern collapse.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 고가의 아모포스 카본 공정의 회수를 줄이고, 공정 스텝수를 줄이어 공정 단가를 낮출 수 있는 반도체 소자의 미세 패턴 형성방법을 제공하는데 그 목적이 있다.The present invention has been proposed to solve the above problems of the prior art, and provides a method of forming a fine pattern of a semiconductor device capable of reducing the number of expensive amorphous carbon processes and reducing the number of process steps. The purpose is.

본 발명의 다른 목적은 패턴 붕괴를 방지할 수 있는 반도체 소자의 미세 패턴 형성방법을 제공하는데 있다.Another object of the present invention is to provide a method for forming a fine pattern of a semiconductor device capable of preventing pattern collapse.

상기한 목적을 달성하기 위한 일측면에 따른 본 발명은, 피식각층이 형성된 기판상에 제 1, 제 2, 하드마스크막을 적층하는 단계와, 상기 제 2 하드마스크막상에 포토레지스트 패턴을 형성하는 단계와, 상기 포토레지스트 패턴의 변형을 일으키지 않는 온도에서 상기 포토레지스트 패턴을 포함한 전면에 실리콘 산화막을 형성하는 단계와, 상기 실리콘 산화막을 산소 플라즈마 처리하는 단계와, 상기 실리콘 산화막을 전면 식각하여 상기 포토레지스트 패턴의 측면에 스페이서를 형성하는 단계와, 상기 포토레지스트 패턴을 제거하는 단계와, 상기 스페이서를 마스크로 상기 제 2 하드마스크막을 식각하여 제 2 하드마스크막 패턴을 형성하는 단계와, 상기 스페이서 및 상기 제 2 하드마스크막 패턴을 마스크로 상기 제 1 하드마스크막을 식각하여 제 1 하드마스크막 패턴을 형성하는 단계를 포함하는 반도체 소자의 미세 패턴 형성방법을 제공한다.According to an aspect of the present invention, a first, second, and hard mask film are laminated on a substrate on which an etched layer is formed, and a photoresist pattern is formed on the second hard mask film. And forming a silicon oxide film on the entire surface including the photoresist pattern at a temperature that does not cause deformation of the photoresist pattern, subjecting the silicon oxide film to oxygen plasma treatment, and etching the silicon oxide film on the entire surface. Forming a spacer on a side surface of the pattern, removing the photoresist pattern, etching the second hard mask layer using the spacer as a mask, and forming a second hard mask layer pattern; The first hard mask layer is etched using the second hard mask layer pattern as a mask to form the first hard mask layer. Disk provides a fine pattern formation method of a semiconductor device including a step of forming a pattern film.

본 발명에 의하면, 고가의 공정인 아모포스 카본 공정의 회수를 줄일 수 있고, 제3 하드마스크막을 생략하여 종래에 20개 이상이었던 공정 스텝 수를 15개 정도로 줄일 수 있으므로, 소자 제조 비용이 절감된다. According to the present invention, it is possible to reduce the number of amorphous carbon processes, which is an expensive process, and to reduce the number of process steps, which were 20 or more, by 15 by omitting the third hard mask film, thereby reducing the device manufacturing cost. .

또한, 포토레지스트 패턴의 높이를 낮추어 패턴 종횡비를 줄일 수 있으므로 패턴 붕괴가 방지된다. In addition, since the pattern aspect ratio can be reduced by lowering the height of the photoresist pattern, pattern collapse is prevented.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 설명한다. 또한, 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장되어진 것이며, 층이 다른 층 또는 기판 "상"에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판상에 직접 형성될 수 있거나, 또는 그들 사이에 제 3의 층이 개재될 수도 있다. 또한 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분은 동일한 구성요소들을 나타낸다. DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. In addition, in the drawings, the thicknesses of layers and regions are exaggerated for clarity, and in the case where the layers are said to be "on" another layer or substrate, they may be formed directly on another layer or substrate or Or a third layer may be interposed therebetween. In addition, the same reference numerals throughout the specification represent the same components.

실시예Example

도 2a 내지 도 2f는 본 발명의 실시예에 따른 반도체 소자의 미세 패턴 형성방법을 나타낸 단면도들이다.2A to 2F are cross-sectional views illustrating a method for forming a fine pattern of a semiconductor device according to an embodiment of the present invention.

먼저, 도 2a에 도시된 바와 같이, 기판(20)상에 하부층(21)을 형성하고, 하부층(21)상에 피식각층(22)과 제 1 하드마스크막(23)과 제 2 하드마스크막(24)을 적층하고, 제 2 하드마스크막(24)상에 포토레지스트 패턴(PR)을 형성한다.First, as shown in FIG. 2A, the lower layer 21 is formed on the substrate 20, and the etched layer 22, the first hard mask layer 23, and the second hard mask layer are formed on the lower layer 21. (24) are laminated and the photoresist pattern PR is formed on the second hard mask film 24.

하부층(21)은 게이트 절연층, 게이트 전극층, 비트 라인층 또는 메탈 라인층 중 어느 하나 일 수 있다. 게이트 절연층인 경우 하부층(21)은 산화막, 산질화막 혹은 고유전(high-k)막일 수 있다. 게이트 전극층인 경우 하부층(21)은 도전성 다결정 실리콘, 텅스텐(W) 또는 텅스텐실리사이드(WSix)와 배리어 메탈(barrier metal)의 적층 구조일 수 있다. 그리고, 비트 라인층 또는 메탈 라인층인 경우 하부층(21)은 텅스텐(W) 또는 알루미늄(Al)과 같은 금속과 배리어 메탈의 적층 구조일 수 있다.The lower layer 21 may be any one of a gate insulating layer, a gate electrode layer, a bit line layer, or a metal line layer. In the case of the gate insulating layer, the lower layer 21 may be an oxide film, an oxynitride film, or a high-k film. In the case of the gate electrode layer, the lower layer 21 may have a stacked structure of conductive polycrystalline silicon, tungsten (W) or tungsten silicide (WSix) and a barrier metal. In the case of the bit line layer or the metal line layer, the lower layer 21 may have a stacked structure of a metal such as tungsten (W) or aluminum (Al) and a barrier metal.

피식각층(22)은 게이트(gate), 비트 라인(bit line), 메탈 라인(metal line) 또는 액티브 패턴(active pattern)과 같은 실제 패턴을 위한 하드마스크층일 수 있다. 피식각층(22)은, 예컨대 열산화막(thermal oxide layer), CVD(Chemical Vapor Deposition) 산화막, ALD(Atomic Layer Deposition) 산화막, HDP(High Density Plasma) 산화막, USG(Undoped Silicate Glass), SOG(Spin On Glass) 등과 같은 산화물이거나 SiON, SiN, SiBN, BN 등과 같은 질화물일 수 있다.The etched layer 22 may be a hard mask layer for an actual pattern such as a gate, a bit line, a metal line or an active pattern. The etched layer 22 may include, for example, a thermal oxide layer, a chemical vapor deposition (CVD) oxide film, an atomic layer deposition (ALD) oxide film, a high density plasma plasma (HDP) oxide film, an undoped silica glass (USG), and spin (SOG). On glass) or a nitride such as SiON, SiN, SiBN, BN, or the like.

제 1 하드마스크막(23)은 피식각층(22)에 대해 높은 식각 선택비를 갖는 아모포스 카본막으로 형성할 수 있다. 그리고, 아모포스 카본막 하부에 제 1 하드마스크막(23) 식각시 에치 스톱퍼(etch stopper) 역할을 하는 실리콘산질화막(SiON)을 더 포함할 수도 있다. The first hard mask film 23 may be formed of an amorphous carbon film having a high etching selectivity with respect to the etching target layer 22. Further, a silicon oxynitride layer (SiON) may be further included below the amorphous carbon layer to serve as an etch stopper when the first hard mask layer 23 is etched.

제 2 하드마스크막(24)은 제 1 하드마스크막(23)과 이후에 형성하는 스페이서막(25, 도 2b 참조)에 대해 식각 선택비를 갖는 물질, 예를 들어 다결정 폴리실리콘으로 형성할 수 있다. The second hard mask layer 24 may be formed of a material having an etch selectivity with respect to the first hard mask layer 23 and the spacer layer 25 (see FIG. 2B) formed later, for example, polycrystalline polysilicon. have.

포토레지스트 패턴(PR)은 제 2 하드마스크막(24)상에 포토레지스트를 도포하고 노광 및 현상 공정으로 포토레지스트를 패터닝하여 형성할 수 있다. 한편, 포토레지스트를 도포하기 전에 제 2 하드마스크막(24)상에 반사방지층(미도시)을 더 형성할 수도 있다. The photoresist pattern PR may be formed by applying a photoresist on the second hard mask layer 24 and patterning the photoresist in an exposure and development process. Meanwhile, an antireflection layer (not shown) may be further formed on the second hard mask film 24 before applying the photoresist.

이때, 포토레지스트 패턴(PR)간 스페이스(S)는 포토레지스트 패턴(PR) 선폭(L)의 3배 이상이 되도록 한다. 즉, S≥3L의 관계를 만족하도록 한다.At this time, the space S between the photoresist patterns PR is set to be three times or more the line width L of the photoresist pattern PR. That is, the relationship of S≥3L is satisfied.

이어, 도 2b에 도시된 바와 같이, 포토레지스트 패턴(PR)을 포함한 전면에 실리콘 산화막을 증착하여 스페이서막(25)을 형성한다. Subsequently, as shown in FIG. 2B, a silicon oxide film is deposited on the entire surface including the photoresist pattern PR to form a spacer film 25.

스페이서막(25)은 다음의 요구 사항을 만족해야 한다. The spacer film 25 must satisfy the following requirements.

첫째로 스페이서막(25)을 증착하는 동안 포토레지스트 패턴(PR)의 변형이 없어야 하므로 저온에서 형성 가능해야 하고, 둘째로 포토레지스트 패턴(PR)의 모양을 그대로 전사되도록 좋은 도포성(conformal)을 가져야 하며, 셋째로 포토레지스트 패턴(PR)과 제 2 하드마스크막(24)에 대해 높은 식각 선택비를 가져야 한다. First, since the photoresist pattern PR should not be deformed during the deposition of the spacer layer 25, the photoresist pattern PR should be able to be formed at a low temperature. Third, it must have a high etching selectivity with respect to the photoresist pattern PR and the second hard mask film 24.

스페이서막(25)은 포토레지스트 패턴(PR)의 변형을 일으키지 않는 온도, 예를 들어 25 내지 150℃의 극저온에서 좋은 도포성을 갖는 원자층 증착(Atomic Layer Deposition, ALD) 공정, 분자층 증착 공정으로 형성할 수 있다. The spacer layer 25 is an atomic layer deposition (ALD) process and a molecular layer deposition process having good applicability at a temperature that does not cause deformation of the photoresist pattern PR, for example, a cryogenic temperature of 25 to 150 ° C. It can be formed as.

원자층 증착(Atomic Layer Deposition, ALD) 공정, 분자층 증착 공정을 사용 하는 경우, 반응 가스로 실리콘 소오스와 산소 소오스가 사용되며, 실리콘 소오스와 산소 소오스가 기판을 포함하고 있는 프로세스 챔버내로 연속적으로 도입된다. When using an atomic layer deposition (ALD) process or a molecular layer deposition process, silicon and oxygen sources are used as reaction gases, and silicon and oxygen sources are continuously introduced into a process chamber containing a substrate. do.

그 과정을 구체적으로 살펴보면, 먼저 실리콘 소오스가 프로세스 챔버내로 도입되고 기판 구조물의 표면상으로 흡착된다. 그 다음으로, 산소 소오스가 프로세스 챔버내로 도입되고 실리콘 소오스와 반응되어 실리콘 산화물이 형성된다. 그리고, 실리콘 소오스 도입 과정과 산소 소오스 도입 과정을 하나의 사이클로, 사이클이 적어도 1회 이상 반복하여 실리콘 산화막으로 된 스페이서막(25)이 형성된다. 그리고, 각 반응 가스가 도입되는 과정 사이에는 퍼지(purge) 단계가 실시된다.Looking specifically at the process, the silicon source is first introduced into the process chamber and adsorbed onto the surface of the substrate structure. Oxygen source is then introduced into the process chamber and reacted with the silicon source to form silicon oxide. The spacer film 25 made of the silicon oxide film is formed by repeating the silicon source introduction process and the oxygen source introduction process in one cycle and the cycle is repeated at least once. In addition, a purge step is performed between the processes in which each reaction gas is introduced.

실리콘 소오스로는 HCD(Hexa-Chlorine-Disilane, Si2Cl6), TCS(SiCl4), Bt-BAS, TriDMAS(Tri-DiMethylAminoSilane, C6H19N3Si), TSA(TriSylilAmine, (SiH3)3N) 또는 DS(Di-Silane, Si2H6) 중 어느 하나를 사용할 수 있고, 산소 소오스로는 O2, H2O, O3 중 어느 하나를 사용할 수 있다.Silicon sources include Hexa-Chlorine-Disilane, Si 2 Cl 6 , TCS (SiCl 4 ), Bt-BAS, TriDMAS (Tri-DiMethylAminoSilane, C 6 H 19 N 3 Si), TSA (TriSylilAmine, (SiH 3 ) 3 N) or DS (Di-Silane, Si 2 H 6 ) may be used, and as the oxygen source, any one of O 2 , H 2 O, and O 3 may be used.

그리고, 공정 반응 온도 또는 증착 속도를 향상시키기 위해 실리콘 소오스 및 산소 소오스 외에 촉매를 더 사용할 수 있다. 촉매로는 아민기(armine)를 포함하는 피리딘(pryridine, C5H5N), 암모니아(NH3) 또는 알루미늄을 포함하는 금속 성분(Tri-Methalen-Aluminum, TMA) 중 어느 하나를 사용할 수 있다.In addition, a catalyst may be further used in addition to the silicon source and the oxygen source to improve the process reaction temperature or the deposition rate. As the catalyst, any one of pyridine (C 5 H 5 N) containing an amine group (Amine), ammonia (NH 3 ) or a metal component (Tri-Methalen-Aluminum, TMA) containing aluminum may be used. .

예를 들어, 스페이서막(25)은 실리콘 소오스로 HDC, 산소 소오스로 수증기(H2O)를 사용하고 피리딘(C5H5N)을 촉매로 사용하여 촉매와 함께 실리콘 소오스와 산소 소오스를 프로세스 챔버내로 연속적으로 도입하는 원자층증착 공정으로 실리콘 산화막을 증착하는 방법, 실리콘 소오스로 BT-BAS 또는 TriDMAS를 사용하고 산소 소오스로 플라즈마로 활성화된 산소 라디칼(oxygen radical) 또는 오존(O3)을 사용하여 실리콘 소오스와 산소 소오스를 기판을 포함하고 있는 프로세스 챔버내로 연속적으로 도입하는 원자층증착 공정 또는 분자층증착 공정으로 실리콘 산화막을 증착하는 방법을 사용하여 형성할 수 있다.For example, the spacer layer 25 processes silicon and oxygen sources together with a catalyst using HDC as a silicon source, water vapor (H 2 O) as an oxygen source, and pyridine (C 5 H 5 N) as a catalyst. A method of depositing a silicon oxide film in an atomic layer deposition process continuously introduced into a chamber, using BT-BAS or TriDMAS as a silicon source and using oxygen radical or ozone (O 3 ) activated by plasma with an oxygen source. The silicon oxide film may be formed by an atomic layer deposition process or a molecular layer deposition process in which a silicon source and an oxygen source are continuously introduced into a process chamber including a substrate.

한편, 스페이서막(25)을 촉매 화학기상증착(Catalytic Chemical Vapor Deposition) 공정으로 형성할 수도 있다. 예를 들어, TMA를 사용하여 포토레지스트 패턴(PR) 표면에 알루미늄을 흡착시킨 후 오가노 실리케이트(organo-silicate)를 증착하는 촉매 화학기상증착 공정으로 형성할 수도 있다. Meanwhile, the spacer layer 25 may be formed by a catalytic chemical vapor deposition process. For example, aluminum may be adsorbed onto the surface of the photoresist pattern PR using TMA and then formed by a catalytic chemical vapor deposition process in which organo-silicate is deposited.

도 3은 스페이서막(25)을 형성한 후에 소자 단면을 촬영한 사진으로, 포토레지스트 패턴(PR)의 변형없이 우수한 도포성을 갖는 스페이서막(25) 증착이 이루어졌음을 확인할 수 있다.3 is a photograph of the device cross-section after the spacer film 25 is formed, and it can be seen that the deposition of the spacer film 25 having excellent applicability without deformation of the photoresist pattern PR is performed.

스페이서막(25)은 후속 포토레지스트 패턴(PR) 제거 공정 및 제 2 하드마스크막(24) 식각 공정시 하드마스크로 사용되므로, 포토레지스트 패턴(PR) 및 제 2 하드마스크막(24)에 대해 높은 식각 선택비를 가져야 한다. 그러나, 스페이서막(25)을 이루는 실리콘 산화막은 낮은 온도에서 증착되었기 때문에 포토레지스트 패턴(PR) 및 제 2 하드마스크막(24)에 대해 높은 식각 선택비를 보장하지 못한다.Since the spacer layer 25 is used as a hard mask during the subsequent photoresist pattern PR removal process and the etching process of the second hard mask layer 24, the spacer layer 25 may be used for the photoresist pattern PR and the second hard mask layer 24. It should have a high etching selectivity. However, since the silicon oxide film constituting the spacer film 25 is deposited at a low temperature, it does not guarantee a high etching selectivity for the photoresist pattern PR and the second hard mask film 24.

이에, 도 2c에 도시된 바와 같이, 포토레지스트 패턴(PR) 및 제 2 하드마스 크막(24)에 대해 높은 식각 선택비를 가질 수 있도록 스페이서막(25)을 산소 플라즈마처리 한다. Thus, as shown in FIG. 2C, the spacer layer 25 is subjected to oxygen plasma treatment so as to have a high etching selectivity with respect to the photoresist pattern PR and the second hard mask layer 24.

이때 산소 플라즈마(O2 *,O*)는 RF 플라즈마(Radio Frequency Plasma), 캐패시터 커플링(capacitor coupling), 리모트 플라즈마(remote plasma) 중 어느 하나를 사용하여 형성할 수 있다. At this time, the oxygen plasma (O 2 * , O * ) may be formed using any one of a RF plasma (Radio Frequency Plasma), a capacitor coupling (capacitor coupling), a remote plasma (remote plasma).

산소 플라즈마 처리하는 동안 하부의 포토레지스트 패턴(PR)이 산화되지 않도록 공정을 적절히 제어한다.The process is appropriately controlled so that the lower photoresist pattern PR is not oxidized during the oxygen plasma treatment.

도 4는 실리콘 산화막 형성 방법에 따른 실리콘 산화막의 식각율 변화를 나타낸 도면으로, 후속 포토레지스트 패턴(PR) 제거 공정 및 제 2 하드마스크막(24) 식각 공정시 스페이서막(25)이 식각되는 정도를 나타낸다.4 is a view illustrating a change in the etch rate of a silicon oxide layer according to a method of forming a silicon oxide layer, wherein the spacer layer 25 is etched during a subsequent photoresist pattern PR and an etching process of the second hard mask layer 24. Indicates.

극저온에서 증착된 실리콘 산화막(case3)은 열산화법 또는 CVD법에 의해 형성된 실리콘 산화막(case 1, case 2)에 비해 높은 식각율을 갖는다. 즉, 극저온에서 증착된 실리콘 산화막은 포토레지스트 패턴(PR) 제거 공정 및 제 2 하드마스크막(24) 식각 공정시 많은 양이 식각되므로 하드마스크로 사용될 수 없다. 그러나, 극저온에서 증착된 실리콘 산화막을 산소 플라즈마 처리하면(case 5) 식각율이 낮아져 CVD법에 의해 형성된 실리콘 산화막(case 2)보다도 더 낮은 식각율을 갖게 된다. The silicon oxide film case3 deposited at cryogenic temperature has a higher etching rate than the silicon oxide films case 1 and case 2 formed by thermal oxidation or CVD. That is, the silicon oxide film deposited at cryogenic temperatures cannot be used as a hard mask because a large amount is etched during the photoresist pattern PR removal process and the second hard mask film 24 etching process. However, when the silicon oxide film deposited at cryogenic temperature is subjected to oxygen plasma treatment (case 5), the etching rate is lowered, and thus the etching rate is lower than that of the silicon oxide film case 2 formed by the CVD method.

이러한 내용을 토대로 해 볼 때, 도 2c의 공정을 통해 스페이서막(25)이 후속 포토레지스트 패턴(PR) 제거 공정 및 제 2 하드마스크막(24) 식각 공정에 대하 여 높은 식각 내성을 갖게 됨을 확인할 수 있다. Based on this, it can be seen that the spacer layer 25 has high etching resistance to the subsequent photoresist pattern PR removal process and the second hard mask layer 24 etching process through the process of FIG. 2C. Can be.

계속해서, 도 2d에 도시된 바와 같이, 스페이서막(25)을 전면 식각하여 포토레지스트 패턴(PR)의 측벽에 스페이서(25A)를 형성한다. Subsequently, as shown in FIG. 2D, the spacer layer 25 is etched to the entire surface to form the spacer 25A on the sidewall of the photoresist pattern PR.

전면 식각 공정 결과, 포토레지스트 패턴(PR)의 상면과 스페이서(25A) 양측 하부의 제 2 하드마스크막(24)이 노출된다. 그리고, 스페이서(25A)의 피치(P2)는 포토레지스트 패턴(PR)의 피치(P1)의 절반이 된다.As a result of the entire surface etching process, the upper surface of the photoresist pattern PR and the second hard mask layer 24 under both sides of the spacer 25A are exposed. The pitch P2 of the spacer 25A is half of the pitch P1 of the photoresist pattern PR.

그 다음, 도 2e에 도시된 바와 같이, 포토레지스트 패턴(PR)을 제거한다. 포토레지스트 패턴(PR)은 산소 플라즈마를 사용하여 제거할 수 있다. Next, as shown in FIG. 2E, the photoresist pattern PR is removed. The photoresist pattern PR may be removed using an oxygen plasma.

이어, 스페이서(25A)를 마스크로 제 2 하드마스크막(24)을 식각하여 제 2 하드마스크막 패턴(24A)을 형성한다.Next, the second hard mask layer 24 is etched using the spacers 25A as a mask to form the second hard mask layer pattern 24A.

이어, 도 2f에 도시된 바와 같이, 스페이서(25A) 및 제 2 하드마스크막 패턴(24A)을 식각 마스크로 제 1 하드마스크막(23)을 식각하여 제 1 하드마스크막 패턴(23A)을 형성하고, 남아있는 스페이서(25A)와 제 2 하드마스크막 패턴(24A)을 제거한다.Subsequently, as shown in FIG. 2F, the first hard mask layer 23 is etched using the spacer 25A and the second hard mask layer pattern 24A as an etch mask to form the first hard mask layer pattern 23A. Then, the remaining spacer 25A and the second hard mask film pattern 24A are removed.

이후, 도시하지 않았지만, 제 1 하드마스크막 패턴(23A)을 마스크로 피식각층(22)을 패터닝한다.Subsequently, although not shown, the etching target layer 22 is patterned using the first hard mask film pattern 23A as a mask.

본 발명에 따르면, 고가의 아모포스 카본 공정의 회수를 줄일 수 있으므로 소자 제조 비용이 절감된다. 또한, 제 3 하드마스크막을 생략하여 기존에 20 단계 이상이었던 공정 스텝수를 15 단계 정도로 줄일 수 있으므로 소자 제조 비용이 절감된다.According to the present invention, since the number of expensive amorphous carbon processes can be reduced, device manufacturing costs are reduced. In addition, since the third hard mask film is omitted, the number of process steps that have been 20 or more steps can be reduced to about 15 steps, thereby reducing the device manufacturing cost.

또한, 포토레지스트 패턴(PR)을 식각 마스크로 사용하지 않으므로, 종래에서와 같이 포토레지스트 패턴을 두껍게 형성하지 않아도 되며, 이에 따라 패턴 종횡비가 감소되어 패턴 붕괴가 방지된다.In addition, since the photoresist pattern PR is not used as an etching mask, it is not necessary to form a thick photoresist pattern as in the prior art, thereby reducing the pattern aspect ratio and preventing pattern collapse.

본 발명의 기술적 사상은 바람직한 실시예에서 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다. Although the technical spirit of the present invention has been described in detail in the preferred embodiments, it should be noted that the above-described embodiments are for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

도 1a 내지 도 1g는 종래 기술에 따른 반도체 소자의 미세 패턴 형성방법을 나타낸 단면도들.1A to 1G are cross-sectional views illustrating a method for forming a fine pattern of a semiconductor device according to the prior art.

도 2a 내지 도 2f는 본 발명의 실시예에 따른 반도체 소자의 미세 패턴 형성방법을 나타낸 단면도들.2A to 2F are cross-sectional views illustrating a method for forming a fine pattern of a semiconductor device according to an embodiment of the present invention.

도 3은 스페이서막을 형성한 후에 소자 단면을 촬영한 사진.3 is a photograph taken of the element cross section after the spacer film is formed.

도 4는 실리콘 산화막 형성 방법에 따른 실리콘 산화막의 식각율 변화를 나타낸 도면.4 is a view illustrating an etching rate change of a silicon oxide film according to a method of forming a silicon oxide film.

〈도면의 주요 부분에 대한 부호의 설명〉Description of the Related Art

20 : 기판 20: substrate

21 : 하부층21: lower layer

22 : 피식각층22: etched layer

23, 24 : 제 1, 제 2 하드마스크막23, 24: 1st, 2nd hard mask film

23A, 24A : 제 1, 제 2 하드마스크막 패턴23A, 24A: First and second hard mask film patterns

25 : 스페이서막25 spacer film

25A : 스페이서25A: spacer

Claims (16)

피식각층이 형성된 기판상에 제 1, 제 2 하드마스크막을 적층하는 단계;Stacking first and second hard mask layers on a substrate on which an etched layer is formed; 상기 제 2 하드마스크막상에 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern on the second hard mask film; 상기 포토레지스트 패턴의 변형을 일으키지 않는 온도에서 상기 포토레지스트 패턴을 포함한 전면에 실리콘 산화막을 형성하는 단계;Forming a silicon oxide film on the entire surface including the photoresist pattern at a temperature that does not cause deformation of the photoresist pattern; 상기 실리콘 산화막을 산소 플라즈마 처리하는 단계;Oxygen plasma treating the silicon oxide film; 상기 실리콘 산화막을 전면 식각하여 상기 포토레지스트 패턴의 측면에 스페이서를 형성하는 단계;Etching the entire silicon oxide layer to form a spacer on a side surface of the photoresist pattern; 상기 포토레지스트 패턴을 제거하는 단계;Removing the photoresist pattern; 상기 스페이서를 마스크로 상기 제 2 하드마스크막을 식각하여 제 2 하드마스크막 패턴을 형성하는 단계;Etching the second hard mask layer using the spacers as a mask to form a second hard mask layer pattern; 상기 스페이서 및 상기 제 2 하드마스크막 패턴을 마스크로 상기 제 1 하드마스크막을 식각하여 제 1 하드마스크막 패턴을 형성하는 단계Etching the first hard mask layer using the spacers and the second hard mask layer pattern as a mask to form a first hard mask layer pattern 를 포함하는 반도체 소자의 미세 패턴 형성방법.Method for forming a fine pattern of a semiconductor device comprising a. 제 1항에 있어서, The method of claim 1, 상기 제 1 하드마스크막 패턴을 형성하는 단계 이후에,After the forming of the first hard mask film pattern, 상기 스페이서 및 상기 제 2 하드마스크막 패턴을 제거하는 단계;Removing the spacers and the second hard mask layer pattern; 상기 제 1 하드마스크막 패턴을 마스크로 상기 피식각층을 식각하는 단계Etching the etched layer using the first hard mask layer pattern as a mask 를 더 포함하는 반도체 소자의 미세 패턴 형성방법.Method of forming a fine pattern of a semiconductor device further comprising. 제 1항에 있어서,The method of claim 1, 상기 실리콘 산화막을 25 내지 150℃의 온도에서 형성하는 반도체 소자의 미세 패턴 형성방법.The method of forming a fine pattern of a semiconductor device to form the silicon oxide film at a temperature of 25 to 150 ℃. 제 1항에 있어서,The method of claim 1, 상기 실리콘 산화막을 원자층증착 공정, 분자층증착 공정 또는 촉매 화학기상증착 공정 중 어느 하나를 사용하여 형성하는 반도체 소자의 미세 패턴 형성방법.And forming the silicon oxide film using any one of an atomic layer deposition process, a molecular layer deposition process, or a catalytic chemical vapor deposition process. 제 4항에 있어서,The method of claim 4, wherein 상기 원자층증착 공정 또는 분자층증착 공정시 반응 가스로 실리콘 소오스와 산소 소오스를 사용하는 반도체 소자의 미세 패턴 형성방법.The method of forming a fine pattern of a semiconductor device using a silicon source and an oxygen source as a reaction gas in the atomic layer deposition process or molecular layer deposition process. 제 5항에 있어서,The method of claim 5, 상기 실리콘 소오스가 도입되고 상기 포토레지스트 패턴을 포함한 전표면에 흡착되는 단계;Introducing the silicon source and adsorbing the entire surface including the photoresist pattern; 상기 산소 소오스가 도입되고 흡착된 상기 실리콘 소오스와 반응하여 실리콘 산화물이 형성되는 단계를 하나의 사이클로, In one cycle, the oxygen source is introduced and reacted with the adsorbed silicon source to form silicon oxide. 상기 사이클을 적어도 1회 이상 반복하여 상기 실리콘 산화막을 형성하는 반도체 소자의 미세 패턴 형성방법.Forming the silicon oxide film by repeating the cycle at least once or more times. 제 6항에 있어서,The method of claim 6, 상기 실리콘 소오스 및 상기 산소 소오스가 도입되는 과정 사이 사이에 퍼지시키는 단계를 실시하는 반도체 소자의 미세 패턴 형성방법.And purging between the silicon source and the step of introducing the oxygen source. 제 5항에 있어서,The method of claim 5, 상기 실리콘 소오스로 HCD(Si2Cl6), TCS(SiCl4), TriDMAS(C6H19N3Si), BT-BAS, TSA((SiH3)3N) 또는 DS(Si2H6) 중 어느 하나를 사용하는 반도체 소자의 미세 패턴 형성방법.The silicon source may be HCD (Si 2 Cl 6 ), TCS (SiCl 4 ), TriDMAS (C 6 H 19 N 3 Si), BT-BAS, TSA ((SiH 3 ) 3 N) or DS (Si 2 H 6 ) Method for forming a fine pattern of a semiconductor device using any one. 제 5항에 있어서,The method of claim 5, 상기 산소 소오스로 O2, H2O, O3 중 어느 하나를 사용하는 반도체 소자의 미세 패턴 형성방법.The method of forming a fine pattern of a semiconductor device using any one of O 2 , H 2 O, O 3 as the oxygen source. 제 5항에 있어서,The method of claim 5, 상기 실리콘 소오스 및 상기 산소 소오스 외에 촉매를 더 사용하는 반도체 소자의 미세 패턴 형성방법.The method of forming a fine pattern of a semiconductor device using a catalyst in addition to the silicon source and the oxygen source. 제 10항에 있어서,The method of claim 10, 상기 촉매로 아민기를 포함하는 피리딘(pryridine), 암모니아, 알루미늄을 포함하는 금속 물질 중 어느 하나를 사용하는 반도체 소자의 미세 패턴 형성방법.The method of forming a fine pattern of a semiconductor device using any one of a metal material containing a pyridine (pryridine) containing a amine group, ammonia, aluminum as the catalyst. 제 4항에 있어서,The method of claim 4, wherein 상기 촉매 화학기상증착 공정시 알루미늄을 포함한 금속 물질을 상기 포토레지스트 패턴을 포함한 전면에 흡착시키고 오가노 실리케이트를 증착하여 상기 실리콘 산화막을 형성하는 반도체 소자의 미세 패턴 형성방법.And forming a silicon oxide layer by adsorbing a metal material including aluminum on the entire surface including the photoresist pattern and depositing an organo silicate during the catalytic chemical vapor deposition process. 제 1항에 있어서,The method of claim 1, 상기 산소 플라즈마 처리시 상기 포토레지스트 패턴이 산화되지 않도록 제어하는 반도체 소자의 미세 패턴 형성방법.The method of forming a fine pattern of a semiconductor device to control the photoresist pattern is not oxidized during the oxygen plasma treatment. 제 1항에 있어서,The method of claim 1, 상기 포토레지스트 패턴 제거시 산소 플라즈마를 사용하는 반도체 소자의 미세 패턴 형성방법.The method of forming a fine pattern of a semiconductor device using an oxygen plasma to remove the photoresist pattern. 제 1항에 있어서,The method of claim 1, 상기 제 1 하드마스크막을 아모포스 카본막의 단일막 또는 실리콘질산화막과 아모포스 카본막의 적층막으로 형성하는 반도체 소자의 미세 패턴 형성방법.And forming the first hard mask film as a single film of an amorphous carbon film or a laminated film of a silicon nitride oxide film and an amorphous carbon film. 제 1항에 있어서,The method of claim 1, 상기 제 2 하드마스크막을 다결정 폴리실리콘으로 형성하는 반도체 소자의 미세 패턴 형성방법.The method of forming a fine pattern of a semiconductor device, wherein the second hard mask film is formed of polycrystalline polysilicon.
KR1020080071815A 2008-07-23 2008-07-23 Method for forming micro-pattern of semiconductor device KR100982140B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080071815A KR100982140B1 (en) 2008-07-23 2008-07-23 Method for forming micro-pattern of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080071815A KR100982140B1 (en) 2008-07-23 2008-07-23 Method for forming micro-pattern of semiconductor device

Publications (2)

Publication Number Publication Date
KR20100010777A KR20100010777A (en) 2010-02-02
KR100982140B1 true KR100982140B1 (en) 2010-09-15

Family

ID=42085372

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080071815A KR100982140B1 (en) 2008-07-23 2008-07-23 Method for forming micro-pattern of semiconductor device

Country Status (1)

Country Link
KR (1) KR100982140B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101865839B1 (en) 2010-11-04 2018-06-11 삼성전자주식회사 Method of forming a fine pattern and method of fabricating a semiconductor device
US11232952B2 (en) * 2020-03-05 2022-01-25 Nanya Technology Corporation Semiconductor device structure with fine patterns and method for forming the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100574999B1 (en) 2004-12-06 2006-04-28 삼성전자주식회사 Method of forming pattern of semiconductor device
KR100685903B1 (en) 2005-08-31 2007-02-26 동부일렉트로닉스 주식회사 Method for manufacturing the semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100574999B1 (en) 2004-12-06 2006-04-28 삼성전자주식회사 Method of forming pattern of semiconductor device
KR100685903B1 (en) 2005-08-31 2007-02-26 동부일렉트로닉스 주식회사 Method for manufacturing the semiconductor device

Also Published As

Publication number Publication date
KR20100010777A (en) 2010-02-02

Similar Documents

Publication Publication Date Title
JP5492842B2 (en) Semiconductor element
US7410913B2 (en) Method of manufacturing silicon rich oxide (SRO) and semiconductor device employing SRO
KR100441681B1 (en) Method of forming a metal gate
US10978301B2 (en) Morphology of resist mask prior to etching
JP4589983B2 (en) Method for forming fine pattern
TWI803636B (en) Atomic layer deposition for low-k trench protection during etch
KR20100039847A (en) Oxygen sacvd to form sacrificial oxide liners in substrate gaps
CN109830525B (en) Method for manufacturing nanowire device
KR100982140B1 (en) Method for forming micro-pattern of semiconductor device
US10361112B2 (en) High aspect ratio gap fill
KR101036928B1 (en) Method for manufcturing semiconductor device
JP2006339371A (en) Manufacturing method of semiconductor device
KR100983724B1 (en) Method for manufacturing semiconductor device
KR100596486B1 (en) Stacked semiconductor device and method of manufacturing the same
KR20060111224A (en) Method for fabricating semiconductor device
JP2005012159A (en) Method for forming gate electrode of semiconductor device
KR100987867B1 (en) Method of manufacturing a flash memory device
KR100891518B1 (en) Method of manufacturing semiconductor device
CN113284807B (en) Method for manufacturing semiconductor structure
KR101055388B1 (en) Manufacturing Method of Semiconductor Device
US8536051B2 (en) Manufacture method for semiconductor device
KR20030049159A (en) Method for fabricating semiconductor device
KR100647357B1 (en) Method for fabricating semiconductor devices
KR20010003654A (en) Method for forming silicon nitride layers and method for fabricating memory device using the same
KR20020041582A (en) Method for forming interlayer dielectrics of semiconductor device and method for fabricating semiconductor device using the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee