KR100887447B1 - Substrate processing apparatus, gas supply unit, substrate processing method, and storage medium - Google Patents

Substrate processing apparatus, gas supply unit, substrate processing method, and storage medium Download PDF

Info

Publication number
KR100887447B1
KR100887447B1 KR1020070082204A KR20070082204A KR100887447B1 KR 100887447 B1 KR100887447 B1 KR 100887447B1 KR 1020070082204 A KR1020070082204 A KR 1020070082204A KR 20070082204 A KR20070082204 A KR 20070082204A KR 100887447 B1 KR100887447 B1 KR 100887447B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
region
etching
peripheral region
Prior art date
Application number
KR1020070082204A
Other languages
Korean (ko)
Other versions
KR20080015754A (en
Inventor
노리이키 마스다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080015754A publication Critical patent/KR20080015754A/en
Application granted granted Critical
Publication of KR100887447B1 publication Critical patent/KR100887447B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/7034Leveling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate

Abstract

본 발명은 기판에 가스를 공급하여 기판의 처리를 행함에 있어, 기판의 처리의 면내 균일성을 높이는 것을 목적으로 한다.

기판에 가스를 공급하는 가스 토출 구멍이 형성된 샤워 헤드의 내부를, 기판의 중앙 영역에 가스를 공급하는 중앙 영역과, 기판의 주변 영역에 가스를 공급하는 주변 영역으로 분할하고, 기판에 대해 각각의 영역으로부터 유량을 조정한 동일한 처리 가스를 공급한다. 그 때, 가스 공급 장치의 중앙 영역의 중심으로부터 당해 중앙 영역에 포함되는 가장 외측의 가스 토출 구멍까지의 거리가 기판 반경의 53% 이상으로 한다. 또, 주변 영역에는 첨가 가스를 더 첨가한다.

Figure R1020070082204

An object of this invention is to improve in-plane uniformity of the process of a board | substrate in supplying gas to a board | substrate and processing a board | substrate.

The inside of the shower head in which the gas discharge hole for supplying gas to the substrate is formed is divided into a central region for supplying gas to the center region of the substrate and a peripheral region for supplying gas to the peripheral region of the substrate. The same process gas which adjusted the flow volume from the area | region is supplied. In that case, the distance from the center of the center area | region of a gas supply apparatus to the outermost gas discharge hole contained in the said center area | region shall be 53% or more of a substrate radius. In addition, an additional gas is further added to the peripheral region.

Figure R1020070082204

Description

기판 처리 장치, 가스 공급 장치, 기판 처리 방법 및 기억 매체{SUBSTRATE PROCESSING APPARATUS, GAS SUPPLY UNIT, SUBSTRATE PROCESSING METHOD, AND STORAGE MEDIUM}Substrate processing unit, gas supply unit, substrate processing method and storage medium {SUBSTRATE PROCESSING APPARATUS, GAS SUPPLY UNIT, SUBSTRATE PROCESSING METHOD, AND STORAGE MEDIUM}

본 발명은 반도체 웨이퍼 등의 기판에 대해서 가스를 공급하여 기판의 처리를 행하는 기술 및 이 가스를 공급하는 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a technique for processing a substrate by supplying a gas to a substrate such as a semiconductor wafer and an apparatus for supplying the gas.

반도체 디바이스의 제조 공정에 있어서, 반도체 웨이퍼(이하 웨이퍼라 함) 등의 기판에 대한 에칭이나 CVD 등의 처리는 처리 용기 내에 기판을 탑재하고, 이 기판에 대향하여 마련된 가스 샤워 헤드라고 불리는 가스 공급 장치로부터 처리 가스를 기판에 대해 샤워 형상으로 공급함으로써 행하여진다.In the manufacturing process of a semiconductor device, a process such as etching or CVD on a substrate such as a semiconductor wafer (hereinafter referred to as a wafer) mounts a substrate in a processing container, and is a gas supply device called a gas shower head provided against the substrate. From the processing gas is supplied to the substrate in a shower shape.

한편, 최근의 패턴의 미세화, 고(高)집적화에 따라, 기판의 면 내에서의 패턴의 치수가 불균일하게 되기 쉬운 프로세스가 출현하게 되어 있다. 예컨대 트랜지스터의 게이트 전극을 에칭에 의해서 라인 형상으로 형성하는 프로세스에서는, 레지스트 마스크를 이용하여 게이트 전극 재료층을 에칭하면, 레지스트 마스크에 대해서 큰 선택비를 확보하기 어려워, 레지스트 마스크가 먼저 소실되어 버리므로, 실리콘 질화막(SiN막)을 하드 마스크로서 이용하여 에칭을 행하는 프로세스가 검토되고 있다.On the other hand, with the recent miniaturization and high integration of a pattern, a process is likely to appear in which the dimensions of the pattern in the surface of the substrate tend to be nonuniform. For example, in the process of forming the gate electrode of the transistor in a line shape by etching, when the gate electrode material layer is etched using the resist mask, it is difficult to secure a large selectivity with respect to the resist mask, and the resist mask is lost first. The process of etching using a silicon nitride film (SiN film) as a hard mask is examined.

그러나, SiN막은, 에칭에 의해 형성된 라인의 폭에 대하여 면내 분포가 메 산(山)자 형상으로 되는 경향, 바꿔 말하면 중앙 영역의 라인의 폭이 주변 영역보다도 커지는 경향이 강하다. SiN막은, 퇴적물이 부착되기 쉽기(소위 퇴적성이 강하기) 때문에, 기판의 표면에서의 퇴적물을 퇴적하는 가스 분포의 편차에 의해서, 라인의 측벽에 퇴적되는 퇴적물의 양이 영향을 받기 쉽다. 한편, 웨이퍼의 중앙 영역은 주변 영역에 비해서 가스가 배기되기 어려워, 가스의 압력이 약간 높으므로, 웨이퍼의 중앙 영역에서는 주변 영역보다도 퇴적물의 부착량이 늘어나, 이 차이가 라인의 폭의 면내 분포에 크게 작용하고 있는 것으로 생각된다.However, the SiN film has a tendency that the in-plane distribution becomes mecha-shaped with respect to the width of the line formed by etching, in other words, the width of the line in the center region becomes larger than the peripheral region. Since SiN films tend to adhere to deposits (so-called deposition properties are strong), the amount of deposits deposited on the sidewalls of the lines is likely to be affected by variations in the gas distribution that deposits the deposits on the surface of the substrate. On the other hand, in the center region of the wafer, gas is less likely to be exhausted compared to the peripheral region, and the pressure of the gas is slightly higher. Therefore, the deposition amount of the deposit increases in the central region of the wafer than in the peripheral region. It seems to work.

예컨대 도 11(a)에 도시하는 바와 같이, 포토레지스트 마스크(101)와 SiO2막(102) 등을 거쳐서 그 아래쪽에 형성된 예컨대 SiN막(103)에 대하여, 예컨대 퇴적물을 퇴적하는 가스인 CH2F2 가스와, 에칭 가스인 O2 가스를 포함하는 처리 가스의 플라즈마에 의해서 동 도면(b)과 같이 에칭을 행하는 프로세스에 있어서는, 라인의 치수 D의 편차의 허용 범위는 예컨대 10㎚ 이하로 되고 있어, 웨이퍼(100)에 있어서의 라인의 밀한 부분 예컨대 금속 배선과 그 사이의 절연층과의 비율이 1:1 정도의 부분뿐만 아니라, 지금까지 치수의 편차가 비교적 넓게 허용되고 있었던 라인의 소한 부분 예컨대 전술한 비율이 1:2 이상인 부분에 대해서도, 그 허용 범위 를 만족하는 것이 요구되고 있다.For example, as shown in Figure 11 (a), through the photoresist mask 101 and the SiO 2 film 102, such as a gas for depositing a, for example, sediment against e.g. SiN film 103 formed on the bottom of the CH 2 In the process of etching as shown in the drawing (b) by the plasma of the processing gas containing the F 2 gas and the O 2 gas which is the etching gas, the allowable range of the deviation of the dimension D of the line is, for example, 10 nm or less. In addition, the ratio between the dense part of the line in the wafer 100, for example, the metal wiring and the insulating layer therebetween, is not only about 1: 1, but also a small part of the line where the variation in dimensions has been relatively largely allowed until now. For example, it is required to satisfy the allowable range even in a portion where the above ratio is 1: 2 or more.

특허 문헌 1에 기재된 가스 공급 장치는, 중앙 영역과 주변 영역에 대해 독립하여 가스를 공급할 수 있기 때문에, 웨이퍼(100)의 주변 영역에서의 단위 면적당의 퇴적물을 퇴적하는 가스의 공급량을 중앙 영역보다도 많게 할 수 있다. 그러나, 주변 영역에 공급되는 에칭 가스의 유량도 많아지기 때문에, 퇴적물의 양이 증가하였다고 하여도 그 퇴적물을 에칭하는 양도 증가하므로, 개략적인 말을 하면, 주변 영역에서의 퇴적물의 부착량을 많게 하는 것은 불가능하여, 여전히 라인의 치수의 면내 분포를 개선할 수 없다.Since the gas supply device described in Patent Document 1 can supply gas independently from the center region and the peripheral region, the supply amount of gas for depositing the deposit per unit area in the peripheral region of the wafer 100 is larger than that of the central region. can do. However, since the flow rate of the etching gas supplied to the peripheral region also increases, even if the amount of the deposit increases, the amount of etching of the deposit also increases, so that roughly speaking, increasing the deposition amount of the deposit in the peripheral region is large. It is not possible to still improve the in-plane distribution of the dimension of the line.

<특허 문헌 1> 일본 특허 공개 제 2005-723 호([0052]~[0054])<Patent Document 1> Japanese Patent Laid-Open No. 2005-723 ([0052] to [0054])

본 발명은 이러한 사정 하에서 이루어진 것으로서, 그 목적은, 기판에 가스를 공급하여 기판의 처리를 행함에 있어, 기판의 면내에서 균일성 높게 처리를 행할 수 있는 기술을 제공하는 것에 있다.This invention is made | formed under such a situation, The objective is to provide the technique which can perform a process uniformly in surface inside of a board | substrate in supplying gas to a board | substrate and processing a board | substrate.

본 발명의 기판 처리 장치는, 기판이 탑재되는 탑재대가 내부에 마련된 처리 용기와, 상기 탑재대에 대향하도록 마련되고, 기판의 중앙 영역에 대향하고 또한 다수의 가스 토출 구멍이 형성된 중앙 영역과, 기판의 주변 영역에 대향하고 또한 다수의 가스 토출 구멍이 형성된 주변 영역으로부터 각기 독립하여 유량 조정된 처리 가스를 기판에 공급하도록 구성된 가스 공급 장치와, 상기 가스 공급 장치의 상기 중앙 영역과 주변 영역에 공통의 가스를 공급하기 위한 수단과, 상기 가스 공급 장치의 주변 영역에 상기 공통의 가스에 부가하여 첨가 가스를 공급하기 위한 수단과, 처리 용기 내를 배기하기 위한 배기 수단을 구비하되, 상기 가스 공급 장치의 중앙 영역의 중심으로부터 당해 중앙 영역에 포함되는 가장 외측의 가스 토출 구멍까지의 거리가 기판 반경의 53% 이상인 것을 특징으로 한다.The substrate processing apparatus of the present invention includes a processing container provided with a mounting table on which a substrate is mounted, a central region provided to face the mounting table, facing a central region of the substrate, and formed with a plurality of gas discharge holes, and a substrate. A gas supply device configured to supply a processing gas that is flow-adjusted independently from a peripheral area having a plurality of gas discharge holes and opposed to the peripheral area of the substrate, and common to the central area and the peripheral area of the gas supply device. Means for supplying a gas, means for supplying an additive gas in addition to the common gas in a peripheral region of the gas supply device, and exhaust means for exhausting the inside of the processing container, The distance from the center of the center region to the outermost gas discharge hole included in the center region is Characterized in that at least 53% of the radius.

또한, 본 발명의 기판 처리 장치의 구체적 형태로서, 복수의 가스 공급원으로부터 공급되는 복수의 가스를 혼합하여, 그 혼합 가스가 상기 중앙 영역과 주변 영역으로 분류되어 공통 가스로서 공급되는 예를 들 수 있으며, 이 경우, 상기 공 통 가스는, 예컨대 에칭 가스와, 퇴적 작용 또는 볼록부의 측벽 보호 작용을 갖는 가스를 포함하고, 그들 가스의 상기 중앙 영역에서의 유량의 비율과 주변 영역에서의 유량의 비율이 동일하며, 상기 첨가 가스는 퇴적 작용 또는 볼록부의 측벽 보호 작용을 구비한 가스이다. 또한, 퇴적 작용이 있는 첨가 가스는, 예컨대 탄소 및 수소를 포함하는 화합물로 이루어지는 가스이며, 에칭 가스는, 예컨대 기판 상의 질화실리콘막을 에칭하기 위한 가스이다. 또는 에칭 가스는 기판 상의 질화실리콘막을 에칭하기 위한 가스이고, 볼록부의 측벽 보호 작용을 구비한 첨가 가스는 질소 가스이다. 상기 기판의 처리는, 예컨대 기판 상의 박막에 대하여 에칭에 의해 라인을 형성하는 것이다. 또한, 상기 처리는 처리 용기 내에서의 프로세스 시의 압력이 예컨대 1.3Pa~40Pa로 조정되어 행하여진다.Moreover, as a specific aspect of the substrate processing apparatus of this invention, the some gas supplied from the some gas supply source is mixed, and the mixed gas is classified into the said center area | region and the peripheral area, and is supplied as a common gas, for example. In this case, the common gas includes, for example, an etching gas and a gas having a deposition action or a sidewall protection action of the convex portion, and the ratio of the flow rate in the central region of those gases and the flow rate in the peripheral region The same, the additive gas is a gas having a deposition action or a side wall protection action of the convex portion. The additive gas having a deposition action is a gas made of a compound containing carbon and hydrogen, for example, and the etching gas is a gas for etching the silicon nitride film on the substrate, for example. Alternatively, the etching gas is a gas for etching the silicon nitride film on the substrate, and the additive gas having the sidewall protection action of the convex portion is nitrogen gas. The processing of the substrate is, for example, to form a line by etching the thin film on the substrate. In addition, the said process is performed by adjusting the pressure at the time of a process in a processing container, for example to 1.3 Pa-40 Pa.

본 발명의 가스 공급 장치는, 기판의 중앙 영역에 대향하고, 다수의 가스 토출 구멍이 형성된 중앙 영역과, 기판의 주변 영역에 대향하고, 다수의 가스 토출 구멍이 형성된 주변 영역으로부터 동일한 성분의 처리 가스를 각기 독립하여 기판에 공급하기 위한 가스 공급 장치에 있어서, 상기 중앙 영역의 중심으로부터 당해 중앙 영역에 포함되는 가장 외측의 가스 토출 구멍까지의 거리가 기판 반경의 53% 이상이고, 주변 영역으로부터는 첨가 가스가 더 가해지는 것을 특징으로 한다.The gas supply apparatus of the present invention is a processing gas of the same component from a central region facing the central region of the substrate and having a plurality of gas discharge holes formed therein, and a peripheral region facing the peripheral region of the substrate and having a plurality of gas discharge holes formed therein. In the gas supply apparatus for supplying to the substrate independently from each other, the distance from the center of the center region to the outermost gas discharge hole included in the center region is 53% or more of the substrate radius, and is added from the peripheral region. It is characterized in that more gas is added.

본 발명의 기판 처리 방법은, 기판이 탑재되는 탑재대가 내부에 마련된 처리 용기와, 상기 탑재대에 대향하도록 마련되고, 기판의 중앙 영역에 대향하고 또한 다수의 가스 토출 구멍이 형성된 중앙 영역과, 기판의 주변 영역에 대향하고 또한 다수의 가스 토출 구멍이 형성된 주변 영역을 구비한 가스 공급 장치를 갖는 기판 처리 장치를 이용하여 기판을 처리하는 방법에 있어서, 상기 가스 공급 장치의 중앙 영역과 주변 영역으로부터 각각 독립하여 유량 조정된 공통의 처리 가스를 기판에 공급하는 공정과, 상기 가스 공급 장치의 주변 영역에 상기 공통의 가스에 부가하여 첨가 가스를 공급하는 공정과, 처리 용기 내를 배기하는 공정을 포함하되, 상기 가스 공급 장치의 중앙 영역의 중심으로부터 당해 중앙 영역에 포함되는 가장 외측의 가스 토출 구멍까지의 거리가 기판 반경의 53% 이상인 것을 특징으로 한다.The substrate processing method of the present invention includes a processing container provided with a mounting table on which a substrate is mounted, a central region provided to face the mounting table, facing a central region of the substrate, and having a plurality of gas discharge holes formed therein; A method of processing a substrate using a substrate processing apparatus having a gas supply device, the substrate supply device having a peripheral area opposed to a peripheral area of the substrate and having a plurality of gas discharge holes formed therein, the method comprising: respectively from a central area and a peripheral area of the gas supply device; A process of supplying a common process gas independently adjusted in flow rate to a substrate, a process of supplying an additional gas in addition to the common gas to a peripheral region of the gas supply device, and evacuating a process container And an outermost gas discharge port included in the central region from the center of the central region of the gas supply device. The distance to the bruise is characterized in that not less than 53% of the substrate radius.

본 발명의 기억 매체는, 기판 처리 장치에 이용되고, 컴퓨터 상에서 동작하는 컴퓨터 프로그램을 저장한 기억 매체로서, 상기 컴퓨터 프로그램은 상기 기판 처리 방법을 실시하도록 단계가 편성되어 있는 것을 특징으로 한다.The storage medium of the present invention is a storage medium that is used in a substrate processing apparatus and stores a computer program operating on a computer, wherein the computer program is configured to perform the substrate processing method.

본 발명에 의하면, 다수의 가스 토출 구멍이 형성된 중앙 영역과 주변 영역으로부터 공통의 처리 가스를 각각 독립하여 기판에 공급하는 가스 공급 장치를 이용하고, 주변 영역으로부터는 첨가 가스가 더 가해지도록 하고 또한 상기 중앙 영역과 주변 영역의 경계 위치를 적절화하고 있기 때문에, 기판의 중앙 영역이 주변 영역보다도 배기되기 어려운 것에 근거하는 처리의 면내의 불균일성을 완화할 수 있어, 그 결과 에칭 등의 처리의 면내 균일성의 향상을 도모할 수 있다.According to the present invention, a gas supply apparatus for supplying a common processing gas to a substrate is independently provided from a central region and a peripheral region in which a plurality of gas discharge holes are formed, and further gas is added from the peripheral region. Since the boundary position between the center region and the peripheral region is appropriate, in-plane nonuniformity of the processing based on the less likely that the center region of the substrate is less exhausted than the peripheral region can be alleviated, resulting in in-plane uniformity of the processing such as etching. Improvement can be aimed at.

예컨대, 실리콘 질화막 등의 부가물의 퇴적성이 강한 막을 에칭하는 경우에는, 예컨대 라인 등의 패턴에 대해 주변부측의 폭이 작아지는 경향이 강하지만, 주변 영역에 퇴적 작용 또는 볼록부의 측벽 보호 작용이 있는 가스를 첨가함으로써, 패턴의 폭의 면내 균일성이 개선된다.For example, in the case of etching a film having a strong deposition property of an additive such as a silicon nitride film, the width of the peripheral portion side tends to decrease with respect to a pattern such as a line, for example, but there is a deposition action or a sidewall protection action in the peripheral region. By adding a gas, the in-plane uniformity of the width of the pattern is improved.

본 발명의 가스 공급 장치(1)의 적용예에 대하여 도 1~도 3을 참조해서 설명한다. 본 발명의 가스 공급 장치(1)가 적용된 플라즈마 처리 장치(2)는, 예컨대 내부가 밀폐 공간으로 되어 있는 진공 챔버로 이루어지는 처리 용기(21)와, 이 처리 용기(21) 내의 바닥면 중앙에 배치되고, 하부 전극을 겸하는 탑재대(3)와, 탑재대(3)의 위쪽에 당해 탑재대(3)와 대향하도록 마련된 샤워 헤드의 일부를 이루는 상부 전극(4)을 구비하고 있다.The application example of the gas supply apparatus 1 of this invention is demonstrated with reference to FIGS. The plasma processing apparatus 2 to which the gas supply device 1 of the present invention is applied is disposed at, for example, a processing container 21 made of a vacuum chamber having an airtight space inside, and at the bottom center in the processing container 21. And a mounting table 3 serving as a lower electrode, and an upper electrode 4 constituting a part of a shower head provided to face the mounting table 3 above the mounting table 3.

처리 용기(21)의 바닥면에는 배기구(22)가 형성되어 있고, 이 배기구(22)에는 배기관(24)을 거쳐서 배기 수단인 배기 장치(23)가 접속되어 있다. 배기 장치(23)에는 도시하지 않은 압력 조정부가 마련되어 있고, 이 압력 조정부는 후술하는 제어부(2A)로부터의 신호에 의해서 처리 용기(21) 내를 진공 배기하여 소망하는 진공도로 유지하도록 구성되어 있다. 또한, 처리 용기(21)의 벽면에는 웨이퍼 W의 반송구(25)가 마련되어 있고, 이 반송구(25)는 게이트 밸브(26)에 의해서 개폐 가능하게 되어 있다. 처리 용기(21)의 외측의 측벽부에는, 반송구(25)를 상하에서 거리를 두고, 각각 링 형상의 영구 자석(27, 28)이 마련되어 있다.An exhaust port 22 is formed in the bottom surface of the processing container 21, and an exhaust device 23, which is an exhaust means, is connected to the exhaust port 22 via an exhaust pipe 24. The exhaust device 23 is provided with a pressure adjusting unit (not shown). The pressure adjusting unit is configured to evacuate the inside of the processing container 21 by a signal from the control unit 2A to be described later and to maintain the desired vacuum degree. Moreover, the conveyance port 25 of the wafer W is provided in the wall surface of the process container 21, and this conveyance port 25 is opened and closed by the gate valve 26. Moreover, as shown in FIG. Ring side permanent magnets 27 and 28 are provided in the side wall part of the outer side of the processing container 21 with the conveyance port 25 spaced up and down, respectively.

처리 용기(21)의 내벽에는 퇴적물 실드가 마련되어 있고, 처리 용기(21)의 내벽을 고온 예컨대 60℃ 이상으로 유지하여, 플루오르 카본 등의 부착물이 퇴적되지 않도록 구성되어 있지만, 도면에서는 생략한다.A deposit shield is provided on the inner wall of the processing container 21, and the inner wall of the processing container 21 is maintained at a high temperature, for example, 60 ° C. or higher, so that deposits such as fluorocarbons are not deposited.

탑재대(3)는, 예컨대 알루미늄으로 이루어지는 지지대(32), 정전 척(34), 조금의 간극을 두고 정전 척(34)의 주위를 둘러싸는 절연체로 이루어지는 제 1 링체(39) 및 이 제 1 링체(39)의 상면에 마련되고, 웨이퍼 W의 위쪽에 플라즈마를 발생시켰을 때에 당해 플라즈마를 가로 방향으로 확대하는 역할을 담당하는 도전체로 이루어지는 제 2 링체(40)로 구성되어 있다. 정전 척(34)에는, 후술하는 바와 같이, 웨이퍼 W를 승강시키기 위한 관통 구멍(34a)이 형성되어 있다. 또한, 정전 척(34)에는, 고압 직류 전원(35)이 접속되어 있고, 고압 직류 전원(35)으로부터의 급전에 의해서, 웨이퍼 W가 정전 척(34)에 정전 흡착된다.The mounting table 3 includes, for example, a support ring 32 made of aluminum, an electrostatic chuck 34, a first ring body 39 made of an insulator surrounding the electrostatic chuck 34 with a small gap, and the first ring body 39. It is comprised by the 2nd ring body 40 which is provided in the upper surface of the ring body 39, and consists of a conductor which plays a role which expands the said plasma horizontally when generating a plasma above the wafer W. As shown in FIG. As described later, the electrostatic chuck 34 is provided with a through hole 34a for elevating the wafer W. As shown in FIG. In addition, a high pressure DC power supply 35 is connected to the electrostatic chuck 34, and the wafer W is electrostatically attracted to the electrostatic chuck 34 by power feeding from the high pressure DC power supply 35.

탑재대(3)의 측벽부에는, 탑재대(3)와 처리 용기(21)의 외벽의 링 형상의 간극을 매우도록 배기 버퍼의 역할을 가지는 링 형상의 배기 링(24a)이 마련되어 있다. 이 배기 링(24a)은, 둘레 방향에 있어서의 배기량을 균일하게 하여, 탑재대(3)에 탑재되는 웨이퍼 W의 둘레 방향으로부터의 배기량을 균일하게 하기 위한 것이다.In the side wall portion of the mounting table 3, a ring-shaped exhaust ring 24a having a role of an exhaust buffer is provided to fill a ring-shaped gap between the mounting table 3 and the outer wall of the processing container 21. This exhaust ring 24a is for making the exhaust amount in the circumferential direction uniform, and making the exhaust amount from the circumferential direction of the wafer W mounted on the mounting table 3 uniform.

또한, 탑재대(3)의 지지체(32)에는, 콘덴서 C 및 코일 L을 거쳐서 예컨대 주파수가 13.56㎒의 고주파 전원(31)이 접속되어 있고, 이 고주파 전원(31)은 처리 가스를 플라즈마화하기 위한 것이다. 고주파 전원(31)은, 후술하는 제어부(2A)에 접속되어 있고, 제어 신호에 따라 고주파 전원(31)에 공급되는 전력이 제어된다. 고주파 전원(31)과 탑재대(3)는 플라즈마 발생 수단을 구성하고 있다.In addition, a high frequency power source 31 having a frequency of 13.56 MHz is connected to the support 32 of the mounting table 3 via a capacitor C and a coil L, and the high frequency power source 31 converts the processing gas into plasma. It is for. The high frequency power supply 31 is connected to the control part 2A mentioned later, and the electric power supplied to the high frequency power supply 31 is controlled according to a control signal. The high frequency power supply 31 and the mounting table 3 constitute a plasma generating means.

탑재대(3)의 내부에는, 처리 용기(21)의 외부의 도시하지 않은 반송 아암과의 사이에서 웨이퍼 W의 수수를 행하기 위한 승강 부재(5)가 마련되어 있다. 이 승강 부재(5)는, 탑재대(3) 및 처리 용기(21)의 바닥면을 관통하도록 설치된 복수 라인 예컨대 3개의 승강 핀(5a)이나, 이들 승강 핀(5a)을 구동하는 구동 기구(5b) 등으로 구성되어 있다. 구동 기구(5b)에 의해 승강 핀(5a)의 선단이 정전 척(34)에 형성된 관통 구멍(34a)을 거쳐서 들어갔다 나왔다 한다.Inside the mounting table 3, a lifting member 5 is provided for carrying the wafer W between the transport arms not shown outside of the processing container 21. The elevating member 5 includes a plurality of lines, for example, three elevating pins 5a provided to penetrate the bottom surface of the mounting table 3 and the processing container 21, or a drive mechanism for driving these elevating pins 5a ( 5b) and the like. The tip of the elevating pin 5a enters and exits through the through hole 34a formed in the electrostatic chuck 34 by the drive mechanism 5b.

상부 전극(4)과 그 위측에 마련된 덮개(52)는 가스 공급 장치(1)인 대략 원판 형상의 가스 샤워 헤드를 구성하고 있다. 또한, 덮개(52)는 접지되어 있다. 상부 전극(4) 및 덮개(52)에 의해서 사이에 유지되어 탑재대(3)에 대향하도록 형성된 공간은 링 형상의 격벽(55)에 의해서 중앙 영역(53a)과 주변 영역(53b) 사이를 막아져 있으며, 중앙 영역(53a) 및 주변 영역(53b)에는, 각각 후술하는 제 1 가스와 제 2 가스가 통류되도록 가스 공급구(54a) 및 가스 공급구(54b)가 형성되어 있다. 이 예에서는, 가스 공급구(54b)를 1개소 마련했지만, 둘레 방향으로 등간격으로 되도록 복수 개소 마련하더라도 좋다.The upper electrode 4 and the lid 52 provided on the upper side constitute a substantially disk-shaped gas shower head which is the gas supply device 1. In addition, the lid 52 is grounded. The space held between the upper electrode 4 and the cover 52 and formed to face the mounting table 3 is closed between the central region 53a and the peripheral region 53b by the ring-shaped partition wall 55. The gas supply port 54a and the gas supply port 54b are formed in the center region 53a and the peripheral region 53b so that the first gas and the second gas, which will be described later, respectively flow. In this example, one gas supply port 54b is provided, but a plurality of locations may be provided so as to be equally spaced in the circumferential direction.

상부 전극(4)에는 도 3에 도시하는 바와 같이, 웨이퍼 W에 대하여 처리 가스를 분산 공급하기 위한 다수의 가스 토출 구멍(51)이 배치되어 있다. 이 가스 토출 구멍(51)은, 예컨대 웨이퍼 W와 동심원을 이루도록 예컨대 7주(周) 형성되어 있고, 상부 전극(4)의 중심으로부터의 반경이 20㎜로부터 140㎜까지 20㎜마다의 원주 상에 각각 8개, 12개, 20개, 28개, 36개, 42개 및 50개 형성되어 있다. 이 가스 토출 구멍(51)은, 상술한 격벽(55)에 의해서, 중앙 영역(53a)에 연결되는 대략 원 형상의 복수의 가스 토출 구멍(51)과, 주변 영역(53b)에 연결하는 대략 링 형상의 복수의 가스 토출 구멍(51)의 사이를 막혀져 있다.As shown in FIG. 3, a plurality of gas discharge holes 51 for distributing and supplying a processing gas to the wafer W are disposed in the upper electrode 4. This gas discharge hole 51 is formed, for example, seven weeks so as to form a concentric circle with the wafer W, and has a radius from the center of the upper electrode 4 on a circumference every 20 mm from 20 mm to 140 mm. 8, 12, 20, 28, 36, 42 and 50 are formed, respectively. The gas discharge holes 51 are formed by the partition wall 55 described above, and have a plurality of substantially circular gas discharge holes 51 connected to the central region 53a and a substantially ring connected to the peripheral region 53b. It is clogged between the some gas discharge hole 51 of a shape.

이 예에 있어서, 격벽(55)의 위치는, 중앙 영역(53a)의 중심으로부터 중앙 영역(53a)에 포함되는 가장 외측의 가스 토출 구멍(51)까지의 거리(중앙 영역(53a)에서의 가스 토출 구멍(51)의 최외주의 반경 R0)가 웨이퍼 W의 반경의 80%로 되도록 조정되어 있다. 즉, 직경 300㎜의 웨이퍼 W에 대하여, 격벽(55)을 예컨대 상부 전극(4)의 중앙으로부터 반경 130㎜의 위치로 되도록 마련하고, 웨이퍼 W의 중앙 영역에 대하여 가스 토출 구멍(51)의 중앙측의 6주분부터 제 1 가스를 공급하고, 웨이퍼 W의 주변 영역에 대해서 가스 토출 구멍(51)의 외주측의 1주분부터 제 2 가스를 공급하도록 구성되어 있다. 즉 이 경우, 상기 반경 R0이 120㎜이고, 따라서 웨이퍼 W의 반경에 대한 반경 R0의 비율은 80%라는 것으로 된다. 격벽(55)의 위치에 의해서, 중앙 영역(53a) 및 주변 영역(53b)의 크기를 바꿀 수 있어, 즉 제 1 가스 및 제 2 가스가 공급되는 웨이퍼 W의 중앙 영역 및 주변 영역의 면적을 바꿀 수 있다.In this example, the position of the partition wall 55 is a distance from the center of the center area 53a to the outermost gas discharge hole 51 included in the center area 53a (gas in the center area 53a). The outermost radius R0 of the discharge hole 51 is adjusted to be 80% of the radius of the wafer W. As shown in FIG. That is, for the wafer W having a diameter of 300 mm, the partition wall 55 is provided so as to be a position of, for example, a radius of 130 mm from the center of the upper electrode 4, and the center of the gas discharge hole 51 with respect to the center area of the wafer W. The first gas is supplied from the sixth week on the side, and the second gas is supplied from the first week on the outer peripheral side of the gas discharge hole 51 to the peripheral region of the wafer W. That is, in this case, the radius R0 is 120 mm, so the ratio of the radius R0 to the radius of the wafer W is 80%. By the position of the partition wall 55, the size of the center region 53a and the peripheral region 53b can be changed, that is, the area of the central region and the peripheral region of the wafer W to which the first gas and the second gas are supplied is changed. Can be.

이 예에 있어서는, 가스 토출 구멍(51)을 웨이퍼 W와 동심원을 이루도록 배치했지만, 격자 형상이나 지그재그 형상으로 배치하더라도 좋다.In this example, the gas discharge holes 51 are arranged to be concentric with the wafer W, but may be arranged in a lattice shape or a zigzag shape.

또한, 상부 전극(4) 및 덮개(52)에 의해서 형성된 공간은 원판 형상의 확산판(56)에 의해서 상하로 나누어져 있다. 확산판(56)에는, 가스 토출 구멍(51)의 위치에 대응하도록, 예컨대 웨이퍼 W와 동심원을 이루도록 통기 구멍(57)이 형성되어 있다. 이 통기 구멍(57)은, 확산판(56)의 위쪽으로 통류되어 온 가스의 흐름을 산란시켜, 중앙 영역(53a) 및 주변 영역(53b)으로부터 토출되는 각각의 가스의 분포가 균일하게 되도록, 확산판(56)에 형성된 가스 토출 구멍(51)의 위치로부터 예 컨대 10㎜씩 어긋난 위치로 되어 있다. 또한, 확산판(56)에 있어서의 가스 공급구(54a, 54b)에 대응하는 위치에는 볼록부가 형성되어 있고, 중앙 영역(53a) 및 주변 영역(53b)에 공급된 가스를 분산하도록 구성되어 있다. 전술한 격벽(55)은, 이 확산판(56)에 의해서 상하로 분할되어 있지만, 확산판(56)을 거쳐서 동일한 위치에 배치되어 격벽(55)을 구성하고 있다.In addition, the space formed by the upper electrode 4 and the lid 52 is divided up and down by the disk-shaped diffuser plate 56. In the diffusion plate 56, a vent hole 57 is formed so as to correspond to the position of the gas discharge hole 51 so as to be concentric with the wafer W, for example. The vent hole 57 scatters the flow of gas that has flowed upward of the diffusion plate 56 so that the distribution of each gas discharged from the central region 53a and the peripheral region 53b becomes uniform. The position is shifted, for example, by 10 mm from the position of the gas discharge hole 51 formed in the diffusion plate 56. Moreover, the convex part is formed in the position corresponding to the gas supply ports 54a and 54b in the diffuser plate 56, and is comprised so that the gas supplied to the center area | region 53a and the peripheral area | region 53b may be disperse | distributed. . The partition wall 55 mentioned above is divided up and down by this diffuser plate 56, but is arrange | positioned in the same position via the diffuser plate 56, and comprises the partition 55. As shown in FIG.

덮개(52)의 상면에는, 상술한 바와 같이 중앙 영역(53a) 및 주변 영역(53b)에 연결되도록 가스 공급구(54a, 54b)가 형성되어 있고, 이 가스 공급구(54a, 54b)는 각각 가스 도입관(42a, 42b)을 거쳐서 중앙 영역(53a)과 주변 영역(53b)에 공급되는 가스의 유량을 조정하는 수단인 압력 조정부(41a, 41b)에 접속되어 있다. 압력 조정부(41a, 41b)의 상류에서, 가스 도입관(42a, 42b)은 합류되어 가스 도입관(42)에 접속되어 있다. 가스 도입관(42)은 상류측에서 4개로 분기되어 분기관(42A~42D)을 더 형성하고, 밸브(43A~43D)와 유량 제어부(44A~44D)를 거쳐서 가스원 M인 가스 공급원(45A~45D)에 접속되어 있다. 가스원 M으로부터 압력 조정부(41a, 41b)에 공급되는 가스는, 공통의 가스이며, 후술하는 제어부(2A)에 의해서 각각의 압력이 제어되어, 전술한 중앙 영역(53a) 및 주변 영역(53b)에 공급되는 유량이 각각 독립적으로 조정된다. 또한, 공통의 가스란, 중앙 영역(53a) 및 주변 영역(53b)에 공급되는 처리 가스가 예컨대 복수인 경우, 그 처리 가스 중에 포함되는 가스의 혼합비가 동일한 것(공통된 것)이며, 예컨대, 중앙 영역(53a) 및 주변 영역(53b)에 공급되는 에칭 가스와 퇴적용 가스의 유량비가 동일한 것을 나타내고 있다.On the upper surface of the lid 52, gas supply ports 54a and 54b are formed so as to be connected to the central region 53a and the peripheral region 53b as described above, and the gas supply ports 54a and 54b are respectively It is connected to the pressure adjusting parts 41a and 41b which are means for adjusting the flow volume of the gas supplied to the center area | region 53a and the peripheral area | region 53b via the gas introduction pipes 42a and 42b. Upstream of the pressure regulating sections 41a and 41b, the gas introduction pipes 42a and 42b are joined together and connected to the gas introduction pipe 42. The gas introduction pipes 42 branch into four on the upstream side to further form branch pipes 42A to 42D, and the gas supply source 45A serving as the gas source M through the valves 43A to 43D and the flow control units 44A to 44D. ˜45D). The gas supplied from the gas source M to the pressure regulator 41a, 41b is a common gas, and each pressure is controlled by the control part 2A mentioned later, and the center region 53a and the peripheral region 53b which were mentioned above are mentioned. The flow rate supplied to each is adjusted independently. In addition, the common gas means that when there are a plurality of processing gases supplied to the central region 53a and the peripheral region 53b, for example, the mixing ratio of the gases contained in the processing gases is the same (common), for example, The flow rate ratio of the etching gas and the deposition gas supplied to the region 53a and the peripheral region 53b is the same.

가스원 M, 유량 제어부(44A~44D), 밸브(43A~43D), 분기관(42A~42D), 가스 도입관(42), 압력 조정부(41a) 및 가스 도입관(42a)은 중앙 영역(53a)에 공통 가스를 공급하기 위한 수단을 구성하고 있고, 가스원 M, 유량 제어부(44A~44D), 밸브(43A~43D), 분기관(42A~42D), 가스 도입관(42), 압력 조정부(41b) 및 가스 도입관(42b)은 공통 가스를 공급하기 위한 수단을 구성하고 있다.The gas source M, the flow control parts 44A to 44D, the valves 43A to 43D, the branch pipes 42A to 42D, the gas inlet pipe 42, the pressure regulator 41a and the gas inlet pipe 42a are located in the center region ( A means for supplying a common gas to 53a is provided, and the gas source M, the flow control parts 44A to 44D, the valves 43A to 43D, the branch pipes 42A to 42D, the gas inlet pipe 42, and the pressure are provided. The adjusting part 41b and the gas introduction pipe 42b comprise the means for supplying a common gas.

한편, 전술한 가스 도입관(42b)에는, 가스 공급구(54b)와 압력 조정부(41b) 사이에서 가스 도입관(42c)이 접속되어 있고, 가스 도입관(42c)은 그 상류에서 3개로 분기되어 분기관(42E, 42F, 42G)을 형성하고, 밸브(43E, 43F, 43G)와 유량 제어부(44E, 44F 및 44G)를 거쳐서 가스원 A를 이루는 가스 공급원(45E, 45F)과, 가스 공급원(45G)에 접속되어 있다. 가스원 A의 가스는, 전술한 가스 도입관(42b)을 통류하는 가스원 M으로부터의 가스에 첨가하기 위한 가스이며, 후술하는 포토레지스트 마스크(71)에 형성된 개구부(77)나, 그 아래쪽의 막에 형성된 오목부(78)의 측벽을 보호하는 작용을 갖는다. 가스원 A, 유량 제어부(44E, 44F), 밸브(43E, 43F), 분기관(42E, 42F) 및 가스 도입관(42c)은 주변 영역(53b)에 첨가 가스를 공급하기 위한 수단을 구성하고 있다.On the other hand, the gas introduction pipe 42c is connected to the gas introduction pipe 42b mentioned above between the gas supply port 54b and the pressure adjustment part 41b, and the gas introduction pipe 42c branches into three in the upstream. To form branch pipes 42E, 42F and 42G, and form gas source A via valves 43E, 43F and 43G and flow control units 44E, 44F and 44G, and a gas supply source It is connected to 45G. The gas of the gas source A is a gas for adding to the gas from the gas source M which flows through the gas introduction pipe 42b mentioned above, The opening 77 formed in the photoresist mask 71 mentioned later, It has a function of protecting the side wall of the recess 78 formed in the film. The gas source A, the flow control sections 44E and 44F, the valves 43E and 43F, the branch pipes 42E and 42F, and the gas introduction pipe 42c constitute a means for supplying additional gas to the peripheral region 53b. have.

밸브(43A~43G) 및 유량 제어부(44A~44G)는 가스 공급계(46)를 구성하고 있으며, 후술하는 제어부(2A)로부터의 제어 신호에 의해서 각 가스 공급원(45A~45G)의 가스 유량 및 공급/중단의 제어와, 가스 도입관(42a) 및 가스 도입관(42b)을 통류하는 가스의 압력 제어가 행하여진다. 즉, 후술하는 바와 같이, 웨이퍼 W의 처리의 편차를 적게 하기 위해서, 웨이퍼 W의 주변 영역에 대하여 공급하는 제 2 가스 의 유량과, 웨이퍼 W의 중앙 영역에 대하여 공급하는 제 1 가스의 유량의 조정이나, 또 제 2 가스로의 가스원 A의 가스의 첨가가 행해진다. 가스 도입관(42a)을 통류하는 가스 및 가스 도입관(42b)을 통류하는 가스는 각각 제 1 가스 및 제 2 가스에 상당하는 것이다.The valves 43A to 43G and the flow rate controllers 44A to 44G constitute a gas supply system 46, and the gas flow rates of the respective gas supply sources 45A to 45G and the control signals from the controller 2A to be described later. Control of supply / interruption and pressure control of the gas flowing through the gas introduction pipe 42a and the gas introduction pipe 42b are performed. That is, as will be described later, in order to reduce the variation in the processing of the wafer W, the flow rate of the second gas supplied to the peripheral region of the wafer W and the flow rate of the first gas supplied to the central region of the wafer W are adjusted. In addition, the addition of the gas of the gas source A to the second gas is performed. The gas flowing through the gas introduction pipe 42a and the gas flowing through the gas introduction pipe 42b correspond to the first gas and the second gas, respectively.

이 플라즈마 처리 장치(2)에는 예컨대 컴퓨터로 이루어지는 제어부(2A)가 마련되어 있으며, 이 제어부(2A)는 프로그램, 메모리, CPU로 이루어지는 데이터 처리부 등을 구비하고 있고, 상기 프로그램에는 제어부(2A)로부터 플라즈마 처리 장치(2)의 각부에 제어 신호를 보내고, 후술하는 가 스텝을 진행시킴으로써 웨이퍼 W에 대하여 플라즈마 처리를 실시하도록 명령이 내장되어 있다. 또, 예컨대 메모리에는 처리 압력, 처리 시간, 가스 유량, 전력값 등의 처리 파라미터의 값이 기입되는 영역을 구비하고 있고, CPU가 프로그램의 각 명령을 실행할 때 이들 처리 파라미터가 판독되고, 그 파라미터값에 따른 제어 신호가 이 플라즈마 처리 장치(2)의 각 부위에 보내지게 된다. 이 프로그램(처리 파라미터의 입력 조작이나 표시에 관한 프로그램도 포함함)은 컴퓨터 기억 매체 예컨대 가요성 디스크, 컴팩트 디스크, MO(광자기 디스크), 하드 디스크(HD) 등의 기억부(2B)에 저장되어 제어부(2A)에 인스톨된다.The plasma processing apparatus 2 is provided with, for example, a control unit 2A made of a computer. The control unit 2A includes a data processing unit made of a program, a memory, a CPU, and the like, and the program includes a plasma from the control unit 2A. A command is embedded to send a control signal to each part of the processing apparatus 2 and to perform plasma processing on the wafer W by advancing the temporary step described later. Further, for example, the memory has an area in which the values of processing parameters such as processing pressure, processing time, gas flow rate, and power value are written, and these processing parameters are read when the CPU executes each instruction of the program, and the parameter values Control signal is sent to each part of the plasma processing apparatus 2. This program (including a program related to input operation or display of processing parameters) is stored in a storage unit 2B such as a computer storage medium such as a flexible disk, a compact disk, a MO (magnet) disk, and a hard disk (HD). And it is installed in the control unit 2A.

다음에, 가스 공급 장치(1)가 적용된 플라즈마 처리 장치(2)를 이용한 본 발명의 실시예에 대해서 설명한다. 먼저 게이트 밸브(26)를 열어 반송구(25)를 거쳐서 처리 용기(21) 내로 도불하지 않은 반송 기구에 의해 300㎜(12인치) 웨이퍼 W를 반입한다. 승강 부재(5)에 의해서 웨이퍼 W를 탑재대(3) 상에 탑재한 후, 웨이퍼 W를 탑재대(3)에 정전 흡착한다. 그 후 반송 기구를 처리 용기(21)로부터 퇴거시켜 게이트 밸브(26)를 닫는다. 계속해서 가스 유로(38)로부터 백사이드 가스를 공급하여, 웨이퍼 W를 소정의 온도로 조정한다. 그 후 이하의 단계를 행한다.Next, an embodiment of the present invention using the plasma processing apparatus 2 to which the gas supply device 1 is applied will be described. First, the gate valve 26 is opened and the 300 mm (12 inch) wafer W is carried in by the conveyance mechanism which has not been made into the processing container 21 via the conveyance port 25. After mounting the wafer W on the mounting table 3 by the elevating member 5, the wafer W is electrostatically attracted to the mounting table 3. Thereafter, the transfer mechanism is removed from the processing container 21 to close the gate valve 26. Then, the backside gas is supplied from the gas flow path 38, and the wafer W is adjusted to predetermined temperature. Thereafter, the following steps are performed.

여기서, 웨이퍼 W의 표면부의 구조를 도 4(a)에 나타낸다. 웨이퍼 W는 도시하지 않은 트랜지스터의 게이트 산화막 상에 적층된 다결정 Si막(76) 상에, W-Si(텅스텐 실리콘 화합물)막(75), SiN막(74), SiO2막(73), 반사 방지막(72) 및 개구부(77)가 형성된 포토레지스트 마스터(71)가 이 순서대로 적층되어 있다. W-Si막(75) 및 다결정 Si막(76)은 게이트 전극 재료이며, SiN막(74)은 게이트 전극 재료를 라인 형상으로 에칭하여 게이트 전극을 형성할 때의 하드 마스크로 되는 것이다.Here, the structure of the surface portion of the wafer W is shown in Fig. 4A. The wafer W is W-Si (tungsten silicon compound) film 75, SiN film 74, SiO 2 film 73, and reflection on the polycrystalline Si film 76 laminated on the gate oxide film of a transistor (not shown). The photoresist master 71 in which the prevention film 72 and the opening part 77 were formed is laminated | stacked in this order. The W-Si film 75 and the polycrystalline Si film 76 are gate electrode materials, and the SiN film 74 serves as a hard mask for forming a gate electrode by etching the gate electrode material in a line shape.

(단계 1 : 반사 방지막(72)의 에칭 공정)(Step 1: etching process of antireflection film 72)

배기 장치(23)에 의해 배기관(24)을 거쳐서 처리 용기(21) 내의 배기를 행하고, 처리 용기(21) 내를 소정의 진공도 예컨대 15.3Pa(115mTorr)가 되도록 유지한다. 그 후, 가스원 M으로부터 예컨대 CF4 가스, Ar 가스 및 O2 가스를 예컨대 각각 120sccm, 420sccm 및 10sccm으로 되도록 공급한다. 그리고, 제어부(2A)에 의해서, 가스 도입관(42a)과 가스 도입관(42b)에 공급하는 가스 압력(유량)의 비가 예컨대 45:55로 되도록 압력 조정부(41a, 41b)를 조정한다.The exhaust device 23 exhausts the inside of the processing container 21 via the exhaust pipe 24, and maintains the inside of the processing container 21 so as to have a predetermined vacuum degree of 15.3 Pa (115 mTorr), for example. Thereafter, for example, CF 4 gas, Ar gas and O 2 gas are supplied from the gas source M to be 120 sccm, 420 sccm and 10 sccm, respectively. And the control part 2A adjusts the pressure adjusting parts 41a and 41b so that the ratio of the gas pressure (flow rate) supplied to the gas introduction pipe 42a and the gas introduction pipe 42b may be 45:55, for example.

계속해서 예컨대 주파수가 13.56㎒, 전압이 800W의 고주파를 탑재대(3)에 공 급하여, 상기 가스의 혼합 가스를 플라즈마화한다. 이 플라즈마는 영구 자석(27, 28)의 자장에 의해서, 웨이퍼 W의 위쪽에 가둬짐으로써 고밀도화된다.Subsequently, for example, a high frequency of 13.56 MHz in frequency and 800 W in voltage is supplied to the mounting table 3 to plasma the gas mixture. This plasma is densified by being trapped above the wafer W by the magnetic fields of the permanent magnets 27 and 28.

이 플라즈마 중에는, 탄소와 불소의 화합물의 활성중이 포함되어 있고, 반사 방지막(7)이 이들 활성종 분위기에 노출되면, 이들 막 중의 원자와 반응한 화합물이 생성되고, 이것에 의해 반사 방지막(72)이 에칭된다.In this plasma, the active weight of the compound of carbon and fluorine is contained, and when the antireflection film 7 is exposed to these active species atmospheres, the compound which reacted with the atoms in these films is produced | generated, and thereby the antireflection film 72 ) Is etched.

(단계 2 : SiO2막(73)의 에칭 공정)(Step 2: Etching Process of SiO 2 Film 73)

이어서, 배기 장치(23)에 의해 배기관(24)을 거쳐서 처리 용기(21) 내의 배기를 행하고, 처리 용기(21) 내를 소정의 진공도 예컨대 13.3Pa(100mTorr)로 되도록 유지한다. 그 후, 가스원 M으로부터 예컨대 CH2F2 가스, CF4 가스 및 Ar 가스를 예컨대 각각 15sccm, 100sccm 및 600sccm으로 되도록 공급한다. 그리고 제어부(2A)에 의해서, 가스 도입관(42a)과 가스 도입관(42b)에 공급하는 가스 압력(유량)의 비가 예컨대 45:55로 되도록 압력 조정부(41a, 41b)를 조정한다.Subsequently, the exhaust device 23 exhausts the gas in the processing container 21 via the exhaust pipe 24, and maintains the inside of the processing container 21 so as to have a predetermined vacuum degree of 13.3 Pa (100 mTorr), for example. Thereafter, for example, CH 2 F 2 gas, CF 4 gas, and Ar gas are supplied from the gas source M to be, for example, 15 sccm, 100 sccm, and 600 sccm, respectively. And the control part 2A adjusts the pressure adjusting parts 41a and 41b so that the ratio of the gas pressure (flow rate) supplied to the gas introduction pipe 42a and the gas introduction pipe 42b may be 45:55, for example.

계속해서 예컨대 주파수가 13.56㎒, 전력이 1200W인 고주파를 탑재대(3)에 공급하여 상기 가스의 혼합 가스를 플라즈마화한다. 이 플라즈마는, 영구 자석(27, 28)의 자장에 의해서, 웨이퍼 W의 위쪽에 가둬짐으로써 고밀도화된다.Subsequently, for example, a high frequency of 13.56 MHz in frequency and 1200 W in power is supplied to the mounting table 3 to plasma the gas mixture gas. The plasma is densified by being trapped above the wafer W by the magnetic fields of the permanent magnets 27 and 28.

이 플라즈마 중에 포함되는 탄소와 불소의 화합물의 활성종에 SiO2막(73)이 노출되면, 막 중의 원자와 반응한 화합물이 생성되어, 이에 따라 도 4(b)에 도시하는 바와 같이 SiO2막(73)이 에칭되어 오목부(78)가 형성된다.When the SiO 2 film 73 is exposed to the active species of the compound of carbon and fluorine contained in the plasma, a compound reacted with the atoms in the film is formed, and as a result, the SiO 2 film is shown in Fig. 4B. 73 is etched to form a recess 78.

(단계 3 : SiN막(74)의 에칭 공정)(Step 3: etching process of SiN film 74)

배기 장치(23)에 의해 배기관(24)을 거쳐서 처리 용기(21) 내의 배기를 행하고, 처리 용기(21) 내를 소정의 진공도 예컨대 18.7Pa(140mTorr)로 유지한다. 그 후, 가스원 M으로부터 예컨대 CH2F2 가스, CF4 가스, Ar 가스 및 O2 가스를 예컨대 각각 15sccm, 80sccm, 150sccm 및 21sccm으로 되도록 공급한다. 그리고 제어부(2A)에 의해서, 가스 도입관(42a)과 가스 도입관(42b)에 공급하는 가스 압력(유량)의 비(중앙 영역(53a)과 주변 영역(53b)의 비)가 예컨대 45:55로 되도록 압력 조정부(41a, 41b)를 조정하고, 또한 가스원 A로부터 예컨대 CH2F2 가스를 예컨대 5sccm 공급한다.The exhaust device 23 exhausts the inside of the processing container 21 via the exhaust pipe 24, and maintains the inside of the processing container 21 at a predetermined vacuum degree of, for example, 18.7 Pa (140 mTorr). Thereafter, for example, CH 2 F 2 gas, CF 4 gas, Ar gas and O 2 gas are supplied from the gas source M to be, for example, 15 sccm, 80 sccm, 150 sccm and 21 sccm, respectively. The ratio of the gas pressure (flow rate) supplied to the gas introduction pipe 42a and the gas introduction pipe 42b (ratio of the central region 53a and the peripheral region 53b) by the controller 2A is 45: for example. The pressure adjusting portions 41a and 41b are adjusted so as to be 55, and, for example, 5 sccm of CH 2 F 2 gas is supplied from the gas source A, for example.

계속해서 예컨대 주파수가 13.56㎒, 전력이 700W인 제 2 고주파를 탑재대(3)에 공급하여, 가스원 M 및 가스원 A로부터 처리 용기(21) 내에 공급되는 가스를 플라즈마화한다. 이 플라즈마는, 영구 자석(27, 28)의 자장에 의해서, 웨이퍼 W의 위쪽에 가둬짐으로써 고밀도화된다.Subsequently, for example, a second high frequency wave having a frequency of 13.56 MHz and a power of 700 W is supplied to the mounting table 3 to convert the gas supplied from the gas source M and the gas source A into the processing container 21 into plasma. The plasma is densified by being trapped above the wafer W by the magnetic fields of the permanent magnets 27 and 28.

이 예에서는, CF4 가스, O2 가스 및 CH2F2 가스는 각각 에칭 가스 및 퇴적 작용을 미치게 하는 가스이며, 이들 가스가 플라즈마화되면, CF4 가스의 해리에 의해 생성된 활성종 및 산소의 활성종이 SiN막(74)을 에칭하여, 오목부(78)(홈부)를 형성해 감과 아울러, CH2F2 가스의 해리에 의해 생성된 활성종에 의해 오목부(78)에 부착물이 퇴적하여, 양자의 작용이 서로 어울려, 도 4(c)에 도시하는 바와 같이 개 구측의 넓이를 억제하면서 에칭이 진행해 간다. 또한, O2 가스는 웨이퍼 W에 대하여 수직으로 SiN막(74)을 에칭하는 플라즈마를 생성하기 위한 가스이다.In this example, the CF 4 gas, the O 2 gas and the CH 2 F 2 gas are the gases that cause the etching gas and the deposition action, respectively, and when these gases are plasmatized, the active species and oxygen generated by dissociation of the CF 4 gas The active species etches the SiN film 74 to form the recesses 78 (grooves), and deposits deposits on the recesses 78 by the active species generated by dissociation of the CH 2 F 2 gas. The action of both of them matches with each other, and the etching proceeds while suppressing the area of the opening side as shown in Fig. 4C. In addition, the O 2 gas is a gas for generating a plasma for etching the SiN film 74 perpendicular to the wafer W.

이 때, 처리 용기(21) 내는 배기 장치(23)에 의해서 배기되어 있기 때문에, 상부 전극(4)으로부터 웨이퍼 W에 대하여 공급된 가스는 중앙 영역으로부터 주변 영역으로 향할수록 배기구(22)에 가까워지므로 배기되기 쉽게 되어 있다. 그러나, 중앙 영역(53a)에 공급하는 가스 유량과 주변 영역(53b)에 공급하는 가스 유량과의 비율을 45:55로 설정하여, 중앙 영역(53a)에 비해 주변 영역(53b)에서의 단위 면적당의 가스 유량을 많게 함과 아울러, 후술하는 실시예로부터 알 수 있도록, 중앙 영역(53a)과 주변 영역(53b)에 독립하여 가스를 공급하는 경계 영역을 적절화하고, 또한 가스 압력의 차이가 홈부의 퇴적량에 크게 영향을 주는 SiN막(74)의 에칭의 사정을 감안하여, 중앙 영역(53a)과 주변 영역(53b)에 공급 에리어를 나눈 것에 의해서도, 또 주변 영역(53b)에서의 가스 압력이 낮은 상황에 대하여, 주변 영역(53b)으로부터 부가 가스로서 퇴적 작용이 있는 CH2F2 가스를 첨가하고 있기 때문에, 결과적으로 웨이퍼 W의 중앙 영역에서의 볼록부(79)의 치수가 주변 영역에서의 볼록부(79)의 치수보다도 굵게 되는 것이 억제된 상태로 에칭이 진행된다.At this time, since the inside of the processing container 21 is exhausted by the exhaust device 23, the gas supplied from the upper electrode 4 to the wafer W is closer to the exhaust port 22 from the center region to the peripheral region. It is easy to exhaust. However, the ratio between the gas flow rate supplied to the center region 53a and the gas flow rate supplied to the peripheral region 53b is set to 45:55, and per unit area in the peripheral region 53b compared to the central region 53a. In addition to increasing the gas flow rate, the boundary region for supplying the gas independently of the central region 53a and the peripheral region 53b can be appropriately known, and the difference in the gas pressure is increased. In consideration of the etching of the SiN film 74 which greatly affects the negative deposition amount, the gas pressure in the peripheral region 53b is also obtained by dividing the supply area into the central region 53a and the peripheral region 53b. In this low situation, since the CH 2 F 2 gas having a deposition effect is added from the peripheral region 53b as the additional gas, the dimension of the convex portion 79 in the central region of the wafer W is consequently increased in the peripheral region. Thicker than the dimensions of the convex portion 79 That the etching proceeds in a suppressed state.

그 후, 포토레지스트 마스크(71)가 애싱에 의해서 제거되어, 웨이퍼 W의 세정 등의 이후에, SiO2막(73)과 SiN막(74)을 마스크로 하여, W-Si막(75) 및 다결정 Si막(76)이 에칭된다.Thereafter, the photoresist mask 71 is removed by ashing, and the W-Si film 75 and the SiO 2 film 73 and the SiN film 74 are used as masks after the cleaning of the wafer W or the like. The polycrystalline Si film 76 is etched.

상술한 실시예에 의하면, 웨이퍼 W의 중앙 영역(53a)과 주변 영역(53b)으로 나누어 가스를 공급하기 위한 격벽(55)의 위치를 최적화하고, 또한 SiN막(74)에 대하여 퇴적 작용이 있는 CH2F2 가스를 주변 영역(53b)에 첨가하고 있기 때문에, 후술하는 실시예에 나타내는 바와 같이, 웨이퍼 W의 패턴이 밀하게 형성되어 있는 부분 예컨대 금속 배선과 그 사이의 절연층과의 비율이 1:1 정도인 부분뿐만 아니라, 패턴이 소한 부분 예컨대 전술한 비율이 1:2 이상인 부분에 대해서도, 웨이퍼 W에 형성되는 라인 폭의 편차를 억제할 수 있다.According to the embodiment described above, the position of the partition wall 55 for supplying gas is divided into the central region 53a and the peripheral region 53b of the wafer W, and the deposition effect is applied to the SiN film 74. Since the CH 2 F 2 gas is added to the peripheral region 53b, as shown in Examples described later, the proportion of the portion where the pattern of the wafer W is densely formed, for example, the metal wiring and the insulating layer therebetween is In addition to a portion having a size of about 1: 1, a variation in the line width formed on the wafer W can be suppressed for a portion having a small pattern, for example, a portion having a ratio of 1: 2 or more.

제 2 가스에 첨가하는 볼록부(79)의 측벽 보호 작용을 갖는 가스원 A의 가스로서, 이 예에서는 CH2F2 가스를 이용했지만, 이것에 한정되지 않고, 예컨대 볼록부(79)의 측벽 보호 작용을 갖는 가스 예컨대 N2 가스나, 볼록부(79)의 측벽에 퇴적물을 퇴적하는 작용을 갖는 탄소 및 수소를 포함하는 가스 예컨대 CH3F 가스 등을 이용하여도 좋다.As the gas of the gas source A having the sidewall protection effect of the raised portion (79) of adding a second gas, in this example, but using CH 2 F 2 gas, not limited to this, for example, the side wall of the raised portion (79) A gas having a protective action such as an N 2 gas or a gas containing carbon and hydrogen having a function of depositing a deposit on the sidewall of the convex portion 79, such as a CH 3 F gas, may be used.

SiN막(74)의 에칭에 있어서, 웨이퍼 W로부터는 반응 생성물로서 N2 가스가 생성되고 있지만, 배기 장치(23)에 의해서 배기되고 있기 때문에, 웨이퍼 W의 주변 영역에서는 중앙 영역과 비교하여 N2 가스의 농도가 묽어져 있다. 그래서, 가스원 A로부터 N2 가스를 웨이퍼 W의 주변 영역에 공급하여, 웨이퍼 W의 표면에서의 N2 가스의 분포 편차를 억제할 수 있다. 이 결과, 가스원 M으로부터 중앙 영역(53a) 및 주변 영역(53b)을 거쳐서 웨이퍼 W에 공급되는 처리 가스의 분포 편차를 작게 하여, 웨이퍼 W에 형성되는 볼록부(79)의 치수의 편차를 억제할 수 있다. N2 가스는, SiN막(74)에 영향을 미치는 플라즈마종을 생성하지 않고, 웨이퍼 W의 플라즈마 처리에 악영향을 미치지 않기 때문에, 상술한 바와 같이 볼록부(79)의 측벽 보호 작용을 갖는 가스로서 이용하여도 좋다.In the etching of the SiN film 74, N 2 gas is generated from the wafer W as a reaction product, but is exhausted by the exhaust device 23, so that in the peripheral region of the wafer W, N 2 is compared with the center region. The concentration of gas is diluted. Therefore, the N 2 gas can be supplied from the gas source A to the peripheral region of the wafer W to suppress the distribution variation of the N 2 gas on the surface of the wafer W. As a result, the distribution variation of the processing gas supplied to the wafer W from the gas source M via the central region 53a and the peripheral region 53b is made small, and the variation of the dimension of the convex portion 79 formed on the wafer W is suppressed. can do. Since the N 2 gas does not generate plasma species affecting the SiN film 74 and does not adversely affect the plasma treatment of the wafer W, as described above, the N 2 gas is a gas having the sidewall protection action of the convex portion 79 as described above. You may use it.

한편, 중앙 영역(53a)에서의 가스 토출 구멍(51)의 최외주 반경 R0에 대하여, 웨이퍼 W의 반경에 대한 비율은 80%로 한정되는 것이 아니라, 후술하는 실시예로부터, 53% 이상이면 동일한 효과를 얻을 수 있는 것을 알 수 있다. 예컨대 직경 300㎜의 웨이퍼 W에 대하여 예컨대 53%로 설정하는 경우에는, 가스 토출 구멍(51)의 외주측으로부터 3주째와 4주째 사이에 격벽(55)을 마련하게 된다.On the other hand, with respect to the outermost radius R0 of the gas discharge hole 51 in the central region 53a, the ratio with respect to the radius of the wafer W is not limited to 80%. It can be seen that the effect can be obtained. For example, when setting it to 53% with respect to the wafer W of 300 mm in diameter, the partition 55 is provided between the 3rd and 4th weeks from the outer peripheral side of the gas discharge hole 51. FIG.

그런데 본 발명의 가스 공급 장치(1)는, 상술한 비율과 같이 중앙 영역(53a)과 주변 영역(53b)으로 나누고, 또한 주변 영역(53b)으로부터 부가 가스를 첨가함으로써, 균일성이 높은 처리를 행할 수 있다. 이 때문에, SiN막(74)에 대해서도 본 발명을 적용하는 경우에는, 중앙 영역(53a)과 주변 영역(53b)의 비율은 상술한 바와 같이 설정하는 것이 바람직하다. 그러나, SiN막(74)에 한해서 말하면, 중앙 영역(53a)과 주변 영역(53b)의 상기 비율은, 반드시 53% 이상으로 한정되지 않더라도, 주변 영역(53b)에 퇴적 작용 또는 측벽 보호 작용이 있는 가스를 첨가한다는 신규한 수법만이라도 종래의 수법에 비하여 충분한 효과가 있다.By the way, the gas supply apparatus 1 of this invention divides into the center area | region 53a and the peripheral area | region 53b similarly to the ratio mentioned above, and adds additional gas from the peripheral area | region 53b, and the process of high uniformity is performed. I can do it. For this reason, when applying this invention also to the SiN film 74, it is preferable to set the ratio of the center area | region 53a and the peripheral area | region 53b as mentioned above. However, only in the SiN film 74, although the ratio of the center region 53a and the peripheral region 53b is not necessarily limited to 53% or more, there is a deposition or sidewall protection effect in the peripheral region 53b. Even the novel method of adding gas has a sufficient effect as compared to the conventional method.

웨이퍼 W에 라인 형상의 패턴을 형성하는 경우, 웨이퍼 W에 대하여 공급된 가스는 웨이퍼 W에 형성된 패턴을 따라 흐르기 때문에, 홀을 형성하는 경우에 비해서 웨이퍼 W의 표면에서의 분포가 불균일하게 되기 쉽다. 그러나, 본 발명의 가스 공급 장치(1)를 적용한 플라즈마 처리 장치(2)를 이용함으로써, 후술하는 실시예에 나타내는 바와 같이, 웨이퍼 W에 라인 평상의 패턴을 에칭에 의해서 형성하는 경우이더라도, 웨이퍼 W의 면 내에서의 라인의 치수의 편차를 작게 할 수 있다.In the case of forming a line-shaped pattern on the wafer W, since the gas supplied to the wafer W flows along the pattern formed on the wafer W, the distribution on the surface of the wafer W tends to be nonuniform as compared with the case of forming holes. However, by using the plasma processing apparatus 2 to which the gas supply device 1 of the present invention is applied, as shown in Examples described later, even when a line flat pattern is formed on the wafer W by etching, the wafer W is used. The deviation of the dimension of the line in the plane can be made small.

본 발명에 이용하는 플라즈마 처리 장치(2)로서, 처리 가스를 플라즈마화하기 위한 고주파를 상부 전극(4)에 공급함과 아울러, 플라즈마를 웨이퍼 W에 인입하기 위한 고주파를 탑재대(3)에 더 공급하여, 이른바 상하 2주파의 구성의 장치를 채용하더라도 좋다. 또한, 상기의 예에서는 영구 자석(27, 28)을 이용하여 웨이퍼 W의 위쪽에 플라즈마를 가둬둠으로써 고밀도화하도록 했지만, 영구 자석(27, 28)을 마련하지 않더라도 좋다.In the plasma processing apparatus 2 used in the present invention, the high frequency for plasma-forming a processing gas is supplied to the upper electrode 4, and the high frequency for drawing plasma to the wafer W is further supplied to the mounting table 3, For example, a device having a configuration of so-called up and down two frequencies may be employed. In the above example, the permanent magnets 27 and 28 are used to trap the plasma above the wafer W to increase the density, but the permanent magnets 27 and 28 may not be provided.

본 발명의 가스 공급 장치(1)는, 플라즈마 처리 장치(2)뿐만 아니라, 처리 가스를 기판에 공급하여 기판의 처리를 행하는 장치 예컨대 CVD 장치 등에 적용할 수 있다.The gas supply device 1 of the present invention can be applied not only to the plasma processing apparatus 2 but also to an apparatus for supplying a processing gas to a substrate to process the substrate, such as a CVD apparatus or the like.

[실시예]EXAMPLE

다음에, 본 발명의 가스 공급 장치(1)에서의 중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주 반경에 대하여, 그 최적의 위치를 조사하기 위해서 행한 실험 및 시뮬레이션에 대해서 설명한다. 이하의 실험예에 있어서, 웨이퍼 W에 대하여 플라즈마 처리를 행하는 장치로서 도 1~도 3에 나타내는 구성의 플라즈마 처리 장치(2)를 이용하였다. 단, 시뮬레이션에서는 간략화를 위해, 처리 용기(21)는 도 6(a)에 나타내는 바와 같이 연직 방향으로 1/4로 분할한 모델을 사용했다.Next, the experiment and simulation which were performed in order to investigate the optimal position with respect to the outermost peripheral radius of the gas discharge hole 51 connected to the center area 53a in the gas supply apparatus 1 of this invention are demonstrated. do. In the following experimental example, the plasma processing apparatus 2 of the structure shown in FIGS. 1-3 was used as an apparatus which performs a plasma process with respect to the wafer W. As shown in FIG. However, in the simulation, for simplicity, the processing container 21 used the model which divided | segmented into 1/4 in the vertical direction as shown to FIG. 6 (a).

격벽(55)의 위치는, 도 5에 나타내는 바와 같이, 상부 전극(4)의 가스 토출 구멍(51)이 내주측 4주와 외주측 3주 사이를 막는 부분(동 도면(a)), 내주측 5주와 외주측 2주 사이를 막는 부분(동 도면(b)) 및 내주측 6주와 외주측 1주 사이를 막는 부분(동 도면(c))으로 되도록 3조건으로 하였다. 즉, 중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주의 반경이 웨이퍼 W의 반경의 53%가 되는 위치(상부 전극(4)의 중심으로부터의 격벽(55)의 거리 L이 90㎜), 중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주의 반경이 웨이퍼 W의 반경의 67%가 되는 위치(거리 L이 110㎜) 및 중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주의 반경이 웨이퍼 W의 반경의 80%가 되는 위치(거리 L이 130㎜)로 설정하였다.As shown in FIG. 5, the position of the partition wall 55 is a portion (the same figure (a)) in which the gas discharge hole 51 of the upper electrode 4 blocks between the inner circumference side 4 circumference and the outer circumference side 3 circumference, the inner circumference. Three conditions were set so as to be a part (the same figure (b)) which closes between the 5 side and 2 outer periphery sides, and a part which closes between the 6 inner circumference and the 1 outer periphery. That is, the position where the radius of the outermost circumference of the gas discharge hole 51 connected to the center region 53a becomes 53% of the radius of the wafer W (the distance L of the partition wall 55 from the center of the upper electrode 4 is 90 mm), where the radius of the outermost circumference of the gas discharge hole 51 connected to the central region 53a becomes 67% of the radius of the wafer W (distance L is 110 mm) and is connected to the central region 53a. The radius of the outermost circumference of the gas discharge hole 51 was set to the position (distance L is 130 mm) which becomes 80% of the radius of the wafer W. As shown in FIG.

또한, 이 실시예에 있어서, SiN막(74)에 대한 검토를 행하기 위해서, 이하의 실험예 1 및 실험예 3에서는, 도 4(a)에 나타낸 구성의 웨이퍼 W에 대하여, 이하의 프로세스 조건에서 반사 방지막(72) 및 SiO2막(73)의 에칭을 행해서 동 도면 (b)의 상태로 한 웨이퍼 W를 이용하였다.In addition, in this Example, in order to examine the SiN film 74, in the following Experimental Example 1 and Experimental Example 3, the following process conditions with respect to the wafer W of the structure shown to FIG. In this case, the anti-reflection film 72 and the SiO 2 film 73 were etched to use the wafer W in the state shown in FIG.

<반사 방지막(72)의 에칭><Etching of Antireflection Film 72>

고주파의 주파수 : 13.56㎒Frequency of high frequency: 13.56MHz

고주파의 전력 : 800WHigh frequency power: 800 W

처리 압력 : 15.3Pa(115mTorr)Treatment pressure: 15.3 Pa (115 mTorr)

처리 가스(가스원 M) : CF4/Ar/O2=120/420/10sccmProcess gas (gas source M): CF 4 / Ar / O 2 = 120/420 / 10sccm

압력 조정부의 압력 : 압력 조정부(41a)/압력 조정부(41b)=45/55Pressure of pressure regulator: pressure regulator 41a / pressure regulator 41b = 45/55

또한, 격벽(55)을 거리 L=130㎜의 위치가 되도록 설치하였다.Moreover, the partition 55 was provided so that it might become a position of distance L = 130 mm.

<SiO2막(73)의 에칭><Etching of the SiO 2 Film 73>

고주파의 주파수 : 13.56㎒Frequency of high frequency: 13.56MHz

고주파의 전력 : 1200WHigh frequency power: 1200 W

처리 압력 : 13.3Pa(100mTorr)Treatment pressure: 13.3 Pa (100 mTorr)

처리 가스(가스원 M) : CH2F2/CF4/Ar=15/100/600sccmProcess gas (gas source M): CH 2 F 2 / CF 4 / Ar = 15/100/600 sccm

압력 조정부의 압력 : 압력 조정부(41a)/압력 조정부(41b)=45/55Pressure of pressure regulator: pressure regulator 41a / pressure regulator 41b = 45/55

또한, 격벽(55)은 상기의 위치와 같이 설치하였다.In addition, the partition 55 was provided in the same position as described above.

(실험예 1 : 에칭 레이트)Experimental Example 1 Etching Rate

시뮬레이션을 행함에 있어, 보다 실제의 상황에 의거한 조건으로 설정하기 때문에, SiN막(74)의 에칭에서 웨이퍼 W로부터 생성하는 가스의 양을 예측하는 실험을 행하였다. 이하의 프로세스 조건에서, SiN막(74)의 에칭을 행하였다.In carrying out the simulation, since the conditions were set based on more actual conditions, an experiment was conducted to predict the amount of gas generated from the wafer W in the etching of the SiN film 74. The SiN film 74 was etched under the following process conditions.

<SiN막(74)의 에칭><Etching of SiN Film 74>

고주파의 주파수 : 13.56㎒Frequency of high frequency: 13.56MHz

고주파의 전력 : 700WHigh frequency power: 700 W

처리 압력 : 18.7Pa(140mTorr)Treatment pressure: 18.7 Pa (140 mTorr)

처리 가스(가스원 M) : CH2F2/CF4/Ar/O2=15/80/l50/21sccmProcess gas (gas source M): CH 2 F 2 / CF 4 / Ar / O 2 = 15/80 / l50 / 21sccm

처리 가스(가스원 A) : CH2F2=5sccmProcess gas (gas source A): CH 2 F 2 = 5 sccm

압력 조정부의 압력 : 압력 조정부(41a)/압력 조정부(41b)=55/45(거리 L=90㎜), 1/1(거리 L=1101㎜) 및 45/55(거리 L=130㎜)로 했다.Pressure of the pressure adjusting section: Pressure adjusting section 41a / pressure adjusting section 41b = 55/45 (distance L = 90 mm), 1/1 (distance L = 1101 mm) and 45/55 (distance L = 130 mm) did.

실험 결과Experiment result

이 실험에서 얻어진 SiN막(74)의 에칭 레이트를 표 1에 나타낸다.Table 1 shows the etching rate of the SiN film 74 obtained in this experiment.

Figure 112007059098125-pat00001
Figure 112007059098125-pat00001

이 결과로부터, 중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주의 반경이 웨이퍼 W의 반경의 어즈 정도의 비율로 되어 있는지(거리 L의 차)에 관계없이, 동일 정도의 에칭 레이트를 얻을 수 있는 것을 알았다. 또한, SiN막(74)의 에칭에 의해서 생성되는 가스는, SiN막(74)의 조성 및 웨이퍼 W에 공급하는 가스의 종류와 유량으로부터, 주로 CN 가스 및 SiF4 가스이며, 그리고 이들의 가스 생성량은 SiN막(74)의 에칭 레이트로부터 0.001g/초라고 생각된다.From this result, the etching of the same degree irrespective of whether the radius of the outermost periphery of the gas discharge hole 51 connected to the center area | region 53a is a ratio of the extent of the radius of the wafer W (difference of distance L). It was found that the rate can be obtained. The gas generated by the etching of the SiN film 74 is mainly CN gas and SiF 4 gas based on the composition of the SiN film 74 and the type and flow rate of the gas supplied to the wafer W, and the amount of these gases generated is Is considered to be 0.001 g / sec from the etching rate of the SiN film 74.

(실험예 2 : 시뮬레이션)Experimental Example 2 Simulation

다음에, FLUENT사제의 유체 해석 소프트(Fluent Vers. 6.2.16)를 이용하여, 처리 용기(21) 내의 기체의 분포를 시뮬레이션하였다. 또, 시뮬레이션에 있어서, 기체는 압축성 유도체이고, 층류(層流)라고 가정하였다. 또한, 기체는, 웨이퍼 W나 상부 전극(4) 등의 고체 표면에서는, 속도 슬립 및 온도 점프가 발생하는 것으로 하여 계산하였다.Next, the distribution of the gas in the processing container 21 was simulated using the fluid analysis software (Fluent Vers. 6.2.16) made by FLUENT. In the simulation, the gas is a compressible derivative and is assumed to be laminar flow. In addition, gas was computed as speed slip and temperature jump generate | occur | produce on the solid surface, such as the wafer W and the upper electrode 4. As shown in FIG.

중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주의 반경이 웨이퍼 W의 반경에 대하여 각각 53%, 67% 및 80%가 되도록, 격벽(55)의 상부 전극(4)으로부터의 거리 L은, 도 5에 도시하는 바와 같이 90㎜, 110㎜ 및 130㎜로 하였다.From the upper electrode 4 of the partition wall 55 such that the radius of the outermost circumference of the gas discharge hole 51 connected to the central region 53a is 53%, 67% and 80% with respect to the radius of the wafer W, respectively. The distance L was made into 90 mm, 110 mm, and 130 mm as shown in FIG.

또한, 시뮬레이션에서의 프로세스 조건은, 이하의 처리 압력 및 표 2의 가스 유량 이외에는 상술한 실험예 1과 동일한 조건으로 하였다.In addition, the process conditions in simulation were made into the conditions similar to Experimental Example 1 mentioned above except the following process pressure and the gas flow volume of Table 2.

<시뮬레이션에서의 프로세스 조건><Process conditions in simulation>

처리 압력 : 8Pa(60mTorr), 13.3Pa(100mTorr) 및 18.7Pa(l40mTorr)의 3수준으로 하였다.Treatment pressure: 8 Pa (60 mTorr), 13.3 Pa (100 mTorr) and 18.7 Pa (l40 mTorr) were set to three levels.

Figure 112007059098125-pat00002
Figure 112007059098125-pat00002

또한, 상부 전극(4)의 가스 토출 구멍(51)의 수는, 상부 전극(4)의 중심측으로부터 각각 8개소, 12개소, 20개소, 36개소, 44개소 및 48개소로 하였다. 이 가스 토출 구멍(51)의 수에 대해서도 표 2에 병기해 놓는다.In addition, the number of the gas discharge holes 51 of the upper electrode 4 was made into 8 places, 12 places, 20 places, 36 places, 44 places, and 48 places from the center side of the upper electrode 4, respectively. Table 2 also shows the number of the gas discharge holes 51.

제 1 가스 및 제 2 가스의 분포 상태를 따로따로 나타내기 때문에, 각각 단독으로 통류시킨 경우에 관해서도 시뮬레이션을 행하였다. 또한, 제 2 가스에 포함되는 가스원 M 및 가스원 A의 가스에 관해서도, 각각 단독으로 통류시킨 경우와, 각각 단독으로 제 2 가스로서, 제 1 가스와 함께 통류시킨 경우를 시뮬레이션하였다. 또한, 반응 생성물인 가스에 관해서도 시뮬레이션을 행하였다.Since the distribution state of a 1st gas and a 2nd gas is shown separately, the simulation was also performed also about the case where it flowed independently each. In addition, the gas of the gas source M and the gas source A contained in the 2nd gas also simulated the case where it flowed alone independently, and the case where it flowed together with the 1st gas as 2nd gas each independently. In addition, simulation was also performed on the gas that is a reaction product.

또한, 에칭에 의해서 CN 가스 및 SiF4 가스가 각각 25중량% 및 75중량%의 비율로 웨이퍼 W로부터 생성한다고 가정하고, 실험예 1의 에칭 레이트로부터 0.001g/초의 비율로 생성하는 것으로 하여 계산하였다. 또한, 제 1 가스, 제 2 가스 및 웨이퍼 W로부터 생성하는 가스는, 각각 포함되는 가스가 균일하게 혼합하고 있는 것으로 가정하였다.In addition, assuming that the CN gas and the SiF 4 gas were generated from the wafer W by the etching at a ratio of 25% by weight and 75% by weight, respectively, and calculated at a rate of 0.001 g / sec from the etching rate of Experimental Example 1. . In addition, the gas produced from the 1st gas, the 2nd gas, and the wafer W was assumed to mix uniformly, respectively.

또한, 처리 용기(21) 내의 각부의 온도를 측정하여, 그 값을 시뮬레이션에 이용했다. 이 값을 표 3에 나타낸다.In addition, the temperature of each part in the processing container 21 was measured, and the value was used for simulation. This value is shown in Table 3.

Figure 112007059098125-pat00003
Figure 112007059098125-pat00003

또한, 시뮬레이션에 이용한 가스의 각종 물성을 이하의 표 4에 나타낸다.In addition, various physical properties of the gas used for the simulation are shown in Table 4 below.

Figure 112007059098125-pat00004
Figure 112007059098125-pat00004

실험 결과Experiment result

시뮬레이션에 의해서 얻어진 18.7Pa(140mTorr) 시의 처리 용기(21) 내에서의 가스의 농도를 도 7 및 도 8에 나타내었다. 또한, 도 7 및 도 8은 도 6(b)에 도시한 A-A' 직선으로 처리 용기(21)를 절단했을 때의 가스의 농도 분포를 나타내고 있다.The concentration of the gas in the processing vessel 21 at the time of 18.7 Pa (140 mTorr) obtained by the simulation is shown in Figs. 7 and 8 show the concentration distribution of the gas when the processing vessel 21 is cut by the line A-A 'shown in FIG. 6 (b).

이 시뮬레이션의 결과, 제 1 가스에 제 2 가스로서 가스원 M으로부터 가스를 공급한 경우, 웨이퍼 W의 표면에서의 가스의 분포가 양호하게 되어, 제 2 가스에 가스원 A로부터의 가스를 더 첨가한 경우에는, 가스의 분포가보다 균일하게 되는 것을 알았다. 또, 중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주의 반경이 클수록((거리 L이 130㎜로 될수록), 웨이퍼 W의 표면에서의 가스의 농도 분포가 균일하여 지는 것이 인정을 받았다.As a result of this simulation, when gas was supplied from the gas source M to the first gas as the second gas, the distribution of the gas on the surface of the wafer W becomes good, and further the gas from the gas source A was added to the second gas. In one case, it was found that the gas distribution becomes more uniform. In addition, it is recognized that the larger the radius of the outermost circumference of the gas discharge hole 51 connected to the center region 53a (as the distance L becomes 130 mm), the more uniform the concentration distribution of the gas on the surface of the wafer W becomes. Received.

이 18.7Pa(140mTorr)에서의 결과와, 8Pa(60mTorr)에서의 결과를 각각의 가스의 분압을 그래프화하여 도 9에 나타내었다. 또한, 이 때의 분압은 웨이퍼 W의 표면으로부터 0.5㎜의 위치에서의 값을 이용하였다.The results at 18.7 Pa (140 mTorr) and the results at 8 Pa (60 mTorr) are shown in FIG. 9 by graphing the partial pressure of each gas. In addition, the partial pressure at this time used the value in the position of 0.5 mm from the surface of the wafer W. As shown in FIG.

이 도 9로부터, 상술한 결과와 동일한 것이 확인되었다. 또한, 동 도면 (a)로부터, 가스원 A의 첨가 가스를 공급하지 않은 경우에 있어서도, 중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주의 반경이 커질수록(거리 L이 130㎜에 가까워질수록), 웨이퍼 W의 중앙 영역에 대한 제 2 가스의 영향이 적어져, 즉 웨이퍼 W의 주변 영역에서의 그래프의 경사가 급격하게 되어, 동 도면 (c)로부터, 양호한 가스의 압력 분포를 나타내는 것을 알았다.From this FIG. 9, it was confirmed that the same results as described above. Moreover, also from the same figure (a), even when the additional gas of the gas source A is not supplied, the radius of the outermost periphery of the gas discharge hole 51 connected to the center area | region 53a becomes large (distance L is 130 Closer to mm), the smaller the influence of the second gas on the center region of the wafer W, i.e., the inclination of the graph in the peripheral region of the wafer W becomes abrupt, and from the same figure (c), a good gas pressure is obtained. It was found to represent a distribution.

압력의 차이에 따라서는, 상기의 결과의 경향에 차이는 보이지 않았지만, 압력이 낮아질수록 가스의 분포 편차의 정도가 작아지고 있었다. 또한, 이 도 9에는 나타내지 않았지만, 13.3Pa(100mTorr)의 조건에 있어서도 시뮬레이션을 행했지만, 그 결과는 도 9에 나타내는 18.7Pa(140mTorr)와 8Pa(60mTorr)와의 결과의 중간 결과로 되어 있었다.According to the difference in pressure, the difference in the tendency of the above result was not seen, but the degree of the distribution variation of the gas became smaller as the pressure was lowered. In addition, although not shown in FIG. 9, the simulation was also performed under conditions of 13.3 Pa (100 mTorr), but the result was an intermediate result between the results of 18.7 Pa (140 mTorr) and 8 Pa (60 mTorr) shown in FIG.

(실시예 3 :시뮬레이션의 검증)Example 3 Validation of Simulation

다음에, 실험예 2의 시뮬레이션의 결과를 검증하기 위해서 실험을 행하였다. 실험에는, 기술한 바와 같이, 실시예 1과 동일한 처리를 행하고, 도 4(b)의 상태의 웨이퍼 W에 대하여 에칭을 행하였다. 또한, 이하에 나타내는 조건 이외에는 실시예 2와 동일한 프로세스 조건으로 했다.Next, an experiment was conducted to verify the results of the simulation of Experimental Example 2. In the experiment, as described above, the same process as in Example 1 was performed, and etching was performed on the wafer W in the state shown in FIG. In addition, it was set as the process conditions similar to Example 2 except the conditions shown below.

<프로세스 조건><Process condition>

격벽(55)의 상부 전극(4)의 중심으로부터의 거리 L : 별기(別記)Distance L from center of upper electrode 4 of partition 55: separate

처리 압력 : 18.7Pa(140mTorr)Treatment pressure: 18.7 Pa (140 mTorr)

처리 가스(가스원 M) : 별기Process gas (gas source M): separate

처리 가스(가스원 A) : 별기Process gas (gas source A): separate

(실험예 3-1)Experimental Example 3-1

중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주의 반경이 웨이퍼 W의 반경의 80%가 되는 위치(거리 L을 130㎜)로서, 가스원 M 및 가스원 A로부터의 처리 가스의 유량을 기술한 표 2에서의 거리 L이 130㎜인 조건으로 하였다.Process gas from the gas source M and the gas source A as a position (distance L is 130 mm) whose radius of the outermost periphery of the gas discharge hole 51 connected to the center area 53a becomes 80% of the radius of the wafer W. The flow rate of was set under the condition that the distance L in Table 2 described above was 130 mm.

(실험예 3-2)Experimental Example 3-2

중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주의 반경이 웨이퍼 W의 반경의 53%가 되는 위치(거리 L을 90㎜)로서, 가스원 M 및 가스원 A로부터의 처리 가스의 유량을 기술의 표 2에서의 거리 L이 90㎜인 조건으로 하였다.Process gas from gas source M and gas source A as a position (distance L is 90 mm) whose outermost radius of the gas discharge hole 51 connected to the center area 53a becomes 53% of the radius of the wafer W. The flow rate of was set under the condition that the distance L in Table 2 of the technique was 90 mm.

(비교예 3)(Comparative Example 3)

가스원 A로부터의 가스 유량을 영으로 한 이외는 실험예 3-2와 동일한 조건으로 하였다.The conditions were the same as those in Experiment 3-2 except that the gas flow rate from the gas source A was zero.

실험 결과Experiment result

웨이퍼 W에 형성된 패턴이 밀한 부분과 소한 부분에 있어서, 도 4에 도시하는 바와 같이, 포토레지스트 마스크(71)의 바닥부의 치수 D1과, 에칭에 의해서 SiN막(74)에 형성된 볼록부(79)의 바닥부의 치수를 웨이퍼 W의 X 방향 및 Y 방향에 대해 측정하여, ΔD(ΔD=D2-D1)를 계산해서 도 10에 나타내었다. 그 결과, 중앙 영역(53a)에 연결되는 가스 토출 구멍(51)의 최외주의 반경이 커질수록, 가스의 분포의 치우침이 개선되어, 패턴의 밀한 뿌분뿐만 아니라 소한 부분에 대해서도 ΔD의 편차가 작아지는 것을 알았다. 또한, 가스원 A로부터 가스를 제 2 가스에 첨가함으로써, 웨이퍼 W의 표면에서의 ΔD의 균일성이 향상되고 있었다. 또, 실험예 3-2에서는, 실험예 2에서 나타낸 바와 같이, 웨이퍼 W의 중심으로부터의 거리가 ±100㎜ 정도의 위치에서, 제 2 가스의 영향으로 보여지는 ΔD의 부분적인 상승이 인지되었지만, 비교예 3에 대해서 양호한 결과를 나타내고 있었다.In the portion where the pattern formed on the wafer W is dense and a small portion, as shown in FIG. 4, the dimension D 1 of the bottom portion of the photoresist mask 71 and the convex portion 79 formed on the SiN film 74 by etching. The bottom part of) was measured for the X and Y directions of the wafer W, and ΔD (ΔD = D 2 -D 1 ) was calculated and shown in FIG. 10. As a result, the larger the radius of the outermost circumference of the gas discharge hole 51 connected to the center region 53a is, the more the bias of the distribution of gas is improved, so that the variation of ΔD becomes smaller for not only dense dust but also small portions of the pattern. I knew to lose. Moreover, the uniformity of (DELTA) D on the surface of the wafer W was improved by adding gas from the gas source A to 2nd gas. Further, in Experimental Example 3-2, as shown in Experimental Example 2, a partial increase in ΔD, which is seen by the influence of the second gas, was recognized at a position of about 100 mm from the center of the wafer W, The good result was shown about the comparative example 3.

도 1은 본 발명의 가스 공급 장치가 적용된 플라즈마 처리 장치의 이례를 나타내는 종단면도,1 is a longitudinal sectional view showing an example of a plasma processing apparatus to which a gas supply device of the present invention is applied;

도 2는 상기 플라즈마 처리 장치(2)의 처리 용기(21)의 단면 일례를 나타내는 도면,2 is a diagram showing an example of a cross section of the processing vessel 21 of the plasma processing apparatus 2;

도 3은 상기 플라즈마 처리 장치(2)의 상부 전극(4)의 일례를 나타내는 도면,3 is a view showing an example of the upper electrode 4 of the plasma processing apparatus 2;

도 4는 본 발명의 플라즈마 처리 장치에 이용되는 웨이퍼 W의 구성 일례를 나타내는 도면,4 is a view showing an example of the configuration of a wafer W used in the plasma processing apparatus of the present invention;

도 5는 실험예 2에서의 격벽(55)의 위치를 나타내는 도면,5 is a view showing the position of the partition wall 55 in Experimental Example 2,

도 6은 실험예 2에서의 처리 용기(21)의 모델을 나타내는 도면,6 is a view showing a model of the processing container 21 in Experimental Example 2;

도 7은 실험예 2에서의 시뮬레이션의 결과를 나타낸 도면,7 is a view showing the results of a simulation in Experimental Example 2;

도 8은 실험예 2에서의 시뮬레이션의 결과를 나타낸 도면,8 is a view showing the results of a simulation in Experimental Example 2;

도 9는 실험예 2에서의 시뮬레이션의 결과를 나타낸 도면,9 is a view showing the results of a simulation in Experimental Example 2;

도 10은 실험예 3에서의 실험의 결과를 나타내는 도면,10 is a view showing the results of an experiment in Experimental Example 3;

도 11은 종래의 플라즈마 처리 장치에서의 웨이퍼(100)의 구성을 나타내는 도면.11 is a diagram showing the configuration of a wafer 100 in a conventional plasma processing apparatus.

도면의 주요 부분에 대한 부호의 설명Explanation of symbols for the main parts of the drawings

1 : 가스 공급 장치 2 : 플라즈마 처리 장치1 gas supply device 2 plasma processing device

3 : 탑재대 4 : 상부 전극3: mounting table 4: upper electrode

21 : 처리 용기 31 : 고주파 전원21: processing vessel 31: high frequency power

51 : 가스 토출 구멍 53a : 중앙 영역51 gas discharge hole 53a: central region

53b : 주변 영역 55 : 격벽53b: surrounding area 55: partition wall

73 : SiO2막 74 : SiN막73: SiO 2 film 74: SiN film

Claims (20)

기판이 탑재되는 탑재대가 내부에 마련된 처리 용기와,A processing container provided therein with a mounting table on which a substrate is mounted; 상기 탑재대에 대향하도록 마련되고, 기판의 중앙 영역에 대향하고 또한 다수의 가스 토출 구멍이 형성된 중앙 영역과, 기판의 주변 영역에 대향하고 또한 다수의 가스 토출 구멍이 형성된 주변 영역으로부터 각각 독립적으로 유량 조정된 처리 가스를 기판에 공급하도록 구성된 가스 공급 장치와,It is provided so as to face the mounting table, the flow rate independently from the center region facing the central region of the substrate and formed with a plurality of gas discharge holes, and the peripheral region facing the peripheral region of the substrate and formed with a plurality of gas discharge holes, respectively. A gas supply configured to supply the adjusted process gas to the substrate, 상기 가스 공급 장치의 상기 중앙 영역과 주변 영역에 공통의 가스를 공급하기 위한 수단과,Means for supplying a common gas to the central region and the peripheral region of the gas supply device; 상기 가스 공급 장치의 주변 영역에 상기 공통의 가스에 부가하여 첨가 가스를 공급하기 위한 수단과,Means for supplying an additive gas in addition to the common gas to a peripheral region of the gas supply device; 처리 용기 내를 배기하기 위한 배기 수단Exhaust means for evacuating the processing vessel 을 구비하되,Provided with 상기 가스 공급 장치의 중앙 영역의 중심으로부터 상기 중앙 영역에 포함되는 가장 외측의 가스 토출 구멍까지의 거리가 기판 반경의 53% 이상인 것The distance from the center of the center region of the gas supply device to the outermost gas discharge hole included in the center region is 53% or more of the radius of the substrate 을 특징으로 하는 기판 처리 장치.Substrate processing apparatus, characterized in that. 제 1 항에 있어서,The method of claim 1, 복수의 가스 공급원으로부터 공급되는 복수의 가스를 혼합하고, 상기 혼합 가스가 상기 중앙 영역과 주변 영역으로 분류(分流)되어 공통의 가스로서 공급되는 것을 특징으로 하는 기판 처리 장치.A substrate processing apparatus characterized by mixing a plurality of gases supplied from a plurality of gas supply sources, wherein the mixed gas is divided into the central region and the peripheral region and supplied as a common gas. 제 1 항에 있어서,The method of claim 1, 상기 공통의 가스는, 에칭 가스와, 퇴적 작용 또는 볼록부의 측벽 보호 작용을 위한 가스를 포함하고, 그들 가스의 상기 중앙 영역에서의 유량의 비율과 주변 영역에서의 유량의 비율이 동일하며,The common gas includes an etching gas and a gas for the deposition action or the sidewall protection action of the convex portion, wherein the ratio of the flow rate in the central region of those gases is the same as the ratio of the flow rate in the peripheral region, 상기 첨가 가스는 퇴적 작용 또는 볼록부의 측벽 보호 작용을 구비한 가스인 것The additive gas is a gas having a deposition action or a sidewall protection action of the convex portion; 을 특징으로 하는 기판 처리 장치.Substrate processing apparatus, characterized in that. 제 3 항에 있어서,The method of claim 3, wherein 퇴적 작용이 있는 첨가 가스는 탄소 및 수소를 포함하는 화합물로 이루어지는 가스인 것을 특징으로 하는 기판 처리 장치.An additive gas having a deposition effect is a gas comprising a compound containing carbon and hydrogen. 제 4 항에 있어서,The method of claim 4, wherein 에칭 가스는 기판 상의 질화실리콘막을 에칭하기 위한 가스인 것을 특징으로 하는 기판 처리 장치.The etching gas is a gas for etching a silicon nitride film on a substrate. 제 3 항에 있어서,The method of claim 3, wherein 에칭 가스는 기판 상의 질화실리콘막을 에칭하기 위한 가스이고,The etching gas is a gas for etching the silicon nitride film on the substrate, 볼록부의 측벽 보호 작용을 구비한 첨가 가스는 질소 가스인 것Additive gas having side wall protection of convex part is nitrogen gas 을 특징으로 하는 기판 처리 장치.Substrate processing apparatus, characterized in that. 제 1 항에 있어서,The method of claim 1, 기판 상의 박막에 대하여 에칭에 의해 라인을 형성하는 것을 특징으로 하는 기판 처리 장치.The substrate processing apparatus characterized by forming a line with an etching with respect to the thin film on a board | substrate. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 7, 처리 용기 내에서의 프로세스 시의 압력이 1.3Pa~40Pa로 조정되는 것을 특징으로 하는 기판 처리 장치.The substrate processing apparatus characterized by adjusting the pressure at the time of the process in a processing container to 1.3 Pa-40 Pa. 기판의 중앙 영역에 대향하고, 다수의 가스 토출 구멍이 형성된 중앙 영역 과, 기판의 주변 영역에 대향하고, 다수의 가스 토출 구멍이 형성된 주변 영역으로부터 동일한 성분의 처리 가스를 각각 독립적으로 기판에 공급하기 위한 가스 공급 장치에 있어서,Supplying the processing gases of the same component to the substrate independently from the center region facing the center region of the substrate and having a plurality of gas discharge holes formed therein, and the peripheral region facing the substrate and having a plurality of gas discharge holes formed therein. In the gas supply device for 상기 중앙 영역의 중심으로부터 그 중앙 영역에 포함되는 가장 외측의 가스 토출 구멍까지의 거리가 기판 반경의 53% 이상이고,The distance from the center of the center region to the outermost gas discharge hole included in the center region is 53% or more of the radius of the substrate, 주변 영역으로부터는 첨가 가스가 더 가해지는 것Further gas being added from the surrounding area 을 특징으로 하는 가스 공급 장치.Gas supply device characterized in that. 제 9 항에 있어서,The method of claim 9, 복수의 가스 공급원으로부터 공급되는 복수의 가스를 혼합하고, 그 혼합 가스가 상기 중앙 영역과 주변 영역으로 분류되어 공통의 가스로서 공급되는 것을 특징으로 하는 가스 공급 장치.And a plurality of gases supplied from a plurality of gas sources, and the mixed gases are classified into the central region and the peripheral region and supplied as a common gas. 제 9 항에 있어서,The method of claim 9, 상기 공통의 가스는, 에칭 가스와, 퇴적 작용 또는 볼록부의 측벽 보호 작용을 갖는 가스를 포함하고, 그들 가스의 상기 중앙 영역에서의 유량의 비율과 주변 영역에서의 유량의 비율이 동일하며,The common gas includes an etching gas and a gas having a deposition action or a sidewall protection action of the convex portion, and the ratio of the flow rate in the central region of those gases is the same as the ratio of the flow rate in the peripheral region, 상기 첨가 가스는 퇴적 작용 또는 볼록부의 측벽 보호 작용을 구비한 가스인 것The additive gas is a gas having a deposition action or a sidewall protection action of the convex portion; 을 특징으로 하는 가스 공급 장치.Gas supply device characterized in that. 기판이 탑재되는 탑재대가 내부에 마련된 처리 용기와, 상기 탑재대에 대향하도록 마련되고, 기판의 중앙 영역에 대향하고 또한 다수의 가스 토출 구멍이 형성된 중앙 영역과, 기판의 주변 영역에 대향하고 또한 다수의 가스 토출 구멍이 형성된 주변 영역을 구비한 가스 공급 장치를 갖는 기판 처리 장치를 이용하여 기판을 처리하는 방법에 있어서,A mounting table on which the substrate is mounted is provided so as to oppose the processing container provided therein, the central region facing the central mounting region of the substrate and formed with a plurality of gas discharge holes, and the peripheral region of the substrate; In the method of processing a substrate using a substrate processing apparatus having a gas supply device having a peripheral region in which a gas discharge hole is formed, 상기 가스 공급 장치의 중앙 영역과 주변 영역으로부터 각각 독립적으로 유량 조정된 공통의 가스를 기판에 공급하는 공정과,Supplying a common gas having a flow rate adjusted independently from a central region and a peripheral region of the gas supply device to a substrate; 상기 가스 공급 장치의 주변 영역에 상기 공통의 가스에 부가하여 첨가 가스를 공급하는 공정과,Supplying an additive gas to the peripheral region of the gas supply device in addition to the common gas; 처리 용기 내를 배기하는 공정The process of evacuating the processing vessel 을 포함하되,Including, 상기 가스 공급 장치의 중앙 영역의 중심으로부터 그 중앙 영역에 포함되는 가장 외측의 가스 토출 구멍까지의 거리가 기판 반경의 53% 이상인 것The distance from the center of the center region of the gas supply device to the outermost gas discharge hole included in the center region is 53% or more of the radius of the substrate 을 특징으로 하는 기판 처리 방법.Substrate processing method characterized in that. 제 12 항에 있어서,The method of claim 12, 가스 공급 장치의 중앙 영역과 주변 영역으로부터 공통의 처리 가스를 기판에 공급하는 공정은, 복수의 가스 공급원으로부터 공급되는 복수의 가스를 혼합하고, 그 혼합 가스가 중앙 영역과 주변 영역으로 분류되어 공통 가스로서 공급되는 공정인 것을 특징으로 하는 기판 처리 방법.In the process of supplying a common processing gas to a substrate from a central region and a peripheral region of a gas supply device, a plurality of gases supplied from a plurality of gas supply sources are mixed, and the mixed gas is classified into a central region and a peripheral region, and thus the common gas. It is a process supplied as a substrate processing method. 제 12 항에 있어서,The method of claim 12, 기판의 처리는 기판의 표면부에 대하여 에칭을 행하는 처리이고,The treatment of the substrate is a treatment for etching the surface portion of the substrate, 상기 공통의 가스는, 에칭 가스와, 퇴적 작용 또는 볼록부의 측벽 보호 작용이 있는 가스를 포함하고, 그들 가스의 상기 중앙 영역에서의 유량의 비율과 주변 영역에서의 유량의 비율이 동일하며,The common gas includes an etching gas and a gas having a deposition action or a sidewall protection action of the convex portion, and the ratio of the flow rate in the central region of those gases is the same as the ratio of the flow rate in the peripheral region, 상기 첨가 가스는 퇴적 작용 또는 볼록부의 측벽 보호 작용을 구비한 가스인 것The additive gas is a gas having a deposition action or a sidewall protection action of the convex portion; 을 특징으로 하는 기판 처리 방법.Substrate processing method characterized in that. 제 14 항에 있어서,The method of claim 14, 퇴적 작용이 있는 첨가 가스는 탄소 및 수소를 포함하는 화합물로 이루어지 는 가스인 것을 특징으로 하는 기판 처리 방법.An additive gas having a deposition action is a gas comprising a compound containing carbon and hydrogen. 제 15 항에 있어서,The method of claim 15, 에칭 가스는 기판 상의 질화실리콘막을 에칭하기 위한 가스인 것을 특징으로 하는 기판 처리 방법.The etching gas is a gas for etching a silicon nitride film on a substrate. 제 14 항에 있어서,The method of claim 14, 에칭 가스는 기판 상의 질화실리콘막을 에칭하기 위한 가스이고,The etching gas is a gas for etching the silicon nitride film on the substrate, 볼록부의 측벽 보호 작용을 구비한 첨가 가스는 질소 가스인 것Additive gas having side wall protection of convex part is nitrogen gas 을 특징으로 하는 기판 처리 방법.Substrate processing method characterized in that. 제 12 항에 있어서,The method of claim 12, 기판의 처리는 기판 상의 박막에 대하여 에칭에 의해 라인을 형성하는 처리인 것을 특징으로 하는 기판 처리 방법.The substrate processing method is a process of forming a line by etching a thin film on a substrate. 제 12 항에 있어서,The method of claim 12, 처리 용기 내에서의 프로세스 시의 압력은 1.3Pa~40Pa로 조정되는 것을 특징으로 하는 기판 처리 방법.The pressure at the time of the process in a processing container is adjusted to 1.3 Pa-40 Pa, The substrate processing method characterized by the above-mentioned. 기판 처리 장치에 이용되고, 컴퓨터 상에서 동작하는 컴퓨터 프로그램을 저장한 기억 매체로서,A storage medium used for a substrate processing apparatus and storing a computer program running on a computer, 상기 컴퓨터 프로그램은 청구항 12 내지 19 중 어느 한 항에 기재된 기판 처리 방법을 실시하도록 스텝이 편성되어 있는 것을 특징으로 하는 기억 매체.The computer program is a storage medium, wherein steps are organized to implement the substrate processing method according to any one of claims 12 to 19.
KR1020070082204A 2006-08-15 2007-08-16 Substrate processing apparatus, gas supply unit, substrate processing method, and storage medium KR100887447B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2006-00221675 2006-08-15
JP2006221675A JP5211450B2 (en) 2006-08-15 2006-08-15 Substrate processing apparatus, substrate processing method, and storage medium

Publications (2)

Publication Number Publication Date
KR20080015754A KR20080015754A (en) 2008-02-20
KR100887447B1 true KR100887447B1 (en) 2009-03-10

Family

ID=39160322

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070082204A KR100887447B1 (en) 2006-08-15 2007-08-16 Substrate processing apparatus, gas supply unit, substrate processing method, and storage medium

Country Status (4)

Country Link
JP (1) JP5211450B2 (en)
KR (1) KR100887447B1 (en)
CN (1) CN100524612C (en)
TW (1) TWI511221B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015102256A1 (en) * 2014-01-03 2015-07-09 주식회사 유진테크 Substrate processing apparatus and substrate processing method
KR20160016652A (en) * 2014-07-31 2016-02-15 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus and gas supply member
CN113658843A (en) * 2020-05-12 2021-11-16 细美事有限公司 Substrate processing apparatus

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100115788A (en) * 2008-03-26 2010-10-28 도쿄엘렉트론가부시키가이샤 Control method and processor of exhaust gas flow rate of processing chamber
KR101110080B1 (en) 2009-07-08 2012-03-13 주식회사 유진테크 Method for processing substrate
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
CN103003924B (en) 2010-06-28 2015-07-08 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
CN102231360B (en) * 2011-05-27 2013-05-15 中微半导体设备(上海)有限公司 Method for regulating etching gas in plasma etching cavity
JP5377587B2 (en) 2011-07-06 2013-12-25 東京エレクトロン株式会社 Antenna, plasma processing apparatus, and plasma processing method
KR101130248B1 (en) * 2011-07-18 2012-03-26 주식회사 유진테크 Apparatus for processing substrate
JP5792563B2 (en) 2011-08-31 2015-10-14 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
JP5859792B2 (en) * 2011-09-27 2016-02-16 東京エレクトロン株式会社 Plasma etching method
US9048178B2 (en) 2011-09-27 2015-06-02 Tokyo Electron Limited Plasma etching method and semiconductor device manufacturing method
JP5568608B2 (en) * 2012-08-20 2014-08-06 東京エレクトロン株式会社 Plasma processing equipment
KR102102787B1 (en) * 2013-12-17 2020-04-22 삼성전자주식회사 Substrate treating apparatus and blocker plate assembly
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
CN109155242B (en) * 2016-05-20 2023-05-09 应用材料公司 Gas distribution showerhead for semiconductor processing
JP6779701B2 (en) * 2016-08-05 2020-11-04 東京エレクトロン株式会社 A storage medium in which a substrate processing apparatus, a substrate processing method, and a program for executing the substrate processing method are recorded.
KR102179754B1 (en) * 2016-12-23 2020-11-17 주식회사 원익아이피에스 Substrate processing ALD
JP7038563B2 (en) * 2018-02-15 2022-03-18 東京エレクトロン株式会社 Board processing equipment, flow control method and flow control program
KR102636427B1 (en) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
JP7229061B2 (en) * 2019-03-26 2023-02-27 東京エレクトロン株式会社 Substrate etching apparatus and etching method
CN110170433A (en) * 2019-05-28 2019-08-27 昆山国显光电有限公司 A kind of Minton dryer
CN113818005A (en) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 Film preparation equipment and method
JP2022025428A (en) * 2020-07-29 2022-02-10 株式会社Screenホールディングス Substrate processing apparatus and substrate transfer method
KR102388661B1 (en) * 2020-11-16 2022-04-20 가부시키가이샤 에바라 세이사꾸쇼 Plates, plating apparatus and methods for manufacturing plates
KR20230044870A (en) 2021-09-27 2023-04-04 주식회사 유진테크 Showerhead and substrate processing apparatus including the same
CN114774887A (en) * 2022-06-22 2022-07-22 拓荆科技(北京)有限公司 Gas delivery device, method and semiconductor deposition equipment
CN115074703B (en) * 2022-07-22 2023-01-13 拓荆科技(上海)有限公司 Spray plate, method and device for adjusting thickness of thin film and storage medium
CN115513033A (en) * 2022-09-09 2022-12-23 江苏微导纳米科技股份有限公司 Spraying assembly, semiconductor equipment and wafer processing method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
JP2002261036A (en) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd Heat treatment device
JP2005000723A (en) * 2003-06-09 2005-01-06 Tokyo Electron Ltd Partial pressure control system, flow rate control system and shower plate used in partial pressure control system

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5877090A (en) * 1997-06-03 1999-03-02 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of NH3 or SF6 and HBR and N2
US7017899B2 (en) * 2004-03-15 2006-03-28 Lehigh Press, Inc. Removable portion format
JP4550507B2 (en) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP4358727B2 (en) * 2004-12-09 2009-11-04 東京エレクトロン株式会社 Gas supply apparatus, substrate processing apparatus, and supply gas setting method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
JP2002261036A (en) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd Heat treatment device
JP2005000723A (en) * 2003-06-09 2005-01-06 Tokyo Electron Ltd Partial pressure control system, flow rate control system and shower plate used in partial pressure control system

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015102256A1 (en) * 2014-01-03 2015-07-09 주식회사 유진테크 Substrate processing apparatus and substrate processing method
KR101560623B1 (en) * 2014-01-03 2015-10-15 주식회사 유진테크 Substrate processing apparatus and substrate processing method
US10145012B2 (en) 2014-01-03 2018-12-04 Eugene Technology Co., Ltd. Substrate processing apparatus and substrate processing method
KR20160016652A (en) * 2014-07-31 2016-02-15 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus and gas supply member
KR102346038B1 (en) 2014-07-31 2021-12-30 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus and gas supply member
CN113658843A (en) * 2020-05-12 2021-11-16 细美事有限公司 Substrate processing apparatus
KR20210138401A (en) * 2020-05-12 2021-11-19 세메스 주식회사 Apparatus for treating substrate
KR102652014B1 (en) * 2020-05-12 2024-03-28 세메스 주식회사 Apparatus for treating substrate

Also Published As

Publication number Publication date
JP2008047687A (en) 2008-02-28
CN100524612C (en) 2009-08-05
TW200822264A (en) 2008-05-16
TWI511221B (en) 2015-12-01
KR20080015754A (en) 2008-02-20
JP5211450B2 (en) 2013-06-12
CN101136322A (en) 2008-03-05

Similar Documents

Publication Publication Date Title
KR100887447B1 (en) Substrate processing apparatus, gas supply unit, substrate processing method, and storage medium
JP7162456B2 (en) Method for depositing films by PEALD using negative bias
US9466506B2 (en) Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US11355352B2 (en) Plasma etching method and plasma etching apparatus
US8889023B2 (en) Plasma processing apparatus and plasma processing method
TWI618145B (en) Plasma etching method and plasma etching device
US9911622B2 (en) Method of processing target object
US10043639B2 (en) Substrate processing apparatus and substrate processing method
CN107026081B (en) Method for processing object to be processed
US20220051904A1 (en) Etching method
JP6438831B2 (en) Method for etching an organic film
TW202046395A (en) Substrate processing method and substrate processing apparatus
US11462412B2 (en) Etching method
US10151034B2 (en) Substrate processing method including supplying a fluorine-containing gas on a surface of a substrate
TWI668323B (en) Substrate processing apparatus, substrate processing method and substrate holding member
KR20180018416A (en) Method of processing target object
JP6804280B2 (en) Plasma processing equipment and plasma processing method
JP7418632B2 (en) plasma processing equipment
JP2003059918A (en) Method and apparatus for plasma treatment and manufacturing method for semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140204

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150130

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160127

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170202

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190218

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20200218

Year of fee payment: 12