KR100782632B1 - Etching method for insulating film - Google Patents

Etching method for insulating film Download PDF

Info

Publication number
KR100782632B1
KR100782632B1 KR1020037008446A KR20037008446A KR100782632B1 KR 100782632 B1 KR100782632 B1 KR 100782632B1 KR 1020037008446 A KR1020037008446 A KR 1020037008446A KR 20037008446 A KR20037008446 A KR 20037008446A KR 100782632 B1 KR100782632 B1 KR 100782632B1
Authority
KR
South Korea
Prior art keywords
gas
etching
ratio
insulating film
fluorocarbon
Prior art date
Application number
KR1020037008446A
Other languages
Korean (ko)
Other versions
KR20030066747A (en
Inventor
아다치겐지
고바야시노리유키
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20030066747A publication Critical patent/KR20030066747A/en
Application granted granted Critical
Publication of KR100782632B1 publication Critical patent/KR100782632B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭 가스로서, C의 수가 4 이상이고 C/F 비가 0.625 이상인 제 1 플루오로카본계 가스, F의 수가 4 이상이고 C/F 비가 0.5 이하인 제 2 플루오로카본계 가스, Ar 가스 및 O2 가스를 적어도 함유하는 혼합 가스를 사용하고, 실리콘 산화막 등으로 이루어진 절연막의 에칭을 실시한다. 이에 따라, 종횡비가 높은 콘택트홀을 형성하는 경우에 있어서도, 에칭 속도 및 레지스트 마스크 선택비를 향상시킴과 동시에 콘택트홀이 보우잉 형상이 되는 것을 억제할 수 있다.

Figure 112003022160581-pct00001

As the etching gas, a first fluorocarbon gas having a C number of 4 or more and a C / F ratio of 0.625 or more, a second fluorocarbon gas having an F number of 4 or more and a C / F ratio of 0.5 or less, an Ar gas and an O 2 gas The insulating film which consists of a silicon oxide film etc. is etched using the mixed gas containing at least. As a result, even when forming a contact hole with a high aspect ratio, the etching rate and the resist mask selectivity can be improved, and the contact hole can be suppressed from becoming a bowing shape.

Figure 112003022160581-pct00001

Description

절연막의 에칭 방법{ETCHING METHOD FOR INSULATING FILM} Etching Method of Insulating Film {ETCHING METHOD FOR INSULATING FILM}             

본 발명은 절연막의 에칭 방법에 관한 것으로, 특히 고종횡비의 콘택트홀(contact hole)의 에칭에 적용하기에 바람직한 것이다.
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for etching insulating films, and is particularly suitable for application to etching of high aspect ratio contact holes.

최근 반도체 집적 회로의 고밀도화에 따라 콘택트홀의 종횡비가 높아지고, 이러한 콘택트홀을 형성하기 위해 C5F8/Ar/O2계 에칭 가스가 사용되었다. In recent years, as the density of semiconductor integrated circuits has increased, aspect ratios of contact holes have increased, and C 5 F 8 / Ar / O 2 based etching gases have been used to form such contact holes.

그러나, C5F8/Ar/O2계 에칭 가스에서는 에칭 속도(etching rate)가 느리고 스루풋(throughput)이 불량한 문제 이외에도, 레지스트 마스크 선택비가 낮고 보우잉 형상(bowing shape)(호리병 형상)이 형성되는 문제가 있었다. However, in addition to the problem of slow etching rate and poor throughput, the C 5 F 8 / Ar / O 2- based etching gas has a low resist mask selectivity and a bowing shape (a bottle shape). There was a problem.

발명의 요약Summary of the Invention

본 발명의 목적은 에칭 속도 및 레지스트 마스크 선택비를 향상시킬 수 있는 동시에, 보우잉 형상을 억제할 수 있는 절연막의 에칭 방법을 제공하는 것이다. An object of the present invention is to provide an etching method of an insulating film which can improve the etching rate and resist mask selectivity, and can suppress the bowing shape.

상술한 과제를 해결하기 위해 본 발명에 따르면 에칭 가스로서, C의 수가 4 이상이고 C/F 비가 0.625 이상인 제 1 플루오로카본계 가스, F의 수가 4 이상이고 C/F 비가 0.5 이하인 제 2 플루오로카본계 가스, Ar 가스 및 O2 가스를 적어도 함유하는 혼합 가스를 사용하는 절연막의 에칭 방법으로서, 상기 제 1 플루오로카본계 가스 대 제 2 플루오로카본계 가스의 유량비(제 1 플루오로카본계 가스 유량/제 2 플루오로카본계 가스 유량)가 0.50 이상이고, 상기 혼합 가스 전체의 C/F 비가 0.52 이상인 것을 특징으로 한다. In order to solve the above-mentioned problems, according to the present invention, as an etching gas, a first fluorocarbon gas having a number of C of 4 or more and a C / F ratio of 0.625 or more, a second fluorine having a number of F of 4 or more and a C / F ratio of 0.5 or less An etching method of an insulating film using a mixed gas containing at least a carboxyl gas, an Ar gas, and an O 2 gas, the flow rate ratio of the first fluorocarbon gas to the second fluorocarbon gas (first fluorocarbon System gas flow rate / second fluorocarbon gas flow rate) is 0.50 or more, and the C / F ratio of the whole mixed gas is 0.52 or more.

C가 다량이고 C/F 비가 큰 제 1 플루오로카본계 가스 및 F가 다량이고 C/F 비가 작은 제 2 플루오로카본계 가스를 혼합하여 사용함으로써, 제 1 플루오로카본계 가스에 의해 레지스트 마스크 선택비를 증가시킬 수 있는 동시에 제 2 플루오로카본계 가스에 의해 보우잉 형상의 발생을 억제하고 또한 에칭 속도를 증가시킬 수 있으므로, 에칭 속도 및 레지스트 마스크 선택비 모두를 향상시킬 수 있다. By using a mixture of a first fluorocarbon gas having a large amount of C and a large C / F ratio and a second fluorocarbon gas having a large amount of F and a small C / F ratio, a resist mask is formed by the first fluorocarbon gas. The selectivity can be increased and at the same time the generation of the bowing shape by the second fluorocarbon-based gas can be suppressed and the etching rate can be increased, thereby improving both the etching rate and the resist mask selectivity.

또한 본 발명에 따르면, 상기 제 1 플루오로카본계 가스는 C의 수가 4 이상이고 C/F 비가 0.625 이상인 C5F8 가스 또는 C4F6 가스인 것을 특징으로 한다. According to the present invention, the first fluorocarbon gas is characterized in that the C 5 F 8 gas or C 4 F 6 gas having a number of C 4 or more and C / F ratio of 0.625 or more.

또한 본 발명에 따르면, 상기 제 2 플루오로카본계 가스는 CF4 가스, C2F6 가스, C3F8 가스 및 C4F8 가스로부터 선택된 어느 하나인 것을 특징으로 한다. In addition, according to the present invention, the second fluorocarbon gas is characterized in that any one selected from CF 4 gas, C 2 F 6 gas, C 3 F 8 gas and C 4 F 8 gas.

이에 따라, 보우잉 형상의 발생을 억제하면서 에칭 속도를 향상시킬 수 있으므로 고종횡비의 콘택트홀을 효율적으로 형성할 수 있다. As a result, the etching rate can be improved while suppressing the occurrence of the bowing shape, so that a high aspect ratio contact hole can be efficiently formed.

여기에서, 제 2 플루오로카본계 가스의 C/F 비를 보다 크게 함으로써(즉, CF4 -> C2F6 -> C3F8 -> C4F8로 함으로써) 에칭 종(주로, CFx 라디칼)을 효율적으로 생성시킬 수 있으므로 에칭 속도를 보다 개선시킬 수 있다. Here, the etching species (mainly, by making the C / F ratio of the second fluorocarbon-based gas larger (that is, CF 4- > C 2 F 6- > C 3 F 8- > C 4 F 8 ) CF x radicals) can be produced efficiently, thereby further improving the etching rate.

삭제delete

삭제delete

또한 본 발명에 따르면, 상기 혼합 가스는 하이드로플루오로카본계 가스를 추가로 함유하는 것을 특징으로 한다. 여기에서, 상기 하이드로플루오로카본계 가스는 CH2F2 가스인 것이 바람직하다. In addition, according to the present invention, the mixed gas is characterized in that it further contains a hydrofluorocarbon-based gas. Here, the hydrofluorocarbon gas is preferably a CH 2 F 2 gas.

이에 따라, 보우잉 형상이나 에칭 속도에 거의 영향을 미치지 않고 레지스트 마스크 선택비를 추가로 향상시킬 수 있다. As a result, the resist mask selectivity can be further improved without affecting the bowing shape or the etching rate.

삭제delete

또한 본 발명에 따르면, 상기 절연막이 실리콘 산화막인 것을 특징으로 한다. 또한 본 발명에 따르면, 상기 실리콘 산화막의 에칭에 있어서 상층 또는 하층에 실리콘 질화막이 노출되는 것을 특징으로 한다. 또한, 이러한 실리콘 산화막의 에칭이 셀프 얼라인 콘택트(self align contact)의 형성 공정에서 실시되는 것을 특징으로 한다. In addition, according to the present invention, the insulating film is characterized in that the silicon oxide film. According to the present invention, in the etching of the silicon oxide film, the silicon nitride film is exposed to an upper layer or a lower layer. In addition, the etching of the silicon oxide film is characterized in that it is carried out in the process of forming a self align contact (self-aligned contact).

또한 본 발명에 따르면, 에칭 가스로서, C의 수가 4 이상이고 C/F 비가 0.625 이상인 제 1 플루오로카본계 가스, F의 수가 4 이상이고 C/F 비가 0.5 이하인 제 2 플루오로카본계 가스, Ar 가스 및 O2 가스를 적어도 함유하는 혼합 가스를 사용하는 절연막의 에칭 방법으로서, 상기 절연막이 형성된 기판의 온도를 80 내지 120℃로 하는 것을 특징으로 한다.Further, according to the present invention, as the etching gas, a first fluorocarbon gas having a number of C of 4 or more and a C / F ratio of 0.625 or more, a second fluorocarbon gas having a number of F of 4 or more and a C / F ratio of 0.5 or less, An etching method of an insulating film using a mixed gas containing at least an Ar gas and an O 2 gas, wherein the temperature of the substrate on which the insulating film is formed is 80 to 120 ° C.

기판 온도를 상기 온도 범위로 함으로써, 에칭 속도, 레지스트 마스크 선택비, 보우잉비, 하부(bottom) 직경비 및 실리콘 질화막 선택비를 양호한 값으로 유지할 수 있다. By setting the substrate temperature in the above temperature range, the etching rate, resist mask selectivity, bowing ratio, bottom diameter ratio and silicon nitride film selectivity can be maintained at a good value.

도 1은 본 발명의 한 실시예에 관한 에칭 장치의 개략적인 구성을 나타내는 단면도이다. 1 is a cross-sectional view showing a schematic configuration of an etching apparatus according to an embodiment of the present invention.

도 2는 본 발명의 한 실시예에 관한 에칭 시료의 구성을 나타내는 단면도로서, 도 2a는 에칭전, 도 2b는 에칭후를 나타낸다. 2 is a cross-sectional view showing the configuration of an etching sample according to one embodiment of the present invention, where FIG. 2A shows before etching and FIG. 2B shows after etching.

도 3은 본 발명의 한 실시예에 관한 플루오로카본의 종류 및 유량비를 파라미터로 한 경우의 에칭 특성을 나타낸 것이다. Fig. 3 shows the etching characteristics when the type and flow rate ratio of the fluorocarbons according to one embodiment of the present invention are used as parameters.

도 4는 본 발명의 한 실시예에 관한 에칭 가스 전체의 C/F 비 계산 결과를 나타낸 것이다. 4 shows the results of calculating the C / F ratio of the entire etching gas according to the embodiment of the present invention.

도 5는 본 발명의 한 실시예에 관한 CF4 첨가량과 에칭 특성의 관계를 나타낸 것으로, 도 5a는 에칭 속도 및 레지스트 마스크 선택비를 나타내고, 도 5b는 보우잉비 및 하부 직경비를 나타낸다. FIG. 5 shows the relationship between the amount of CF 4 added and etching characteristics according to one embodiment of the present invention. FIG. 5A shows an etching rate and a resist mask selectivity, and FIG. 5B shows a bowing ratio and a lower diameter ratio.

도 6은 본 발명의 한 실시예에 관한 C2F6 첨가량과 에칭 특성의 관계를 나타낸 것으로, 도 6a는 에칭 속도 및 레지스트 마스크 선택비를 나타내고, 도 6b는 보우잉비 및 하부 직경비를 나타낸다. 6 shows the relationship between the amount of C 2 F 6 added and the etching characteristics according to an embodiment of the present invention. FIG. 6A shows the etching rate and the resist mask selection ratio, and FIG. 6B shows the bowing ratio and the lower diameter ratio. .

도 7은 본 발명의 한 실시예에 관한 C3F8 첨가량과 에칭 특성의 관계를 나타낸 것으로, 도 7a는 에칭 속도 및 레지스트 마스크 선택비를 나타내고, 도 7b는 보우잉비 및 하부 직경비를 나타낸다. 7 shows the relationship between the amount of C 3 F 8 added and the etching characteristics according to an embodiment of the present invention. FIG. 7A shows the etching rate and the resist mask selection ratio, and FIG. 7B shows the bowing ratio and the lower diameter ratio. .

도 8은 본 발명의 한 실시예에 관한 에칭 시료의 구성을 나타내는 단면도이다. 8 is a cross-sectional view showing the configuration of an etching sample according to one embodiment of the present invention.

도 9는 본 발명의 한 실시예에 관한 CF4 첨가량과 에칭 특성의 관계를 나타낸 것이다. 9 shows the relationship between the amount of CF 4 added and etching characteristics according to an embodiment of the present invention.

도 10은 본 발명의 한 실시예에 관한 에칭 특성의 온도 의존성을 나타낸 것이다.
10 shows temperature dependence of etching characteristics in accordance with an embodiment of the present invention.

이하, 본 발명의 실시 양태에 따른 에칭 방법에 관해서 도면을 참조하면서 설명한다. EMBODIMENT OF THE INVENTION Hereinafter, the etching method which concerns on embodiment of this invention is demonstrated, referring drawings.

도 1은 본 발명의 한 실시 양태에 관한 에칭 장치의 개략적인 구성을 나타내는 단면도이다. 이 실시 양태에서는 제 1 플루오로카본계 가스를 직쇄의 분자 구조를 가지는 C4F6로 하고, 제 2 플루오로카본계 가스를 CF4로 하여 C4 F6/CF4/Ar/O2계 혼합 가스로 에칭하는 경우를 나타낸다. BRIEF DESCRIPTION OF THE DRAWINGS It is sectional drawing which shows schematic structure of the etching apparatus which concerns on one Embodiment of this invention. In this embodiment, the first fluorocarbon gas is C 4 F 6 having a linear molecular structure, the second fluorocarbon gas is CF 4, and the C 4 F 6 / CF 4 / Ar / O 2 system is used. The case of etching with a mixed gas is shown.

도 1에 있어서, 처리실(1)내에는 상부 전극(2) 및 서셉터(3)가 구비되어 있다. 이 서셉터(3)는 하부 전극을 겸하고 있다. 또한, 상부 전극(2)에는 에칭 가스를 처리실(1)내에 도입하는 가스분출구멍(2a)이 구비되어 있다. In FIG. 1, the upper electrode 2 and the susceptor 3 are provided in the processing chamber 1. This susceptor 3 also serves as a lower electrode. In addition, the upper electrode 2 is provided with a gas ejection hole 2a for introducing an etching gas into the processing chamber 1.                 

상기 서셉터(3)는 서셉터 지지대(4)상에 지지되고, 서셉터 지지대(4)는 절연판(5)을 통해 처리실(1)내에 유지되어 있다. 상부 전극(2) 및 서셉터(3)에는 고주파 전원(13, 11)이 각각 접속되고, 처리실(1)내에 도입된 에칭 가스를 플라즈마화한다. The susceptor 3 is supported on the susceptor support 4, and the susceptor support 4 is held in the processing chamber 1 via the insulating plate 5. The high frequency power supplies 13 and 11 are connected to the upper electrode 2 and the susceptor 3, respectively, to plasma the etching gas introduced into the processing chamber 1.

서셉터 지지대(4)에는 냉매실(10)이 구비되어 있고, 액체 질소 등의 냉매가 냉매 공급관(10a) 및 냉매 배출관(10b)을 통해 냉매실(10)내를 순환한다. 또한, 여기에서 발생되는 냉열을 서셉터 지지대(4) 및 서셉터(3)를 통해 웨이퍼(W)에 전열시킴으로써, 웨이퍼(W)를 냉각시킬 수 있다. The susceptor support 4 is provided with a coolant chamber 10, and a coolant such as liquid nitrogen circulates in the coolant chamber 10 through the coolant supply pipe 10a and the coolant discharge pipe 10b. In addition, the wafer W can be cooled by heat-transferring cooling heat generated here to the wafer W through the susceptor support 4 and the susceptor 3.

서셉터(3)상에는 정전척(electrostatic chuck)(6)이 구비되어 있다. 정전척(6)은 도전층(7)이 폴리이미드 필름(8a, 8b) 사이에 개재된 구성을 갖는다. 도전층(7)에는 직류 고압 전원(12)이 접속되어 도전층(7)에 직류 고전압을 부여함으로써, 웨이퍼(W)에 쿨롱력을 작용시키고 서셉터(3)상에 웨이퍼(W)를 고정시킬 수 있다. An electrostatic chuck 6 is provided on the susceptor 3. The electrostatic chuck 6 has a structure in which the conductive layer 7 is interposed between the polyimide films 8a and 8b. A DC high voltage power supply 12 is connected to the conductive layer 7 to apply a DC high voltage to the conductive layer 7, thereby applying a coulomb force to the wafer W and fixing the wafer W on the susceptor 3. You can.

또한, 서셉터(3) 및 정전척(6)에는 He 가스를 도입하는 가스 통로(9)가 구비되어 있다. 이 가스 통로(9)를 통해 He 가스를 웨이퍼(W)의 이면측에 공급함으로써, 서셉터(3)상에 설치된 웨이퍼(W)를 냉각시킬 수 있다. 가스 통로(9)는 개폐 밸브(18a) 및 유량 조정 밸브(18b)를 통해 He 가스 공급원(18)에 접속되고, 웨이퍼(W)의 이면에서의 He 가스의 압력을 제어할 수 있다. In addition, the susceptor 3 and the electrostatic chuck 6 are provided with a gas passage 9 for introducing He gas. By supplying the He gas to the back surface side of the wafer W through the gas passage 9, the wafer W provided on the susceptor 3 can be cooled. The gas passage 9 is connected to the He gas supply source 18 through the opening / closing valve 18a and the flow rate adjusting valve 18b, and can control the pressure of the He gas on the back surface of the wafer W. As shown in FIG.

처리실(1)에는 가스 공급관(1a) 및 배기관(1b)이 접속되어 있다. 가스 공급 관(1a)은 개폐 밸브(14a 내지 17a) 및 유량 조정 밸브(14b 내지 17b)를 통해, C4F6 가스 공급원(14), CF4 가스 공급원(15), Ar 가스 공급원(16) 및 O2 가스 공급원(17)에 접속되어 있다. The gas supply pipe 1a and the exhaust pipe 1b are connected to the processing chamber 1. The gas supply pipe 1a is connected to the C 4 F 6 gas supply source 14, the CF 4 gas supply source 15, and the Ar gas supply source 16 through the on / off valves 14a to 17a and the flow control valves 14b to 17b. And an O 2 gas supply source 17.

배기관(1b)은 진공 펌프에 접속되고, 이 진공 펌프로 처리실(1)내를 배기함으로써 처리실(1)의 압력을 조절할 수 있다. The exhaust pipe 1b is connected to a vacuum pump, and the pressure of the processing chamber 1 can be adjusted by exhausting the inside of the processing chamber 1 with the vacuum pump.

절연막의 에칭을 실시하는 경우, 절연막이 형성된 웨이퍼(W)를 서셉터(3)상에 설치하고, 정전척(6)에 의해 고정시킨다. When etching the insulating film, the wafer W on which the insulating film is formed is provided on the susceptor 3 and fixed by the electrostatic chuck 6.

다음으로, 처리실(1)을 배기하고 처리실(1)내의 압력을 조절하면서 개폐 밸브(14a 내지 17a)를 열어 C4F6 가스, CF4 가스, Ar 가스 및 O2 가스를 처리실(1)내에 도입한다. Next, opening / closing valves 14a to 17a while evacuating the processing chamber 1 and adjusting the pressure in the processing chamber 1 open the C 4 F 6 gas, CF 4 gas, Ar gas and O 2 gas into the processing chamber 1. Introduce.

C4F6 가스, CF4 가스, Ar 가스 및 O2 가스의 유량비는 유량 조정 밸브(14b 내지 17b)에 의해서 조절할 수 있다. C4F6 가스 대 CF4 가스의 유량비(C 4F6 가스 유량/CF4 가스 유량)는 레지스트 마스크 선택비를 확보하기 위해 0.5 이상인 것이 바람직하다. The flow rate ratios of the C 4 F 6 gas, the CF 4 gas, the Ar gas, and the O 2 gas can be adjusted by the flow regulating valves 14b to 17b. The flow rate ratio (C 4 F 6 gas flow rate / CF 4 gas flow rate) of C 4 F 6 gas to CF 4 gas is preferably 0.5 or more to secure the resist mask selectivity.

다음으로, 고주파 전원(13)으로부터 RF 전력(60MHz)을 상부 전극(2)에 인가함과 동시에, 고주파 전원(11)으로부터 RF 전력(2MHz)을 서셉터(3)에 인가함으로써 에칭 가스를 플라즈마화하고 절연막의 에칭을 실시한다. 이 때, 웨이퍼(W)를 효율적으로 냉각시키기 위해 개폐 밸브(18a)를 열고, He 가스를 가스 통로(9)를 통하여 웨이퍼(W)의 이면측에 공급한다. 웨이퍼(W)의 냉각 온도는 유량 조정 밸브(18b)를 사용하여 He 가스의 압력을 조절함으로써 제어할 수 있다. 에칭 조건은 상부 전극(2) 및 서셉터(3)의 RF 전력이 140 내지 2100W 정도, 처리실(1)내의 압력이 1.33 내지 9.31Pa(10 내지 70mTorr)정도, 서셉터(3)의 온도가 -20 내지 20℃정도, 웨이퍼(W)의 온도가 80 내지 120℃ 정도인 것이 바람직하다. Next, the RF gas (60 MHz) is applied to the upper electrode 2 from the high frequency power supply 13, and the etching gas is applied to the susceptor 3 by applying the RF power (2 MHz) from the high frequency power supply 11. And the insulating film is etched. At this time, in order to cool the wafer W efficiently, the opening / closing valve 18a is opened and He gas is supplied to the back surface side of the wafer W via the gas passage 9. The cooling temperature of the wafer W can be controlled by adjusting the pressure of the He gas using the flow rate adjusting valve 18b. The etching condition is that the RF power of the upper electrode 2 and the susceptor 3 is about 140 to 2100 W, the pressure in the processing chamber 1 is about 1.33 to 9.31 Pa (10 to 70 mTorr), and the temperature of the susceptor 3 is-. It is preferable that the temperature of the wafer W is about 20 to 20 ° C and about 80 to 120 ° C.

분자중의 C(탄소원자)의 수가 많은 C4F6 가스는 CF계 라디칼(CF*, CF2 *, CF3 *) 등의 다량의 에칭종을 공급하면서, 탄소계 중합체의 퇴적을 촉진하고, 에칭 속도를 향상시키면서 레지스트 선택비를 향상시킬 수 있지만, 보우잉 형상을 발생시키기 쉽다. The C 4 F 6 gas, which has a large number of C (carbon atoms) in the molecule, supplies a large amount of etching species such as CF radicals (CF * , CF 2 * , CF 3 * ) to promote deposition of carbon-based polymers. Although the resist selectivity can be improved while improving the etching rate, it is easy to generate a bowing shape.

C4F6 가스가 보우잉을 발생시키기 쉬운 이유는, 탄소계 중합체가 콘택트홀의 입구 부근에 다량으로 퇴적되기 때문에 그 퇴적부의 아래쪽에는 반대로 퇴적이 일어나기 어렵게 되고, 이 부분에서 콘택트홀 측벽부의 에칭이 진행되기 때문이다. The reason why the C 4 F 6 gas is likely to cause bowing is that the carbon-based polymer is deposited in large quantities near the inlet of the contact hole, so that deposition is less likely to occur at the lower side of the deposit, whereby etching of the contact hole sidewall part is prevented. Because it is going.

따라서, 보우잉 형상을 발생시키기 쉬운 C4F6 가스에, 분자 중의 F(불소원자)의 수가 다량이고 또한 C/F 비가 작은 CF4를 첨가함으로써, 콘택트홀 입구에서의 탄소계 중합체의 퇴적을 억제하여 최종적으로 보우잉 형상의 발생을 억제하는 것이 가능해진다. Therefore, by adding CF 4 , which has a large number of F (fluorine atoms) in the molecule and a small C / F ratio, to the C 4 F 6 gas that is likely to generate a bowing shape, deposition of the carbon-based polymer at the contact hole inlet is prevented. It becomes possible to suppress and finally suppress generation of a bowing shape.

탄소계 중합체가 퇴적되면 레지스트 선택비가 향상되는 이유는, 산화막의 에칭면에서는 산화막에 함유되어 있는 산소가 스패터아웃(spatter out)되어 탄소계 중합체의 분해에 기여하는데 반해, 레지스트 표면에서는 이온 충격 등에 의해서도 탄소계 중합체가 용이하게 제거되지 않기 때문이다. 또한, CF4 가스가 탄소계 중합체의 퇴적을 억제하면서 에칭을 촉진하기 때문에, 에칭 속도를 향상시키는 것이 가능해진다. 특히, C4F6 가스에 CF4 가스를 혼합함으로써, 다량의 에칭종을 공급하면서 탄소계 중합체의 퇴적을 억제하는 것이 가능해진다. 이에 따라, 종횡비가 10 이상인 콘택트홀을 형성하는 경우에 있어서도, 홀의 누락성의 악화를 억제하고, 10 이상의 고종횡비의 콘택트홀을 효율적으로 형성하는 것이 가능해진다. When the carbon-based polymer is deposited, the resist selectivity is improved because oxygen contained in the oxide is spattered out on the etching surface of the oxide film and contributes to decomposition of the carbon-based polymer. This is because the carbon-based polymer is not easily removed even by In addition, since the CF 4 gas promotes etching while suppressing deposition of the carbon-based polymer, the etching rate can be improved. In particular, by mixing CF 4 gas with C 4 F 6 gas, it becomes possible to suppress deposition of the carbon-based polymer while supplying a large amount of etching species. Accordingly, even in the case of forming a contact hole having an aspect ratio of 10 or more, it is possible to suppress deterioration of hole omission and to efficiently form a contact hole having a high aspect ratio of 10 or more.

또한, 절연막은 예컨대 SiO2막 이외에, PSG막, BSG막, BPSG막, AsSG막, AsPSG막, AsBSG막 등일 수도 있다. 또한, 상술한 실시 양태에서는 C4F6/CF4/Ar/O 2계 가스를 사용한 경우에 관해서 설명하였지만, 직쇄의 분자 구조를 가지는 C4F6 가스 대신 환상의 분자 구조를 가지는 C4F6 및 C5F8 가스를 사용할 수도 있다. 또한, CF4 가스 대신 C2F6 가스, C3F8 가스 또는 C4F 8 가스를 사용할 수도 있다. The insulating film may be, for example, a PSG film, a BSG film, a BPSG film, an AsSG film, an AsPSG film, an AsBSG film, etc., in addition to the SiO 2 film. In the above embodiment C 4 F 6 / CF 4 / but Ar / O described in the case of using second-gas, having a molecular structure of straight chain C 4 F 6 C 4 F having the molecular structure of the gas rather than cyclic 6 and C 5 F 8 gases may also be used. In addition, a C 2 F 6 gas, a C 3 F 8 gas, or a C 4 F 8 gas may be used instead of the CF 4 gas.

또한, 상술한 실시 양태에서는 종류가 다른 2계통의 플루오로카본 가스를 Ar/O2계 가스와 혼합하는 방법에 관해서 설명하였지만, 종류가 다른 3계통 이상의 플루오로카본 가스를 사용할 수도 있다. 또한, CH2F2 가스, CH3F 가스 등의 분자 구조 중에 수소를 갖는 하이드로플루오로카본계 가스를 추가로 첨가할 수도 있다. CH2F2 가스 등을 첨가하면, CH2F2 가스에 함유되어 있는 수소로 불소를 포착시킴으로 써, 에칭 가스의 C/F 비를 증가시키고 레지스트 선택비를 더욱 향상시킬 수 있다. In addition, in the above-described embodiment, a method of mixing two different types of fluorocarbon gas with an Ar / O 2 -based gas has been described, but three or more different types of fluorocarbon gas may be used. In addition, a hydrofluorocarbon gas having hydrogen may be further added to a molecular structure such as a CH 2 F 2 gas or a CH 3 F gas. By adding CH 2 F 2 gas or the like, the fluorine is trapped by the hydrogen contained in the CH 2 F 2 gas, thereby increasing the C / F ratio of the etching gas and further improving the resist selectivity.

또한, 상술한 실시 양태에서는 상부 전극과 하부 전극 모두에 고주파 전압을 인가하는 유형의 RIE 장치를 사용하여 에칭을 실시하는 방법에 관해서 설명하였지만, 마그네트론 RIE 장치, ECR(전자 사이클로트론 공명) 플라즈마 에칭 장치, HEP(헬리콘파 여기 플라즈마) 에칭 장치, ICP(유도 결합 플라즈마) 에칭 장치, TCP(전송 결합 플라즈마) 에칭 장치 등에 적용할 수도 있다. 이하, 본 발명의 실시예에 관해서 실험 데이터를 참조하면서 설명한다. In addition, in the above-described embodiment, a method of etching using a RIE apparatus of a type that applies a high frequency voltage to both the upper electrode and the lower electrode has been described. However, the magnetron RIE apparatus, the ECR (electron cyclotron resonance) plasma etching apparatus, It may be applied to a HEP (helicon wave excited plasma) etching apparatus, an ICP (inductively coupled plasma) etching apparatus, a TCP (transfer coupled plasma) etching apparatus, or the like. Hereinafter, the Example of this invention is described, referring an experimental data.

도 2a는 본 발명의 한 실시예에 관한 에칭 시료의 구성을 나타내는 단면도이다. 도 2a에 있어서, 실리콘 기판(21)상에는 산화 규소막(22)(열산화막)이 적층되고, 산화 규소막(22)상에는 개구부(24)가 형성된 포토레지스트막(23)이 적층되어 있다. 여기에서, 산화규소막(22)의 막 두께(Th)는 2㎛, 포토레지스트막(23)의 막 두께(Tr)는 600㎚, 개구부(24)의 직경(HФ)은 0.15㎛로 하였다. 이 도 2a의 샘플을 사용하고 도 1의 에칭 장치를 사용하여 에칭(ET)을 실시하였다. 2A is a cross-sectional view showing the configuration of an etching sample according to one embodiment of the present invention. In FIG. 2A, a silicon oxide film 22 (thermal oxide film) is laminated on the silicon substrate 21, and a photoresist film 23 having an opening 24 is laminated on the silicon oxide film 22. Here, the film thickness Th of the silicon oxide film 22 was 2 micrometers, the film thickness Tr of the photoresist film 23 was 600 nm, and the diameter HФ of the opening part 24 was 0.15 micrometer. Etching (ET) was performed using the sample of FIG. 2A and using the etching apparatus of FIG.

도 2b는 에칭 후의 보우잉 형상을 나타내는 단면도이다. 도 2b에 있어서, 도 2a의 샘플의 에칭(ET)을 실시하면, 보우잉 형상을 갖는 콘택트홀이 산화 규소막(22)내에 형성된다. 2B is a cross-sectional view showing the bowing shape after etching. In FIG. 2B, when the sample of FIG. 2A is etched (ET), a contact hole having a bowing shape is formed in the silicon oxide film 22.

보우잉의 정도를 나타내는 보우잉비는 보우잉 직경(Gc)/상부 직경(Tc)으로 정의된다. 이 보우잉비는 1이 가장 바람직하고, 바람직한 범위는 0.95 내지 1.05(±5% 이내)이다. 또한, 보우잉 직경(Gc)은 콘택트홀(25)의 도중(途中)에서 가장 팽창된 부분의 직경이고, 상부 직경(Tc)은 콘택트홀(25)의 최상부의 직경이다. The bowing ratio representing the degree of bowing is defined as the bowing diameter Gc / upper diameter Tc. The bowing ratio is most preferably 1, and the preferred range is 0.95 to 1.05 (within ± 5%). In addition, the bowing diameter Gc is the diameter of the most expanded part in the middle of the contact hole 25, and the upper diameter Tc is the diameter of the uppermost part of the contact hole 25. As shown in FIG.                 

또한, 하부 직경(Bc)은 콘택트홀(25)의 밑바닥의 직경이다. 하부 직경(Bc)/상부 직경(Tc)으로 정의되는 하부 직경비는 1이 가장 바람직하다. 그러나, 작은 직경이면서 깊은 홀의 경우, 하부 직경비는 작아진다. 일반적으로, 상부 직경 0.15㎛ 정도에서 홀의 깊이 2 내지 3㎛인 경우, 30% 오버 에칭되고 하부 직경비는 70% 정도이다. The lower diameter Bc is the diameter of the bottom of the contact hole 25. Most preferably, the lower diameter ratio defined by the lower diameter Bc / the upper diameter Tc is 1. However, in the case of a small diameter and a deep hole, the lower diameter ratio becomes smaller. Generally, when the depth of the hole is 2 to 3 μm at about 0.15 μm of the upper diameter, 30% is overetched and the lower diameter ratio is about 70%.

본 실시예에서 레지스트 마스크 선택비는 산화규소막(22)의 에칭 속도를 평탄부의 포토레지스트막(23)의 에칭 속도로 나눈 값이다. 레지스트 마스크 선택비는 클수록 좋은데, 바람직하게는 5.0 이상이다. In this embodiment, the resist mask selectivity is a value obtained by dividing the etching rate of the silicon oxide film 22 by the etching rate of the photoresist film 23 in the flat portion. The larger the resist mask selectivity is, the better, preferably 5.0 or more.

본 발명의 한 실시예에 관한 에칭 결과를 종래예와 비교하기 위해, 종래예에 의한 에칭을 실시하였다. 종래예의 에칭 조건으로서 C5F8/Ar/O2계 혼합 가스를 유량비 15/380/19sccm으로 사용하였다. 또한, 상부 전극(2)의 RF 전력을 2170W, 하부 전극(3)의 RF 전력을 1550W, 압력을 2.00Pa(15mTorr), 웨이퍼(W) 이면에서의 He 압력을 중앙에서는 2000Pa(15Torr) 가장자리에서는 3330Pa(25Torr), 상부 온도를 60℃, 벽(wall) 온도를 50℃, 하부 온도를 20℃로 설정하였다. 에칭 시간은 에칭 속도 및 레지스트 선택비를 구하는 경우 30% 언더 에칭의 조건으로 하고, 단면 형상을 평가하는 경우 30% 오버 에칭에 상당하는 4분 48초간으로 하였다. 전극 사이 간격은 25㎜이다. In order to compare the etching result concerning one Example of this invention with a conventional example, the etching by the conventional example was performed. As etching conditions of the conventional example, a C 5 F 8 / Ar / O 2 based mixed gas was used at a flow rate ratio of 15/380/19 sccm. In addition, the RF power of the upper electrode 2 is 2170 W, the RF power of the lower electrode 3 is 1550 W, the pressure is 2.00 Pa (15 mTorr), and the He pressure on the back surface of the wafer W is 2000 Pa (15 Torr) at the center. 3330 Pa (25 Torr), the upper temperature was set to 60 ° C, the wall temperature was set to 50 ° C, and the lower temperature was set to 20 ° C. Etching time was made into the conditions of 30% under etching when the etching rate and the resist selectivity were calculated | required, and was made into 4 minutes 48 seconds corresponded to 30% over etching when evaluating a cross-sectional shape. The distance between the electrodes is 25 mm.

이 경우, 웨이퍼(W)의 중앙, 중간 및 가장자리에 있어서, 에칭 속도가 각각 560, 558 및 504㎚/min, 패시트(facet)면에서의 레지스트 마스크 선택비가 각각 4.9, 5.4 및 5.0, 보우잉비가 각각 1.02, 1.06 및 1.03, 하부 직경(Bc)이 각각 107, 108 및 95㎚, 하부 직경비가 각각 71.3, 72.0 및 63.3%로, 보우잉 형상을 갖는 단면 형상이 수득되었다. In this case, in the center, middle and edge of the wafer W, the etching rates are 560, 558 and 504 nm / min, respectively, and the resist mask selectivity in the facet plane is 4.9, 5.4 and 5.0, bowing, respectively. A cross-sectional shape having a bowing shape was obtained, with ratios of 1.02, 1.06 and 1.03, respectively, lower diameters Bc of 107, 108 and 95 nm, and lower diameter ratios of 71.3, 72.0 and 63.3%, respectively.

한편, 본 실시예의 에칭 조건으로는 제 1 플루오로카본계 가스를 C4F6로 하고, 제 2 플루오로카본계 가스를 CF4로 한 C4F6/CF4/Ar/O2계 혼합 가스를 유량비 25/10/500/26sccm에서 사용하였다. 또한, 상부 전극(2)의 RF 전력을 1800W, 하부 전극(3)의 RF 전력을 1800W, 압력을 2.66Pa(20mTorr), 웨이퍼(W) 이면에서의 He 압력을 중앙에서는 665Pa(5Torr) 가장자리에서는 3330Pa(25Torr), 상부 온도를 60℃, 벽 온도를 50℃, 하부 온도를 -10℃로 설정하였다. 에칭 시간은 에칭 속도 및 레지스트 선택비를 구하는 경우 30% 언더 에칭의 조건으로 하고, 단면 형상을 평가하는 경우 30% 오버 에칭에 상당하는 4분 24초간으로 하였다. On the other hand, as the etching conditions of the present embodiment, C 4 F 6 / CF 4 / Ar / O 2 based mixtures in which the first fluorocarbon gas is C 4 F 6 and the second fluorocarbon gas is CF 4 Gas was used at flow rate ratio 25/10/500/26 sccm. In addition, the RF power of the upper electrode 2 is 1800 W, the RF power of the lower electrode 3 is 1800 W, the pressure is 2.66 Pa (20 mTorr), and the He pressure on the back surface of the wafer W is 665 Pa (5 Torr) at the center. 3330 Pa (25 Torr), upper temperature was set to 60 degreeC, wall temperature was set to 50 degreeC, and lower temperature was set to -10 degreeC. Etching time was made into the conditions of 30% under etching when the etching rate and the resist selectivity were calculated | required, and was made into 4 minutes and 24 second corresponded to 30% over etching when evaluating a cross-sectional shape.

이 경우, 웨이퍼(W)의 중앙, 중간 및 가장자리에 있어서, 에칭 속도가 각각 588, 606 및 622㎚/min, 패시트면에서의 레지스트 마스크 선택비가 각각 5.7, 5.3 및 5.5, 보우잉비가 각각 1.00, 1.00 및 1.00, 하부 직경(Bc)이 각각 99, 93 및 109㎚, 하부 직경비가 각각 66.0%, 62.0% 및 72.7%로, 보우잉 형상이 없는 단면 형상이 수득되었다. In this case, in the center, middle and edge of the wafer W, the etching rates are 588, 606 and 622 nm / min, respectively, the resist mask selectivity in the facet surface is 5.7, 5.3 and 5.5, and the bowing ratio is 1.00, respectively. , 1.00 and 1.00, lower diameters (Bc) were 99, 93 and 109 nm, respectively, and the lower diameter ratios were 66.0%, 62.0% and 72.7%, respectively, thereby obtaining cross-sectional shapes without bowing shapes.

이와 같이 C5F8/Ar/O2계 혼합 가스 대신 C4F6/CF4/Ar/O2계 혼합 가스를 사용하여 에칭을 실시함으로써, 보우잉비를 ±5% 이내로 억제하는 것이 가능해짐과 동시에, 에칭 속도를 약 1.12배, 레지스트 선택비를 약 1.08배 향상시킬 수 있었다.Thus C 5 F is available to suppress 8 / Ar / O instead of the second-gas mixture using the C 4 F 6 / CF 4 / Ar / O 2 based gas mixture of by performing the etching, the bowing ratio within ± 5% At the same time, the etching rate was about 1.12 times and the resist selectivity was about 1.08 times.

또한, C4F6/CF4/Ar/O2계 혼합 가스 대신 제 2 플루오로카본계 가스를 C2F6로 한 C4F6/C2F6/Ar/O2계 혼합 가스를 사용하여 에칭을 실시하였다. 단면 형상을 평가할 때 30% 오버 에칭의 에칭 시간은 4분 32초, 그 밖의 에칭 조건은 상술한 실시예와 동일하다. In addition, C 4 F 6 / CF 4 / Ar / O 2 based mixed gas instead of carbon-based gas in the second fluoro-C 2 F 6 C 4 F 6 / C 2 F 6 / Ar / O 2 based gas mixture It etched using. When evaluating the cross-sectional shape, the etching time of 30% over etching is 4 minutes 32 seconds, and other etching conditions are the same as in the above-described embodiment.

이 경우, 웨이퍼(W)의 중앙, 중간 및 가장자리에 있어서, 에칭 속도가 각각 608, 636 및 686㎚/min, 패시트면에서의 레지스트 마스크 선택비가 각각 6.2, 5.9 및 6.0, 보우잉비가 각각 0.98, 0.99 및 1.00, 하부 직경(Bc)이 각각 105, 99 및 99㎚, 하부 직경비가 각각 70.0%, 66.0% 및 66.0%이었다. In this case, in the center, middle and edge of the wafer W, the etching rates are 608, 636 and 686 nm / min, the resist mask selectivity on the facet surface is 6.2, 5.9 and 6.0, respectively, and the bowing ratio is 0.98, respectively. , 0.99 and 1.00, lower diameters (Bc) were 105, 99 and 99 nm, respectively, and the lower diameter ratios were 70.0%, 66.0% and 66.0%, respectively.

이와 같이, C4F6/CF4/Ar/O2계 혼합 가스 대신 C4F6/C2F6/Ar/O2계 혼합 가스를 사용하여 에칭을 실시함으로써, 보우잉비를 ±5% 이내로 억제하면서 에칭 속도를 약 1.19배, 레지스트 선택비를 1.18배 향상시킬 수 있었다. Thus, etching is performed using the C 4 F 6 / C 2 F 6 / Ar / O 2 based mixed gas instead of the C 4 F 6 / CF 4 / Ar / O 2 based mixed gas, thereby reducing the bowing ratio to ± 5. The etching rate was increased by about 1.19 times and the resist selectivity by 1.18 times while being suppressed to within%.

또한, C4F6/CF4/Ar/O2계 혼합 가스 대신 제 1 플루오로카본계 가스를 C5F8로 한 C5F8/CF4/Ar/O2계 혼합 가스를 사용하여 에칭을 실시하였다. 샘플은 하지(下地)가 실리콘이고, 그 위에 3㎛ 두께의 BPSG막을 형성한 것이다. 또한, 에칭에 의해서 형성한 홀의 직경은 0.25㎛이다. In addition, instead of the C 4 F 6 / CF 4 / Ar / O 2 -based mixed gas, a C 5 F 8 / CF 4 / Ar / O 2 -based mixed gas having the first fluorocarbon gas as C 5 F 8 was used. Etching was performed. The sample is silicon in the base, and a BPSG film having a thickness of 3 μm is formed thereon. In addition, the diameter of the hole formed by etching is 0.25 micrometer.

에칭 조건은 유량비가 25/15/500/25sccm, 상부 전극(2)의 RF 전력이 1750W, 하부 전극(3)의 RF 전력이 1800W, 압력이 2.66Pa(20mTorr), 웨이퍼(W) 이면에서의 He 압력이 중앙에서는 665Pa(5Torr) 가장자리에서는 3330Pa(25Torr), 상부 온도가 20℃, 벽 온도가 60℃, 하부 온도가 50℃, 에칭 시간이 30% 오버 에칭에 상당하는 시간이다. The etching conditions are 25/15/500 / 25sccm, the RF power of the upper electrode 2 is 1750W, the RF power of the lower electrode 3 is 1800W, the pressure is 2.66 Pa (20 mTorr), and the wafer W He pressure is 3330 Pa (25 Torr) at the edge of 665 Pa (5 Torr) in the center, upper temperature is 20 ° C, wall temperature is 60 ° C, lower temperature is 50 ° C, and etching time is equivalent to 30% over etching.

이 경우, 웨이퍼(W)의 중앙, 중간 및 가장자리에 있어서의 평균 에칭 속도는 680.5㎚/min이었다. In this case, the average etching rate in the center, the middle, and the edge of the wafer W was 680.5 nm / min.

또한, 웨이퍼(W)의 중앙, 중간 및 가장자리에 있어서, 패시트면에서의 레지스트 마스크의 잔막량은 각각 184, 158 및 86㎚(초기막 두께 약 800㎚), 보우잉비가 각각 1.00, 1.00 및 1.00, 하부 직경비가 각각 0.59, 0.59 및 0.59이었다. In the center, middle, and edge of the wafer W, the remaining film amounts of the resist mask on the facet surface were 184, 158 and 86 nm (initial film thickness of about 800 nm), and the bowing ratios were 1.00, 1.00, and 1.00, lower diameter ratios were 0.59, 0.59 and 0.59, respectively.

한편, 비교예로서 상기 가스계로부터 CF4를 제외하고, 다른 조건은 상기의 경우와 같은 C5F8/Ar/O2계 혼합 가스를 사용하여 에칭을 실시하였다. 그 결과, 평균 에칭 속도가 561.1㎚/min, 웨이퍼(W)의 중앙, 중간 및 가장자리에 있어서, 패시트면에서의 레지스트 마스크의 잔막량이 각각 91, 112 및 33㎚(초기막 두께 약 800nm), 보우잉비가 각각 1.15, 1.10 및 1.05, 하부 직경비가 각각 0.77, 0.67 및 0.62이었다. On the other hand, except for CF 4 from the gas system as a comparative example, the other conditions were etched using the C 5 F 8 / Ar / O 2 -based mixed gas as in the above case. As a result, the average etching rate was 561.1 nm / min, and the remaining film amounts of the resist mask on the facet surface at the center, middle and edge of the wafer W were 91, 112 and 33 nm (initial film thickness of about 800 nm), respectively. The bowing ratios were 1.15, 1.10 and 1.05, and the lower diameter ratios were 0.77, 0.67 and 0.62, respectively.

이와 같이, 제 1 플루오로카본계 가스로서 C5F8을 사용하고 C5F8/CF4/Ar/O2계 혼합 가스를 사용한 경우, 하부 직경비가 약간 악화되지만, 보우잉비가 1.00으로 양호하고, 비교예에 비해 에칭 속도를 약 1.20배 향상시킬 수 있었다. 또한, 비교예에 비해 레지스트 마스크의 잔막량이 많다는 점에서, 레지스트 마스크 선택비도 향상되었음을 알 수 있다. Thus, when C 5 F 8 is used as the first fluorocarbon gas and C 5 F 8 / CF 4 / Ar / O 2 based gas is used, the lower diameter ratio is slightly worsened, but the bowing ratio is good at 1.00. In addition, the etching rate was improved by about 1.20 times compared with the comparative example. In addition, it can be seen that the resist mask selectivity was also improved in that the residual film amount of the resist mask was larger than that of the comparative example.

도 3은 본 발명의 한 실시예에 관한 플루오로카본의 종류 및 유량비를 파라 미터로 한 경우의 에칭 특성을 나타낸 것이다. 처리 조건은 상술한 실시예와 동일하고, 오버 에칭은 30%이다. 도 3에 있어서, C/F 비가 큰 제 1 플루오로카본계 가스는 C4F6, C/F 비가 작은 제 2 플루오로카본계 가스는 CxFy로 나타내고, 곡선 A1 및 A2는 CxFy= CF4, 곡선 B1 및 B2는 CxF y= C2F6, 곡선 C1 및 C2는 CxFy = C3F8, 곡선 D1 및 D2는 CxFy= C4F8의 경우를 나타낸다. Fig. 3 shows the etching characteristics when the type and flow rate ratio of the fluorocarbons according to one embodiment of the present invention are parameters. Processing conditions are the same as in the above-described embodiment, and the over etching is 30%. In FIG. 3, the first fluorocarbon gas having a large C / F ratio is C 4 F 6 , the second fluorocarbon gas having a small C / F ratio is represented by C x F y , and curves A1 and A2 are C x. F y = CF 4 , curves B 1 and B 2 are C x F y = C 2 F 6 , curves C 1 and C 2 are C x F y = C 3 F 8 , curves D 1 and D 2 are C x F y = indicates the case of C 4 F 8.

도 3에 있어서, 총 가스 유량이 동일하고(35sccm), CxFy 가스에 대한 C4F6 가스의 유량비(C4F6 가스 유량/CxFy 가스유량)가 커지면, 레지스트 마스크 선택비는 향상되고, 에칭 속도도 증대된다. 이것은 가스 전체로서의 C/F 비의 증가에 의한 에칭종의 증가 및 탄소계 중합체의 퇴적이 에칭 속도 향상 및 레지스트 마스크 선택비 향상에 작용하기 때문이라고 생각된다. 3, the larger the total gas flow rate is the same and (35sccm), flow rate (C 4 F 6 gas flow rate / C x F y gas flow rate) of the C 4 F 6 gas to the C x F y gas, resist selection mask The ratio is improved and the etching rate is also increased. This is considered to be because the increase in the etching species and the deposition of the carbon-based polymer by the increase of the C / F ratio as the whole gas act on the improvement of the etching rate and the resist mask selectivity.

한편, C4F6 가스의 유량이 동일하고(25sccm) CxFy 가스의 유량이 증가하면, 에칭 속도는 증대되지만 레지스트 마스크 선택비는 저하된다. 이것은 CxFy 가스의 유량이 증가하면, 가스 전체에서의 C/F 비가 저하됨으로써 탄소계 중합체의 퇴적이 감소되기 때문이라고 생각된다. 이 때문에 레지스트 마스크 선택비의 관점에서는 CxFy 가스에 대한 C4F6 가스의 유량비(C4F6 가스 유량/CxFy 가스 유량)는 0.5 이상인 것이 바람직하고, 1 이상이 더욱 바람직하다. 또한, 에칭 속도의 관점에서는 C4F6 가스의 유량은 20sccm 이상인 것이 바람직하다. On the other hand, if the flow rate of the C 4 F 6 gas is the same (25 sccm) and the flow rate of the C x F y gas is increased, the etching rate is increased but the resist mask selectivity is lowered. This is considered to be because when the flow rate of the C x F y gas increases, the C / F ratio in the entire gas decreases, thereby reducing the deposition of the carbon-based polymer. For this reason, it is preferable, more preferably at least 1 more than the resist in view of the mask selectivity is 0.5 flow ratio (C 4 F 6 gas flow rate / C x F y gas flow rate) of the C 4 F 6 gas to the C x F y gas Do. From the viewpoint of the etching rate, the flow rate of the C 4 F 6 gas is preferably 20 sccm or more.

도 4는 본 발명의 한 실시예에 관한 에칭 가스 전체의 C/F 비 계산 결과를 나타낸 것이다. 4 shows the results of calculating the C / F ratio of the entire etching gas according to the embodiment of the present invention.

도 4에 있어서, CxFy 가스에 대한 C4F6 가스의 유량비가 1 이상인 경우, 에칭 가스 전체의 C/F 비가 0.5 이상이라는 것을 알 수 있다. 도 3에 있어서, C/F 비가 0.5 이상인 경우를 동그라미 표시로 나타냈다. 도 3에 나타낸 바와 같이, 레지스트 마스크 선택비를 향상시키기 위해서는 두 가지 플루오로카본계 가스가 혼합된 에칭 가스 전체의 C/F 비를 0.5 이상으로 하는 것이 바람직하다. In FIG. 4, when the flow rate ratio of the C 4 F 6 gas to the C x F y gas is 1 or more, it can be seen that the C / F ratio of the entire etching gas is 0.5 or more. In FIG. 3, the case where C / F ratio is 0.5 or more is shown by the circle display. As shown in FIG. 3, in order to improve the resist mask selectivity, it is preferable to make the C / F ratio of the whole etching gas which mixed two fluorocarbon-type gas into 0.5 or more.

또한, CxFy를 CF4 -> C2F6 -> C3F8 -> C4F8로 바꿈으로써, 레지스트 마스크 선택비를 거의 일정하게 유지한 채로 에칭 속도를 향상시킬 수 있다. 이것은 CxFy 중의 C의 수(x)의 증가에 의해 에칭종이 증가하는 CxFy 중의 C/F 비(x/y)가 0.5 이하로 유지되고 있으므로, 탄소계 중합체의 퇴적의 영향이 작기 때문이라고 생각된다. 이 때문에 에칭 속도의 관점에서는 CxFy에서의 C의 수(x)는 큰 것이 바람직하다. In addition, by changing C x F y to CF 4- > C 2 F 6- > C 3 F 8- > C 4 F 8 , the etching rate can be improved while keeping the resist mask selectivity almost constant. This is a C x F y C / F ratio (x / y) the influence of the deposition of the carbon-based polymer, so is maintained at more than 0.5 of increasing etch paper by an increase in the C x F y number (x) of the of the C It seems to be small. For this reason, from the viewpoint of the etching rate of the number of C x F y C in (x) it is preferably large.

또한, CxFy= C4F8인 경우, C의 수(x)가 크기 때문에 탄소계 중합체의 퇴적이 촉진된다. 이 때문에 종횡비가 10 이상인 컨택트홀을 SiO2막에 형성하면 홀의 누락성이 나빠진다. 단, PSG막, BSG막, BPSG막, AsSG막, AsPSG막, AsBSG막 등의 저융점막에 대해서는 홀의 누락성을 유지하는 것이 가능하기 때문에, CxFy= C4F 8의 혼합 가스는 이들의 저융점막의 에칭에 특히 적합하다. In addition, when C x F y = C 4 F 8 , deposition of the carbon-based polymer is promoted because the number (x) of C is large. For this reason, when a contact hole having an aspect ratio of 10 or more is formed in the SiO 2 film, the hole omission becomes worse. However, the low melting point films such as the PSG film, the BSG film, the BPSG film, the AsSG film, the AsPSG film, and the AsBSG film can maintain the hole missing property. Therefore, the mixed gas of C x F y = C 4 F 8 It is especially suitable for the etching of the low melting point film.

도 5 내지 7은 각각 C/F 비가 작은 제 2 플루오로카본계 가스의 유량(첨가량)을 변화시킨 경우 에칭 속도, 평탄부에서의 레지스트 마스크 선택비, 보우잉비 및 하부 직경비의 변화를 조사한 결과를 나타낸 것이다. 도 5는 CF4인 경우, 도 6은 C2F6인 경우, 도 7은 C3F8인 경우이다. 또한, 이들 도면에 있어서, 도 5a, 도 6a 및 도 7a는 에칭 속도와 평탄부에서의 레지스트 마스크 선택비의 변화를 나타내고, 도 5b, 도 6b 및 도 7b는 보우잉비 및 하부 직경비의 변화를 나타내고 있다. 에칭 조건은 C4F6/CxFy/Ar/O2의 유량비가 35/0 내지 35/700/36sccm, 상부 전극(2)의 RF 전력이 2200W, 하부 전극(3)의 RF 전력이 1800W, 압력이 2.66Pa(20mTorr), 웨이퍼(W) 이면에서의 He 압력이 중앙에서는 665Pa(5Torr) 가장자리에서는 3330Pa(25Torr), 상부 온도가 60℃, 벽 온도가 50℃, 하부 온도가 -10℃이다. 5 to 7 illustrate changes in etching rate, resist mask selection ratio, bowing ratio, and lower diameter ratio when the flow rate (addition amount) of the second fluorocarbon gas having a small C / F ratio is changed. The results are shown. 5 is CF 4 , FIG. 6 is C 2 F 6 , and FIG. 7 is C 3 F 8 . 5A, 6A, and 7A show changes in the etching mask and the resist mask selectivity in the flat portion, and FIGS. 5B, 6B, and 7B show changes in the bowing ratio and the lower diameter ratio. Indicates. Etching conditions are C 4 F 6 / C x F y / Ar / O 2 flow rate ratio of 35/0 to 35/700/36 sccm, RF power of the upper electrode 2 is 2200W, RF power of the lower electrode 3 1800 W, pressure is 2.66 Pa (20 mTorr), He pressure at the back of wafer (W) is 330 Pa (25 Torr) at the edge 665 Pa (5 Torr) in the center, top temperature is 60 ° C, wall temperature is 50 ° C, bottom temperature is -10 ℃.

에칭 시간은 에칭 속도 및 레지스트 선택비를 구하는 경우 30% 언더 에칭의 조건으로 하고, 단면 형상을 평가하는 경우 30% 오버 에칭에 상당하는 시간으로 하였다. Etching time was made into the conditions of 30% under etching when an etching rate and a resist selectivity were calculated | required, and when evaluating sectional shape, it was made into time equivalent to 30% over etching.

이들 도면에 나타낸 바와 같이, CF4, C2F6 및 C3F8를 첨가함으로써, 에칭 속도가 향상되고, 보우잉비도 개선되고, 하부 직경비도 개선된다. 한편, 레지스트 마스크 선택비는 CF4, C2F6 및 C3F8를 첨가함으로써, 일단 상승하지만 첨가량을 증가시키면 점차로 저하되는 경향이 있다. 이 때문에 CF4, C2F6 및 C3F8의 첨가량은 도면 중에 세로의 실선을 그은 C/F 비= 0.52 이상이 되는 범위(실선보다 좌측)로 하는 것이 바람직하다.As shown in these figures, by adding CF 4 , C 2 F 6 and C 3 F 8 , the etching rate is improved, the bowing ratio is improved, and the lower diameter ratio is also improved. On the other hand, the resist mask selectivity tends to increase once by adding CF 4 , C 2 F 6 and C 3 F 8 , but gradually decreases when the addition amount is increased. For this reason, it is preferable that the CF 4, C 2 F 6 and C 3 F 8 is drawn amount of the vertical solid line in the figure C / F ratio of the range is at least 0.52 (the left side than the solid line).

그런데, 이른바 셀프 얼라인 기술에 의해 콘택트홀(셀프 얼라인 콘택트)을 형성하는 에칭 공정에서는 도 8에 도시한 바와 같이 레지스트 마스크(31)를 통해 실리콘 산화막(32) 등을 에칭하고 실리콘 기판(33)에 이르는 콘택트홀(34)을 형성할 때, 하층에 형성된 게이트 전극(35)의 주위에 형성된 실리콘 질화막(SiN막)(36)이 노출되는 경우가 있다. By the way, in the etching process of forming a contact hole (self-aligned contact) by a so-called self-align technique, the silicon oxide film 32 or the like is etched through the resist mask 31 as shown in FIG. When forming the contact hole 34 up to), the silicon nitride film (SiN film) 36 formed around the gate electrode 35 formed in the lower layer may be exposed.

상기한 바와 같이 실리콘 질화막이 노출되는 공정에 있어서는, 콘택트홀을 형성하는 에칭에 있어서 실리콘 질화막에 대한 실리콘 산화막의 선택비(실리콘 질화막 선택비)를 높게 해야 한다. 도 9는 CF4의 첨가량의 차이에 의한, 실리콘 산화막(BPSG막)의 에칭 속도, 레지스트 마스크 선택비(패시트부) 및 실리콘 질화막 선택비(SiN 선택비)의 변화를 측정한 결과를 나타낸 것이다. As described above, in the step of exposing the silicon nitride film, the selectivity (silicon nitride film selectivity) of the silicon oxide film to the silicon nitride film must be high in the etching for forming the contact hole. Fig. 9 shows the results of measuring changes in the etching rate, resist mask selectivity (facet portion) and silicon nitride selectivity (SiN selectivity) of the silicon oxide film (BPSG film) due to the difference in the amount of CF 4 added. .

에칭 조건은 C4F6/CF4/Ar/O2의 유량비가 16/0 내지 10/800/16sccm, 상부 전극(2)의 RF 전력이 1530W, 하부 전극(3)의 RF 전력이 1350W, 압력이 3.99Pa(30mTorr), 웨이퍼(W) 이면에서의 He 압력이 중앙에서는 665Pa(5Torr) 가장자리에서는 1330Pa(10Torr), 상부 온도가 40℃, 벽 온도가 60℃, 하부 온도가 50℃이다. 또한, 에칭 시간은 에칭 속도 및 레지스트 마스크 선택비를 측정한 경우가 90초, 실리콘 질화막 선택비를 측정한 경우가 100% 오버 에칭에 상당하는 시간이다. 또한, 실리콘 산화막의 두께는 1400㎚, 콘택트 홀 직경은 400㎚이다. Etching conditions are C 4 F 6 / CF 4 / Ar / O 2 flow rate ratio of 16/0 to 10/800/16 sccm, RF power of the upper electrode 2 1530W, RF power of the lower electrode 3 1350W, The pressure is 3.99 Pa (30 mTorr), the He pressure on the backside of the wafer W is 1330 Pa (10 Torr) at the edge of 665 Pa (5 Torr) at the center, the upper temperature is 40 ° C, the wall temperature is 60 ° C, and the lower temperature is 50 ° C. In addition, etching time is a time equivalent to 100 second over etching in the case where the etching rate and the resist mask selectivity were measured for 90 second, and the silicon nitride film selectivity was measured. The thickness of the silicon oxide film is 1400 nm and the contact hole diameter is 400 nm.

동 도면에 도시한 바와 같이 CF4를 첨가함으로써, 에칭 속도 및 SiN 선택비가 향상된다. 단, CF4의 첨가량이 증가하면, 레지스트 마스크 선택비가 저하되는 경향이 있다. 따라서, 동 도면에 나타내는 예로서는 CF4의 첨가량이 10sccm 정도 이하, C/F 비로 나타내면 도면 중 실선을 그은 C/F 비= 0.54 이상(실선보다 좌측)으로 하는 것이 바람직하다.By adding CF 4 as shown in the figure, the etching rate and the SiN selectivity are improved. However, when the addition amount of CF 4 increases, the resist mask selectivity tends to decrease. Therefore, as an example shown in the figure, when the addition amount of CF 4 is about 10 sccm or less and the C / F ratio, it is preferable that the solid line drawn in the figure is C / F ratio = 0.54 or more (left side than the solid line).

또한, 실리콘 질화막이 실리콘 산화막상에 형성된 구조에 있어서 실리콘 산화막을 에칭하는 경우라도, 상기 경우와 동일한 효과를 얻을 수 있다. Further, even when the silicon oxide film is etched in the structure in which the silicon nitride film is formed on the silicon oxide film, the same effects as in the above case can be obtained.

도 10은 실리콘 산화막(P-SiO2막)의 에칭 속도, 레지스트 마스크 선택비(패시트부), 보우잉비(보우잉 CD비), 하부 직경비(하부 직경 CD비) 및 실리콘 질화막 선택비의 온도 의존성을 측정한 결과를 나타낸 것이다. 10 shows the etching rate of the silicon oxide film (P-SiO 2 film), resist mask selection ratio (facet portion), bowing ratio (bowing CD ratio), lower diameter ratio (lower diameter CD ratio), and silicon nitride film selection ratio. It shows the result of measuring the temperature dependency of.

에칭 조건은 C4F6/CF4/Ar/O2계 혼합 가스를 유량비 24/9/700/30sccm(하부 온도= -20 및 0℃(웨이퍼 온도= 80 및 100℃)인 경우) 및 30/11/850/36sccm(하부 온도= 0 및 20℃(웨이퍼 온도= 100 및 120℃)인 경우)로 하였다. Etching conditions for C 4 F 6 / CF 4 / Ar / O 2 based mixed gas flow rate ratio 24/9/700/30 sccm (when bottom temperature = -20 and 0 ℃ (wafer temperature = 80 and 100 ℃)) and 30 / 11/850/36 sccm (when bottom temperature = 0 and 20 degreeC (wafer temperature = 100 and 120 degreeC)).

다른 에칭 조건은 상부 전극(2)의 RF 전력을 1800W, 하부 전극(3)의 RF 전력을 2100W, 압력을 2.66Pa(20mTorr) 내지 3.33Pa(25mTorr), 웨이퍼(W) 이면에서의 He 압력을 중앙에서는 2000Pa(15Torr) 가장자리에서는 4660Pa(35Torr), 상부(top) 온도를 60℃, 벽 온도를 50℃, 하부 온도를 -20 내지 20℃(웨이퍼 온도가 80 내지 120℃)로 설정하였다. 에칭 시간은 실리콘 산화막의 에칭 속도와 레지스트 마스크 선택비를 구하는 경우 30%의 언더 에칭으로 하고, 그 이외는 20% 오버 에칭에 상당하는 시간으로 하였다. Other etching conditions include 1800 W of RF power of the upper electrode 2, 2100 W of RF power of the lower electrode 3, 2.66 Pa (20 mTorr) to 3.33 Pa (25 mTorr) of pressure, and He pressure on the backside of the wafer W. In the center, 2000 Pa (15 Torr) was set at 4660 Pa (35 Torr), the top temperature was 60 ° C, the wall temperature was 50 ° C, and the bottom temperature was -20 to 20 ° C (the wafer temperature was 80 to 120 ° C). Etching time was 30% under etching when the etching rate of a silicon oxide film and the resist mask selectivity were calculated | required, and let it be time equivalent to 20% over etching other than that.

동 도면에 도시된 바와 같이, 에칭 속도, 레지스트 마스크 선택비, 보우잉비, 하부 직경비 및 실리콘 질화막 선택비는 각각 온도 의존성이 있다는 것을 알 수 있다. As shown in the figure, it can be seen that the etching rate, resist mask selectivity, bowing ratio, lower diameter ratio, and silicon nitride film selectivity are each temperature dependent.

에칭 속도 및 레지스트 마스크 선택비는 웨이퍼 온도가 낮은 쪽이 크지만, 실리콘 질화막 선택비, 보우잉비 및 하부 직경비는 웨이퍼 온도가 높은 쪽이 바람직하다. 즉, 이들은 트레이드 오프 관계에 있다는 것을 알 수 있다. 웨이퍼 온도가 140℃(하부 온도 40℃)를 초과하면 레지스트가 연화 및 변질되기 때문에 마스크로서의 형상이 유지되지 않는다. 따라서, 웨이퍼 온도는 80 내지 120℃로 하는 것이 바람직하다. The etching rate and resist mask selectivity are higher at lower wafer temperatures, but the silicon nitride film selectivity, bowing ratio, and lower diameter ratio are preferably higher at wafer temperatures. That is, they are in a trade-off relationship. If the wafer temperature exceeds 140 占 폚 (bottom temperature 40 占 폚), the resist softens and deteriorates, so that the shape as a mask is not maintained. Therefore, it is preferable to make wafer temperature into 80-120 degreeC.

이상 설명한 바와 같이 본 발명에 따르면, 두 가지 이상의 플루오로카본계 가스를 혼합시켜 에칭을 실시함으로써, 보우잉의 발생을 억제하는 것이 가능해짐과 동시에, 에칭 속도 및 레지스트 마스크 선택비를 향상시킬 수 있다. 또한, 실리콘 질화막이 노출되어 있는 경우, 실리콘 질화막 선택비를 향상시킬 수 있다. As described above, according to the present invention, by mixing two or more fluorocarbon-based gases and performing etching, it is possible to suppress the occurrence of bowing and to improve the etching rate and the resist mask selectivity. . In addition, when the silicon nitride film is exposed, the silicon nitride film selectivity can be improved.

산업상 이용 가능성Industrial availability

본 발명에 따른 절연막의 에칭 방법은 반도체 장치의 제조를 실시하는 반도체 제조 산업 등에서 사용할 수 있다. 따라서, 산업상의 이용 가능성을 갖는다. The etching method of the insulating film which concerns on this invention can be used in the semiconductor manufacturing industry etc. which manufacture a semiconductor device. Thus, it has industrial applicability.

Claims (11)

에칭 가스로서, C의 수가 4 이상이고 C/F 비가 0.625 이상인 제 1 플루오로카본계 가스, F의 수가 4 이상이고 C/F 비가 0.5 이하인 제 2 플루오로카본계 가스, Ar 가스 및 O2 가스를 적어도 함유하는 혼합 가스를 사용하는 절연막의 에칭 방법으로서, As the etching gas, a first fluorocarbon gas having a C number of 4 or more and a C / F ratio of 0.625 or more, a second fluorocarbon gas having an F number of 4 or more and a C / F ratio of 0.5 or less, an Ar gas and an O 2 gas As an etching method of an insulating film using a mixed gas containing at least 상기 제 1 플루오로카본계 가스 대 제 2 플루오로카본계 가스의 유량비(제 1 플루오로카본계 가스 유량/제 2 플루오로카본계 가스 유량)가 0.50 이상이고, 상기 혼합 가스 전체의 C/F 비가 0.52 이상인 것을 특징으로 하는 절연막의 에칭 방법. The flow rate ratio of the first fluorocarbon gas to the second fluorocarbon gas (first fluorocarbon gas flow rate / second fluorocarbon gas flow rate) is 0.50 or more, and C / F of the entire mixture gas The etching method of the insulating film characterized by the above-mentioned. 제 1 항에 있어서, The method of claim 1, 제 1 플루오로카본계 가스가 C5F8 가스 또는 C4F6 가스인 것을 특징으로 하는 절연막의 에칭 방법. The method of etching an insulating film, wherein the first fluorocarbon gas is a C 5 F 8 gas or a C 4 F 6 gas. 제 2 항에 있어서,The method of claim 2, 제 2 플루오로카본계 가스가 CF4 가스, C2F6 가스, C3F8 가스 및 C4F8 가스로부터 선택된 어느 하나인 것을 특징으로 하는 절연막의 에칭 방법. The second fluorocarbon gas is any one selected from CF 4 gas, C 2 F 6 gas, C 3 F 8 gas and C 4 F 8 gas. 삭제delete 제 1 항에 있어서,The method of claim 1, 혼합 가스가 하이드로플루오로카본계 가스를 추가로 함유하는 것을 특징으로 하는 절연막의 에칭 방법. The mixed gas further contains a hydrofluorocarbon type gas, The etching method of the insulating film characterized by the above-mentioned. 제 5 항에 있어서,The method of claim 5, 하이드로플루오로카본계 가스가 CH2F2 가스인 것을 특징으로 하는 절연막의 에칭 방법. A method of etching an insulating film, wherein the hydrofluorocarbon gas is CH 2 F 2 gas. 삭제delete 제 1 항에 있어서, The method of claim 1, 절연막이 실리콘 산화막인 것을 특징으로 하는 절연막의 에칭 방법. The insulating film is a silicon oxide film, the etching method of the insulating film. 제 8 항에 있어서,The method of claim 8, 실리콘 산화막의 상층 또는 하층에 실리콘 질화막이 노출되는 것을 특징으로 하는 절연막의 에칭 방법. A silicon nitride film is exposed to an upper layer or a lower layer of a silicon oxide film. 제 9 항에 있어서,The method of claim 9, 실리콘 산화막의 에칭이 셀프 얼라인 콘택트(self align contact)의 형성 공정에서 실시되는 것을 특징으로 하는 절연막의 에칭 방법. An etching method of an insulating film, wherein etching of the silicon oxide film is carried out in a step of forming a self align contact. 에칭 가스로서, C의 수가 4 이상이고 C/F 비가 0.625 이상인 제 1 플루오로카본계 가스, F의 수가 4 이상이고 C/F 비가 0.5 이하인 제 2 플루오로카본계 가스, Ar 가스 및 O2 가스를 적어도 함유하는 혼합 가스를 사용하는 절연막의 에칭 방법으로서,As the etching gas, a first fluorocarbon gas having a C number of 4 or more and a C / F ratio of 0.625 or more, a second fluorocarbon gas having an F number of 4 or more and a C / F ratio of 0.5 or less, an Ar gas and an O 2 gas As an etching method of an insulating film using a mixed gas containing at least 상기 절연막이 형성된 기판의 온도를 80 내지 120℃로 하는 것을 특징으로 하는 절연막의 에칭 방법.The temperature of the substrate on which the insulating film is formed is 80 to 120 ℃ etching method of an insulating film.
KR1020037008446A 2000-12-21 2001-12-13 Etching method for insulating film KR100782632B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000389151 2000-12-21
JPJP-P-2000-00389151 2000-12-21
PCT/JP2001/010932 WO2002050885A1 (en) 2000-12-21 2001-12-13 Etching method for insulating film

Publications (2)

Publication Number Publication Date
KR20030066747A KR20030066747A (en) 2003-08-09
KR100782632B1 true KR100782632B1 (en) 2007-12-06

Family

ID=18855762

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037008446A KR100782632B1 (en) 2000-12-21 2001-12-13 Etching method for insulating film

Country Status (7)

Country Link
US (1) US20040035826A1 (en)
JP (1) JP4008352B2 (en)
KR (1) KR100782632B1 (en)
CN (1) CN1249788C (en)
AU (1) AU2002222631A1 (en)
TW (1) TW521335B (en)
WO (1) WO2002050885A1 (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4164643B2 (en) * 2002-07-17 2008-10-15 日本ゼオン株式会社 Dry etching method and method for producing perfluoro-2-pentyne
JP4963156B2 (en) * 2003-10-03 2012-06-27 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP4723871B2 (en) * 2004-06-23 2011-07-13 株式会社日立ハイテクノロジーズ Dry etching equipment
US7794616B2 (en) * 2004-08-09 2010-09-14 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
KR100650835B1 (en) * 2004-10-29 2006-11-27 에스티마이크로일렉트로닉스 엔.브이. Method of forming field oxide layer in semiconductor device
US7416676B2 (en) * 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
JP2007242753A (en) * 2006-03-07 2007-09-20 Tokyo Electron Ltd Plasma etching method, plasma etching apparatus, control program, and computer storage medium
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
JP5214152B2 (en) * 2007-02-08 2013-06-19 東京エレクトロン株式会社 Plasma etching method, plasma etching apparatus, control program, and computer storage medium
JP4450245B2 (en) * 2007-06-07 2010-04-14 株式会社デンソー Manufacturing method of semiconductor device
JP4978512B2 (en) * 2008-02-29 2012-07-18 日本ゼオン株式会社 Plasma etching method
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
CN103578973B (en) * 2012-07-29 2017-09-05 中国科学院微电子研究所 The circulation lithographic method of silicon nitride high depth-to-width ratio hole
CN103903978B (en) * 2012-12-27 2016-12-28 南亚科技股份有限公司 Engraving method
CN106297831B (en) * 2015-05-21 2020-04-21 新科实业有限公司 Method of forming a pattern on a substrate
JP6836959B2 (en) 2017-05-16 2021-03-03 東京エレクトロン株式会社 Plasma processing equipment, processing systems, and methods for etching porous membranes
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990032708A (en) * 1997-10-20 1999-05-15 구자홍 Intermittent video recording and playback device
KR19990063377A (en) * 1997-12-24 1999-07-26 가네꼬 히사시 Semiconductor device manufacturing method
KR20000004863A (en) * 1998-06-09 2000-01-25 다니구찌 이찌로오, 기타오카 다카시 Integrated circuit device
KR20000071381A (en) * 1999-02-25 2000-11-25 이데이 노부유끼 Dry etching method and method of manufacturing semiconductor apparatus

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3038950B2 (en) * 1991-02-12 2000-05-08 ソニー株式会社 Dry etching method
JP3154128B2 (en) * 1991-05-24 2001-04-09 ソニー株式会社 Dry etching method
JP3116569B2 (en) * 1992-06-29 2000-12-11 ソニー株式会社 Dry etching method
TW394989B (en) * 1997-10-29 2000-06-21 Matsushita Electronics Corp Semiconductor device manufacturing and reaction room environment control method for dry etching device
US6159862A (en) * 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
JPH11330046A (en) * 1998-05-08 1999-11-30 Mitsubishi Electric Corp Method for manufacturing semiconductor device and semiconductor device
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
JP4776747B2 (en) * 1998-11-12 2011-09-21 株式会社ハイニックスセミコンダクター Contact formation method of semiconductor element
JP4230029B2 (en) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 Plasma processing apparatus and etching method
US6417090B1 (en) * 1999-01-04 2002-07-09 Advanced Micro Devices, Inc. Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
US6184107B1 (en) * 1999-03-17 2001-02-06 International Business Machines Corp. Capacitor trench-top dielectric for self-aligned device isolation
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
JP4578651B2 (en) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 Plasma processing method, plasma processing apparatus, and plasma etching method
JP2001135630A (en) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp Method of manufacturing semiconductor device
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
JP3400770B2 (en) * 1999-11-16 2003-04-28 松下電器産業株式会社 Etching method, semiconductor device and manufacturing method thereof
US6337244B1 (en) * 2000-03-01 2002-01-08 Micron Technology, Inc. Method of forming flash memory
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6337285B1 (en) * 2000-03-21 2002-01-08 Micron Technology, Inc. Self-aligned contact (SAC) etch with dual-chemistry process
JP4839506B2 (en) * 2000-04-28 2011-12-21 ダイキン工業株式会社 Dry etching method
KR100362834B1 (en) * 2000-05-02 2002-11-29 삼성전자 주식회사 Method for forming oxide layer in semiconductor manufacturing process and semiconductor device manufactured by using the same
KR100759602B1 (en) * 2000-05-12 2007-09-17 동경 엘렉트론 주식회사 Method of high selectivity sac etching
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
KR100363710B1 (en) * 2000-08-23 2002-12-05 삼성전자 주식회사 Semiconductor device with self-aligned contact structure and method of manufacturing the same
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
WO2002039494A1 (en) * 2000-11-08 2002-05-16 Daikin Industries, Ltd. Dry etching gas and method for dry etching
JP4213871B2 (en) * 2001-02-01 2009-01-21 株式会社日立製作所 Manufacturing method of semiconductor device
TW483111B (en) * 2001-06-08 2002-04-11 Promos Technologies Inc Method for forming contact of memory device
US6674241B2 (en) * 2001-07-24 2004-01-06 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6518164B1 (en) * 2001-11-30 2003-02-11 United Microelectronics Corp. Etching process for forming the trench with high aspect ratio

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990032708A (en) * 1997-10-20 1999-05-15 구자홍 Intermittent video recording and playback device
KR19990063377A (en) * 1997-12-24 1999-07-26 가네꼬 히사시 Semiconductor device manufacturing method
KR20000004863A (en) * 1998-06-09 2000-01-25 다니구찌 이찌로오, 기타오카 다카시 Integrated circuit device
KR20000071381A (en) * 1999-02-25 2000-11-25 이데이 노부유끼 Dry etching method and method of manufacturing semiconductor apparatus

Also Published As

Publication number Publication date
JPWO2002050885A1 (en) 2004-04-22
US20040035826A1 (en) 2004-02-26
AU2002222631A1 (en) 2002-07-01
TW521335B (en) 2003-02-21
CN1483219A (en) 2004-03-17
JP4008352B2 (en) 2007-11-14
KR20030066747A (en) 2003-08-09
WO2002050885A1 (en) 2002-06-27
CN1249788C (en) 2006-04-05

Similar Documents

Publication Publication Date Title
KR100782632B1 (en) Etching method for insulating film
US6670278B2 (en) Method of plasma etching of silicon carbide
US8614151B2 (en) Method of etching a high aspect ratio contact
US7547635B2 (en) Process for etching dielectric films with improved resist and/or etch profile characteristics
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6617257B2 (en) Method of plasma etching organic antireflective coating
KR100849707B1 (en) Selective etching of carbon-doped low-k dielectrics
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
KR100628932B1 (en) Method for etching silicon dioxide using fluorocarbon gas chemistry
US5935877A (en) Etch process for forming contacts over titanium silicide
US20060051968A1 (en) Self-aligned contact etch with high sensitivity to nitride shoulder
US20070026677A1 (en) Method for plasma etching performance enhancement
WO1999016110A2 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
US8609547B2 (en) Plasma etching method and computer-readable storage medium
WO1999033097A1 (en) Improved techniques for etching an oxide layer
JPH10256232A (en) Manufacture of semiconductor device
KR20030087637A (en) Method for etching organic insulating film and dual damasene process
JP2988455B2 (en) Plasma etching method
JP4351806B2 (en) Improved technique for etching using a photoresist mask.
WO1999021218A1 (en) Self-aligned contact etch using difluoromethane and trifluoromethane
US6897154B2 (en) Selective etching of low-k dielectrics
US6573181B1 (en) Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6787475B2 (en) Flash step preparatory to dielectric etch
US6653237B2 (en) High resist-selectivity etch for silicon trench etch applications
US20020132488A1 (en) Method of etching tantalum

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121114

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141103

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151102

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171030

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181119

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20191118

Year of fee payment: 13