KR100733463B1 - Semiconductor device and method for fabrication thereof - Google Patents

Semiconductor device and method for fabrication thereof Download PDF

Info

Publication number
KR100733463B1
KR100733463B1 KR1020040052274A KR20040052274A KR100733463B1 KR 100733463 B1 KR100733463 B1 KR 100733463B1 KR 1020040052274 A KR1020040052274 A KR 1020040052274A KR 20040052274 A KR20040052274 A KR 20040052274A KR 100733463 B1 KR100733463 B1 KR 100733463B1
Authority
KR
South Korea
Prior art keywords
fence
insulating film
contact plug
film
forming
Prior art date
Application number
KR1020040052274A
Other languages
Korean (ko)
Other versions
KR20060003406A (en
Inventor
김준기
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020040052274A priority Critical patent/KR100733463B1/en
Publication of KR20060003406A publication Critical patent/KR20060003406A/en
Application granted granted Critical
Publication of KR100733463B1 publication Critical patent/KR100733463B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은 실린더형 캐패시터 형성을 위해 캐패시터 희생절연막을 딥-아웃 공정을 진행할 때, 하부전극의 하부로 케미컬이 침투하여 층간절연막 및 플러그 등이 식각되는 현상을 방지할 수 있는 반도체 소자 및 그 제조 방법을 제공하기 위한 것으로, 이를 위해 본 발명은, 소정의 오픈부를 갖도록 배치되어 상기 오픈부를 통해 하부의 도전영역을 노출시키는 절연막; 상기 오픈부를 매립하며 상기 도전영역과 전기적으로 접속된 도전막; 및 상기 도전막 상에 배치된 캐패시터 하부전극을 구비하며, 상기 절연막은, 상기 캐패시터 하부전극의 결함을 통해 침투하는 케미컬의 어택을 방지하기 위해 그 최상부에 제1펜스를 갖고, 상기 제1펜스 및 상기 오픈부를 이루는 절연막의 측벽을 감싸는 스페이서 형상의 제2펜스를 포함하는 것을 특징으로 하는 반도체 소자를 제공한다.The present invention provides a semiconductor device and a method of manufacturing the same, which prevents the phenomenon of etching the interlayer insulating film and the plug by infiltrating the lower portion of the lower electrode when the capacitor sacrificial insulating film is formed in the deep-out process to form a cylindrical capacitor To this end, the present invention for this purpose, is disposed to have a predetermined opening to expose the lower conductive region through the opening; A conductive film filling the open part and electrically connected to the conductive area; And a capacitor lower electrode disposed on the conductive layer, wherein the insulating layer has a first fence at the top thereof to prevent attack of the chemical penetrating through the defect of the capacitor lower electrode, and the first fence and It provides a semiconductor device comprising a spacer-shaped second fence surrounding the side wall of the insulating film forming the open portion.

또한, 본 발명은, 소정의 오픈부를 갖도록 배치되어 상기 오픈부를 통해 하부의 도전영역을 노출시키는 절연막; 상기 오픈부를 매립하며 상기 도전영역과 전기적으로 접속된 도전막; 및 상기 도전막 상에 배치된 캐패시터 하부전극을 구비하며, 상기 절연막은, 상기 캐패시터 하부전극의 결함을 통해 침투하는 케미컬의 어택을 방지하기 위해 그 중간에 제1펜스를 갖고, 상기 오픈부를 이루는 절연막의 측벽을 감싸는 스페이서 형상의 제2펜스를 포함하는 것을 특징으로 하는 반도체 소자를 제공한다.
In addition, the present invention includes an insulating film disposed to have a predetermined open portion to expose a lower conductive region through the open portion; A conductive film filling the open part and electrically connected to the conductive area; And a capacitor lower electrode disposed on the conductive layer, wherein the insulating layer has a first fence in the middle thereof to prevent attack of the chemical penetrating through the defect of the capacitor lower electrode, and forms an open portion. It provides a semiconductor device comprising a spacer-shaped second fence surrounding the side wall of the.

캐패시터, 희생절연막, 펜스, 스페이서, 스토리지노드용 콘택 플러그, 실린더.Capacitors, sacrificial insulating films, fences, spacers, contact plugs for storage nodes, cylinders.

Description

반도체 소자 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATION THEREOF} Semiconductor device and manufacturing method therefor {SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATION THEREOF}             

도 1a 내지 도 1d는 종래기술에 따른 실린더형 캐패시터 형성 공정을 도시한 단면도.1A to 1D are cross-sectional views showing a cylindrical capacitor forming process according to the prior art.

도 2는 하부전극의 디펙트를 통한 층간절연막의 어택을 설명하기 위한 단면도.2 is a cross-sectional view for explaining the attack of the interlayer insulating film through the defect of the lower electrode.

도 3은 파티클로 인한 하부전극용 전도막의 증착 불량을 통한 층간절연막의 어택을 설명하기 위한 단면도.3 is a cross-sectional view for explaining the attack of the interlayer insulating film through poor deposition of the conductive film for the lower electrode due to the particles.

도 4는 하부전극용 전도막의 스텝 커러비지의 불량으로 인한 층간절연막의 어택을 설명하기 위한 단면도.4 is a cross-sectional view for explaining the attack of the interlayer insulating film due to the poor step coverage of the conductive film for the lower electrode;

도 5는 캐패시터가 형성된 본 발명의 반도체 소자를 개략적으로 도시한 평면도.5 is a plan view schematically showing a semiconductor device of the present invention in which a capacitor is formed.

도 6은 도 5를 a-a' 방향으로 절취한 본 발명의 일실시예에 따른 반도체 소자를 도시한 단면도.FIG. 6 is a cross-sectional view of a semiconductor device according to an exemplary embodiment of the present invention taken along the line a-a 'in FIG. 5. FIG.

도 7은 도 5를 b-b' 방향으로 절취한 본 발명의 일실시예에 따른 반도체 소자를 도시한 단면도. FIG. 7 is a cross-sectional view illustrating a semiconductor device according to an exemplary embodiment of the present invention taken along the line b-b ′ of FIG. 5.                 

도 8은 도 5를 a-a' 방향으로 절취한 본 발명의 다른 실시예에 따른 반도체 소자를 도시한 단면도.8 is a cross-sectional view illustrating a semiconductor device in accordance with another embodiment of the present invention taken along the line a-a 'of FIG. 5.

도 9는 도 5를 b-b' 방향으로 절취한 본 발명의 다른 실시예에 따른 반도체 소를 도시한 단면도.FIG. 9 is a cross-sectional view of a semiconductor saw according to another exemplary embodiment of the present invention taken along the line b-b 'in FIG. 5; FIG.

도 10a 내지 도 10d는 도 6 및 도 7에 해당하는 반도체 소자 제조 공정을 도시한 단면도.10A to 10D are cross-sectional views illustrating a semiconductor device manufacturing process corresponding to FIGS. 6 and 7.

도 11a 내지 도 11d는 도 8 및 도 9에 해당하는 반도체 소자 제조 공정을 도시한 단면도.
11A to 11D are cross-sectional views illustrating a semiconductor device manufacturing process corresponding to FIGS. 8 and 9.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

100: 기판 104 : 불순물 확산영역100 substrate 104 impurity diffusion region

106 : 제1절연막 107 : 셀콘택 플러그106: first insulating film 107: cell contact plug

108 : 제1식각정지막 109 : 제2절연막108: first etching stop film 109: second insulating film

110 : 전도막 111 : 절연성 하드마스크110: conductive film 111: insulating hard mask

112 : 스페이서 113 : 제3절연막112 spacer 113 third insulating film

114 : 제1펜스 117 : 제2펜스114: first fence 117: second fence

121 : 하부전극 122 : 유전체막121: lower electrode 122: dielectric film

123 : 상부전극
123: upper electrode

본 발명은 반도체 소자 제조 방법에 관한 것으로 특히, 캐패시터용 희생 절연막의 습식 어택으로 인한 불량 발생을 억제할 수 있는 반도체 소자 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device capable of suppressing occurrence of defects due to wet attack of a sacrificial insulating film for a capacitor.

반도체 소자의 고집적화, 소형화 및 고속화에 따라 캐패시터가 차지하는 면적이 감소하고있으며, 비록 반도체 소자가 고집적화 및 소형화되더라도 반도체 소자를 구동시키기 위한 캐패시터의 정전용량는 최소한 확보되어야 한다.The area occupied by the capacitor is decreasing with high integration, miniaturization and high speed of the semiconductor device. Even if the semiconductor device is highly integrated and miniaturized, the capacitance of the capacitor for driving the semiconductor device should be secured at least.

캐패시터의 정전용량을 확보하기 위한 한 방안으로 캐패시터의 하부전극을 실린더 구조(Cylinder) 구조, 스택(Stack) 구조, 핀(Pin) 구조, 컨캐이브(Concave) 구조 등 다양한 구조로 형성하여 제한된 면적 하에서 캐패시터 하부전극의 유효 표면적을 극대화시키고 있다.As a way to secure the capacitance of the capacitor, the lower electrode of the capacitor is formed in various structures such as cylinder structure, stack structure, pin structure, and concave structure, thereby limiting The effective surface area of the capacitor lower electrode is maximized.

이 중에서 3차원 구조로서 가장 큰 정전용량을 확보할 수 있는 것이 실린더 구조이다.Among them, the cylinder structure can secure the largest capacitance as a three-dimensional structure.

도 1a 내지 도 1d는 종래기술에 따른 실린더형 캐패시터 형성 공정을 도시한 단면도로서, 이를 참조하여 종래의 실린더형 캐패시터 형성 공정을 살펴본다.1A to 1D are cross-sectional views illustrating a cylindrical capacitor forming process according to the prior art, and looks at the conventional cylindrical capacitor forming process with reference to this.

먼저, 도 1a에 도시된 바와 같이, 웰 및 트랜지스터와 같은 반도체 소자를 이루기 위한 여러 요소가 형성된 반도체 기판(10) 상에 층간절연막(11)을 형성한다.First, as shown in FIG. 1A, an interlayer insulating film 11 is formed on a semiconductor substrate 10 on which various elements for forming semiconductor devices such as wells and transistors are formed.

층간절연막(11)을 산화막 계열의 물질막으로 이용할 경우에는 BSG(Boro Silicate Glass)막, BPSG(Boro Phospho SIlicate Glass)막, PSG막, TEOS막, HDP(High Density Plasma) 산화막, SOG(Spin On Glass)막 또는 APL(Advanced Planarization Layer)막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계열의 저유전율막을 이용할 수 있다.When the interlayer insulating film 11 is used as an oxide-based material film, a BSG (Boro Silicate Glass) film, BPSG (Boro Phospho SIlicate Glass) film, PSG film, TEOS film, HDP (High Density Plasma) oxide film, and SOG (Spin On) A glass film or an advanced planarization layer (APL) film may be used, and an inorganic or organic low dielectric constant film may be used in addition to the oxide film series.

참고로, 여기서의 공정 단면에서는 게이트전극 패턴이 나타나지 않는다.For reference, the gate electrode pattern does not appear in the process cross section here.

이어서, 층간절연막(11)을 선택적으로 식각하여 셀콘택 플러그(도시하지 않음)을 노출시키는 콘택홀을 형성한다. 이어서, 전도막을 증착한 다음, 전면식각 또는 CMP 등을 이용한 평탄화 공정을 실시하여 층간절연막(11)과 그 상부가 평탄화되고 아이솔레이션된 복수의 스토리지노드용 콘택 플러그(12)를 형성한다. Subsequently, the interlayer insulating film 11 is selectively etched to form a contact hole exposing a cell contact plug (not shown). Subsequently, after the conductive film is deposited, a planarization process using an entire surface etch or a CMP is performed to form an interlayer insulating film 11 and a plurality of storage node contact plugs 12 having the planarized and isolated portions thereof.

스토리지노드용 콘택 플러그(12)는 폴리실리콘의 단독 또는 폴리실리콘이 Ti, TiN, Ta, TaN 등과 조합된 구성을 포함한다.The contact plug 12 for a storage node includes a configuration in which polysilicon alone or polysilicon is combined with Ti, TiN, Ta, TaN, and the like.

이어서, 스토리지노드용 콘택 플러그(12)가 형성된 전면에 후속 캐패시터의 스토리지노드 형성 영역을 정의하는 오픈부 형성시 스토리지노드용 콘택 플러그(12)의 어택을 방지하기 위한 식각 멈춤 역할을 하는 식각정지막(13)을 형성한다.Subsequently, an etch stop layer serves as an etch stop to prevent attack of the storage node contact plug 12 when forming an opening defining a storage node forming region of a subsequent capacitor on the front surface where the storage node contact plug 12 is formed. (13) is formed.

식각정지막(13)은 실리콘질화막 또는 실리콘산화질화막 등의 절연성을 갖는 질화막 계열의 물질막을 이용한다.The etch stop film 13 uses a nitride film-based material film such as a silicon nitride film or a silicon oxynitride film.

이어서, 식각정지막(13) 상에 캐패시터 형성용 희생절연막(14)을 형성한다. 희생절연막(14)으로 통상의 산화막 계열의 물질막을 사용할 수 있으며, 주로 TEOS막의 단독 또는 TEOS막/PSG막의 적층 구조를 사용한다.Subsequently, a sacrificial insulating film 14 for forming a capacitor is formed on the etch stop film 13. As the sacrificial insulating film 14, a conventional oxide film-based material film can be used, and mainly a single structure of TEOS film or a laminated structure of TEOS film / PSG film is used.

이어서, 희생절연막(14) 상에 캐패시터의 스토리지노드 콘택 형성 영역을 정 의하는 마스크 패턴(15)을 형성한다.Subsequently, a mask pattern 15 defining a storage node contact formation region of the capacitor is formed on the sacrificial insulating layer 14.

마스크 패턴(15)은 보통 포토레지스트 패턴 만을 나타내는 것이었으나, 근래에는 캐패시터의 수직 높이가 증가함에 따라 이를 결정하는 희생절연막(14)의 높이가 증가함으로 인해, 또한 고해상도르 구현하기 위해서는 포토레지스트 패턴의 두께가 얇아짐으로 인해 포토레지스트 패턴 만으로는 희생절연막(14)을 식각하는 것에 한계가 발생하였다. 이로 인해 포토레지스트 패턴 하부에 식각 배리어용으로 사용될 희생 하드마스크를 사용하며, 포토레지스트 패턴을 이용하여 희생 하드마스크에 패턴을 전사한 다음, 희생 하드마스크를 이용하여 피식각층, 희생절연막(14)을 식각하여 원하는 패턴을 형성하고 있다. 이러한 희생 하드마스크 물질로는 폴리실리콘, 텅스텐, 질화막 등이 사용되고 있으며, 피식각층의 종류에 따라 다양하게 사용된다.The mask pattern 15 usually represents only a photoresist pattern. However, in recent years, as the vertical height of the capacitor increases, the height of the sacrificial insulating layer 14 which determines this increases. Due to the thinner thickness, there is a limit in etching the sacrificial insulating layer 14 only with the photoresist pattern. Therefore, a sacrificial hard mask to be used as an etch barrier is used under the photoresist pattern, the pattern is transferred to the sacrificial hard mask using the photoresist pattern, and then the etched layer and the sacrificial insulating layer 14 are removed using the sacrificial hard mask. Etching forms a desired pattern. As the sacrificial hard mask material, polysilicon, tungsten, a nitride film, and the like are used, and various kinds of sacrificial layers are used.

이어서, 도 1b에 도시된 바와 같이, 마스크 패턴(15)을 식각마스크로 희생절연막(14)을 선택적으로 식각하여 스토리지노드용 콘택 플러그(12) 상부의 식각정지막(13)을 노출시키는 오픈부(16)를 형성한다.Subsequently, as shown in FIG. 1B, the sacrificial insulating layer 14 is selectively etched using the mask pattern 15 as an etch mask to expose the etch stop layer 13 on the contact plug 12 for the storage node. (16) is formed.

여기서, 오픈부(16)는 캐패시터 형성 예정 영역을 나타낸다.Here, the open part 16 represents the capacitor formation scheduled area.

이 때, 통상의 DRAM(Dynamic Random Access Memory) 공정의 경우 오픈부(16)의 식각 프로파일이 비트라인(도시하지 않음)의 측면에 얼라인되며, 큰 식각 타겟으로 인한 식각 공정의 마진 확보를 위해 자기정렬콘택(Self Align Contact; 이하 SAC 이라 함)에 의한 식각 공정을 적용한다.In this case, in the case of a conventional DRAM (Dynamic Random Access Memory) process, the etching profile of the open part 16 is aligned on the side of the bit line (not shown), and to secure the margin of the etching process due to the large etching target. An etching process using a self alignment contact (hereinafter referred to as SAC) is applied.

이어서, 식각정지막(13)을 제거하여 스토리지노드용 콘택 플러그(12)를 노출 시킨다.Subsequently, the etch stop layer 13 is removed to expose the storage node contact plug 12.

이어서, 오픈부(16) 형성에 따른 식각 잔류물과 오픈부(16) 저면에 형성된 계면 산화막 등을 제거하기 위해 세정 공정을 실시한다. 세정 공정은 습식 방식을 이용하며, 케미컬로는 HF 또는 BOE(Buffered Oxide Etchant) 등을 사용한다.Subsequently, a cleaning process is performed to remove the etching residue resulting from the formation of the open portion 16 and the interfacial oxide film formed on the bottom surface of the open portion 16. The cleaning process uses a wet method, and chemically uses HF or BOE (Buffered Oxide Etchant).

이어서, 도 1c에 도시된 바와 같이, 오픈부(16)가 형성된 프로파일을 따라 스토리지노드용 전도막을 증착하여 오픈부(16) 형성에 따라 노출된 스토리지노느용 콘택 플러그(12)와 전기적으로 접속시킨다. Subsequently, as illustrated in FIG. 1C, a conductive film for the storage node is deposited along the profile in which the open portion 16 is formed, and is electrically connected to the contact plug 12 for the storage node exposed as the open portion 16 is formed. .

이어서, 보호막을 증착하여 오픈부(16)의 빈 부분을 채운 다음, 희생절연막(14)이 노출되는 타겟으로 전면식각 또는 CMP 공정을 실시하여 아이솔레이션된 캐패시터의 하부전극(17) 즉, 스토리지노드를 형성한다.Subsequently, the passivation layer is deposited to fill the empty portion of the open portion 16, and then the bottom electrode 17, that is, the storage node, of the isolated capacitor is subjected to an entire surface etching or CMP process to a target to which the sacrificial insulating layer 14 is exposed. Form.

여기서, 하부전극용 전도막은 폴리실리콘, Ti, TiN, Ta, TaN, Ir, IrO2, Ru, RuO2, Pt 등의 단독 또는 조합된 구성을 포함한다.Here, the conductive film for the lower electrode includes a single or combined configuration of polysilicon, Ti, TiN, Ta, TaN, Ir, IrO 2 , Ru, RuO 2 , Pt and the like.

아울러, 하부전극(17)과 스토리지노드용 콘택 플러그(12) 사이의 계면에 Ti, TiN, Ta, TaN, TiSi2 등의 단독 또는 조합된 구성을 갖는 배리어막을 형성할 수 있으며, 또한 층간절연막 및 스토리지노드용 콘택 플러그(12)와 배리어막과 사이에 접착층을 더 포함할 수도 있다.In addition, a barrier film having a single or combined configuration such as Ti, TiN, Ta, TaN, TiSi 2 , or the like may be formed at an interface between the lower electrode 17 and the contact plug 12 for a storage node. An adhesive layer may be further included between the storage node contact plug 12 and the barrier layer.

이어서, 도 1d에 도시된 바와 같이, 보호막을 제거한 다음, 딥-아웃(Dip-out) 공정을 실시하여 희생절연막(14)을 제거하여 하부전극(17)이 실린더 구조가 되도록 한다. 딥-아웃 공정에서는 HF 또는 BOE 등의 케미컬을 사용한다. Subsequently, as shown in FIG. 1D, after removing the protective film, a dip-out process is performed to remove the sacrificial insulating film 14 so that the lower electrode 17 has a cylindrical structure. In the dip-out process, chemicals such as HF or BOE are used.                         

이어서, 하부전극(17) 상에 유전체막(18)과 상부전극(19)을 차례로 형성함으로써, 셀 캐패시터 형성 공정이 완료된다.Subsequently, the dielectric film 18 and the upper electrode 19 are sequentially formed on the lower electrode 17, thereby completing the cell capacitor forming process.

한편, 상기한 종래의 실린더형 캐패시터 형성을 위해 실시하는 희생절연막(14)의 딥-아웃 공정에서는 HF 또는 BOE 등의 케미컬을 에쳔트(Etchant)로 사용하며, 하부전극에 결함이 있거나 파티클 등의 이류로 하부전극이 이미 형성된 캐패시터 구조에서 충분히 증착되지 않을 경우 이러한 케미컬이 하부로 흘러들어가 하부에 있는 층간절연막(11)을 식각하는 문제가 발생한다. 예컨대, 하부전극(17) 물질로 만히 사용되는 화학기상증착(Chemical Vapor Deposition; 이하 CVD라 함) 방식을 이용하여 증착한 TiN을 사용할 경우 그레인 바운더리(Grain boundary)의 밀도가 낮은 원주형 그레인(Columnar grain) 구조를 갖기 때문에 그레인 바운더리를 통한 용액의 침투가 용이한 용이한 단점이 있다. 하부의 층간절연막(11)이 식각되어 제거되는 경우 케미컬이 식각된 층간절연막(11)의 공간에 저장되었다가 후속의 열공정 중 스토리지노드용 콘택 플러그(12) 및 하부의 샐콘택 플러그, 심할 경우 기판(10)까지 식각하게 되어 큰 결함으로 발전하게 된다.On the other hand, in the dip-out process of the sacrificial insulating film 14 to form the conventional cylindrical capacitor described above, a chemical such as HF or BOE is used as an etchant, and the lower electrode has a defect or a particle or the like. If the lower electrode is not sufficiently deposited in the capacitor structure in which the lower electrode is formed due to the current, a problem occurs that such a chemical flows into the lower portion to etch the lower insulating interlayer 11. For example, when using TiN deposited using a chemical vapor deposition (CVD) method, which is used only as a material of the lower electrode 17, columnar grains having low density of grain boundaries are formed. Because of the grain structure, it is easy to penetrate the solution through the grain boundary. If the lower interlayer insulating film 11 is etched and removed, the chemicals are stored in the space of the etched interlayer insulating film 11 and then the contact plug 12 for the storage node and the sal contact plug below, if severe, during the subsequent thermal process. The substrate 10 is etched to generate a large defect.

이하에서는, 딥-아웃 공정시 케미컬에 의한 층간절연막의 어택을 유형 별로 살펴 본다.Hereinafter, the attack of the interlayer insulating layer due to the chemical during the dip-out process will be described for each type.

도 2는 하부전극의 디펙트를 통한 층간절연막의 어택을 설명하기 위한 단면도이다.2 is a cross-sectional view illustrating an attack of an interlayer insulating film through defects of a lower electrode.

도 2의 (a)를 참조하면, 하부전극(17)이 스토리지노드용 콘택 플러그(12)와의 계면 부근에서 도면부호 '20'과 같이 디펙트(Defect)가 존재할 경우 도 2의 (b) 와 같이 희생절연막(14)을 제거하기 위한 딥-아웃 공정에서 이러한 디펙트(20)로 케미컬이 침투하여 도면부호 '21'과 같이 층간절연막(110이 식각된다.Referring to FIG. 2A, when the lower electrode 17 has a defect as shown by reference numeral 20 in the vicinity of an interface with the storage node contact plug 12, the defects of FIGS. As described above, the chemical penetrates into the defect 20 in the deep-out process for removing the sacrificial insulating layer 14, and the interlayer insulating layer 110 is etched as shown by reference numeral 21.

도 3은 파티클로 인한 하부전극용 전도막의 증착 불량을 통한 층간절연막의 어택을 설명하기 위한 단면도이다.3 is a cross-sectional view illustrating an attack of an interlayer insulating film due to poor deposition of a conductive film for a lower electrode due to particles.

도 3의 (a)를 참조하면, 하부전극 형성을 위한 오픈부 형성 공정 후 오픈부 상단에 도면부호 '22'와 같이 파티클이 존재할 경우 파티클(22)이 존재하는 하부의 오픈부에는 하부전극용 전도막(17')이 증착되지 않는다.Referring to (a) of FIG. 3, when a particle is present as shown by reference numeral '22' at the top of the open part after the open part forming process for forming the lower electrode, the lower part is open for the lower electrode in which the particle 22 exists. The conductive film 17 'is not deposited.

이 때, 도 3의 (b)와 같이 희생절연막(14)을 제거하기 위한 딥-아웃 공정에서 도면부호 '23'과 같이 층간절연막(11)이 식각된다.At this time, the interlayer insulating film 11 is etched as shown by reference numeral 23 in the deep-out process for removing the sacrificial insulating film 14 as shown in FIG.

도 4는 하부전극용 전도막의 스텝 커러비지의 불량으로 인한 층간절연막의 어택을 설명하기 위한 단면도이다.4 is a cross-sectional view for explaining the attack of the interlayer insulating film due to the poor step coverage of the conductive film for the lower electrode.

도 4의 (a)를 참조하면, 하부전극용 전도막 증착시 종횡비의 증가로 오픈부 저면에서의 증착되는 막의 두께가 도면부호 '24'와 같이 상부에 비해 얇게 됨을 알 수 있다.Referring to (a) of FIG. 4, it can be seen that the thickness of the deposited film on the bottom of the open part becomes thinner than the upper part as indicated by '24' due to the increase in the aspect ratio during the deposition of the conductive film for the lower electrode.

이 때, 도 4의 (b)와 같이 희생절연막(14)을 제거하기 위한 딥-아웃 공정에서 오픈부 저면에서 증착되는 하부전극용 전도막의 두께가 얇아, 이 부분으로 도면부호 '25'과 같이 층간절연막(11)이 식각된다.
At this time, in the deep-out process for removing the sacrificial insulating film 14 as shown in (b) of FIG. 4, the thickness of the conductive film for the lower electrode deposited on the bottom of the open portion is thin, and as shown by reference numeral 25. The interlayer insulating film 11 is etched.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 실 린더형 캐패시터 형성을 위해 캐패시터 희생절연막을 딥-아웃 공정을 진행할 때, 하부전극의 하부로 케미컬이 침투하여 층간절연막 및 플러그 등이 식각되는 현상을 방지할 수 있는 반도체 소자 및 그 제조 방법을 제공하는 것을 그 목적으로 한다.
The present invention has been proposed to solve the above problems of the prior art, and when a capacitor sacrificial insulating film is formed in a dip-out process to form a cylinder type capacitor, the chemical penetrates into the lower portion of the lower electrode, such as an interlayer insulating film and a plug. It is an object of the present invention to provide a semiconductor device capable of preventing the etching phenomenon and a manufacturing method thereof.

상기의 목적을 달성하기 위해 본 발명은, 소정의 오픈부를 갖도록 배치되어 상기 오픈부를 통해 하부의 도전영역을 노출시키는 절연막; 상기 오픈부를 매립하며 상기 도전영역과 전기적으로 접속된 도전막; 및 상기 도전막 상에 배치된 캐패시터 하부전극을 구비하며, 상기 절연막은, 상기 캐패시터 하부전극의 결함을 통해 침투하는 케미컬의 어택을 방지하기 위해 그 최상부에 제1펜스를 갖고, 상기 제1펜스 및 상기 오픈부를 이루는 절연막의 측벽을 감싸는 스페이서 형상의 제2펜스를 포함하는 것을 특징으로 하는 반도체 소자를 제공한다.In order to achieve the above object, the present invention includes an insulating film disposed to have a predetermined open portion to expose a lower conductive region through the open portion; A conductive film filling the open part and electrically connected to the conductive area; And a capacitor lower electrode disposed on the conductive layer, wherein the insulating layer has a first fence at the top thereof to prevent attack of the chemical penetrating through the defect of the capacitor lower electrode, and the first fence and It provides a semiconductor device comprising a spacer-shaped second fence surrounding the side wall of the insulating film forming the open portion.

또한, 상기의 목적을 달성하기 위해 본 발명은, 소정의 오픈부를 갖도록 배치되어 상기 오픈부를 통해 하부의 도전영역을 노출시키는 절연막; 상기 오픈부를 매립하며 상기 도전영역과 전기적으로 접속된 도전막; 및 상기 도전막 상에 배치된 캐패시터 하부전극을 구비하며, 상기 절연막은, 상기 캐패시터 하부전극의 결함을 통해 침투하는 케미컬의 어택을 방지하기 위해 그 중간에 제1펜스를 갖고, 상기 오픈부를 이루는 절연막의 측벽을 감싸는 스페이서 형상의 제2펜스를 포함하는 것을 특징으로 하는 반도체 소자를 제공한다.In addition, to achieve the above object, the present invention is an insulating film disposed to have a predetermined open portion to expose a lower conductive region through the open portion; A conductive film filling the open part and electrically connected to the conductive area; And a capacitor lower electrode disposed on the conductive layer, wherein the insulating layer has a first fence in the middle thereof to prevent attack of the chemical penetrating through the defect of the capacitor lower electrode, and forms an open portion. It provides a semiconductor device comprising a spacer-shaped second fence surrounding the side wall of the.

또한, 상기의 목적을 달성하기 위해 본 발명은, 도전영역을 포함하는 하부 구조 상에 제1절연막을 형성하는 단계; 상기 제1절연막 상에 후속 딥-아웃 공정시 캐패시터 하부전극의 결함을 통한 케미컬에 의한 상기 제1절연막의 어택을 방지하기 위한 제1펜스 형성을 위한 제2절연막을 형성하는 단계; 상기 제2절연막 및 상기 제1절연막을 선택적으로 식각하여 상기 도전영역을 노출시키는 제1오픈부를 형성하는 단계-상기 제2절연막은 상기 제1절연막의 최상부에서 제1펜스를 이룸; 상기 제1오픈부가 형성된 프로파일을 따라 후속 딥-아웃 공정시 캐패시터 하부전극의 결함을 통한 케미컬에 의한 상기 제1절연막의 어택을 방지하기 위한 제2펜스 형성을 위해 제3절연막을 형성하는 단계; 전면식각을 실시하여 상기 오픈부를 이루는 제1펜스 및 상기 제1절연막의 측벽에 스페이서 형상의 제2펜스를 형성하는 단계; 상기 제1오픈부를 매립하며 상기 제1펜스와 실질적으로 평탄화된 도전막을 형성하는 단계; 상기 도전막 상에 캐패시터 형성용 희생절연막을 형성하는 단계; 상기 희생절연막을 선택적으로 식각하여 상기 도전막을 노출시키는 제2오픈부를 형성하는 단계; 상기 제2오픈부의 프로파일을 따라 상기 도전막에 콘택되며 아이솔레이션된 캐패시터 하부전극을 형성하는 단계; 및 상기 캐패시터 하부전극이 실린더 형상을 갖도록 딥-아웃 공정을 실시하여 상기 희생절연막을 제거하는 단계를 포함하는 반도체 소자 제조 방법을 제공한다.In addition, to achieve the above object, the present invention comprises the steps of forming a first insulating film on a lower structure including a conductive region; Forming a second insulating layer for forming a first fence on the first insulating layer to prevent attack of the first insulating layer by chemicals through defects in a capacitor lower electrode during a subsequent dip-out process; Selectively etching the second insulating layer and the first insulating layer to form a first open portion exposing the conductive region, wherein the second insulating layer forms a first fence at the top of the first insulating layer; Forming a third insulating layer to form a second fence to prevent attack of the first insulating layer by chemicals through defects in the capacitor lower electrode during a subsequent dip-out process along the profile in which the first opening is formed; Forming a spacer-shaped second fence on the sidewalls of the first insulating film and the first fence forming the open portion by performing an entire surface etching; Filling the first open portion and forming a conductive film substantially planar with the first fence; Forming a sacrificial insulating film for capacitor formation on the conductive film; Selectively etching the sacrificial insulating layer to form a second open portion exposing the conductive layer; Forming a capacitor lower electrode in contact with the conductive layer along the profile of the second open portion; And removing the sacrificial insulating layer by performing a dip-out process so that the capacitor lower electrode has a cylindrical shape.

또한, 상기의 목적을 달성하기 위해 본 발명은, 도전영역을 포함하는 하부 구조 상에 제1절연막을 형성하는 단계; 상기 제1절연막 상에 후속 딥-아웃 공정시 캐패시터 하부전극의 결함을 통한 케미컬에 의한 상기 제1절연막의 어택을 방지하기 위한 제1펜스 형성을 위한 제2절연막을 형성하는 단계; 상기 제2절연막 상에 제 4절연막을 형성하는 단계; 상기 상기 제4절연막과 제2절연막 및 상기 제1절연막을 선택적으로 식각하여 상기 도전영역을 노출시키는 제1오픈부를 형성하는 단계-상기 제2절연막은 상기 제1절연막과 상기 제4절연막의 사이에서 제1펜스를 이룸; 상기 제1오픈부가 형성된 프로파일을 따라 후속 딥-아웃 공정시 캐패시터 하부전극의 결함을 통한 케미컬에 의한 상기 제1절연막의 어택을 방지하기 위한 제2펜스 형성을 위해 제3절연막을 형성하는 단계; 전면식각을 실시하여 상기 오픈부의 프로파일을 이루는 상기 제1절연막과 상기 제1펜스 및 상기 제4절연막의 측벽에 스페이서 형상의 제2펜스를 형성하는 단계; 상기 제1오픈부를 매립하며 상기 제4절연막과 실질적으로 평탄화된 도전막을 형성하는 단계; 상기 도전막 상에 캐패시터 형성용 희생절연막을 형성하는 단계; 상기 희생절연막을 선택적으로 식각하여 상기 도전막을 노출시키는 제2오픈부를 형성하는 단계; 상기 제2오픈부의 프로파일을 따라 상기 도전막에 콘택되며 아이솔레이션된 캐패시터 하부전극을 형성하는 단계; 및 상기 캐패시터 하부전극이 실린더 형상을 갖도록 딥-아웃 공정을 실시하여 상기 희생절연막을 제거하는 단계를 포함하는 반도체 소자 제조 방법을 제공한다.
In addition, to achieve the above object, the present invention comprises the steps of forming a first insulating film on a lower structure including a conductive region; Forming a second insulating layer for forming a first fence on the first insulating layer to prevent attack of the first insulating layer by chemicals through defects in a capacitor lower electrode during a subsequent dip-out process; Forming a fourth insulating film on the second insulating film; Selectively etching the fourth insulating layer, the second insulating layer, and the first insulating layer to form a first open portion exposing the conductive region, wherein the second insulating layer is between the first insulating layer and the fourth insulating layer. Making a first fence; Forming a third insulating layer to form a second fence to prevent attack of the first insulating layer by chemicals through defects in the capacitor lower electrode during a subsequent dip-out process along the profile in which the first opening is formed; Forming a second spacer having a spacer shape on sidewalls of the first insulating layer, the first fence, and the fourth insulating layer forming the profile of the open part by performing an entire surface etching; Filling the first open portion and forming a conductive film substantially planar with the fourth insulating layer; Forming a sacrificial insulating film for capacitor formation on the conductive film; Selectively etching the sacrificial insulating layer to form a second open portion exposing the conductive layer; Forming a capacitor lower electrode in contact with the conductive layer along the profile of the second open portion; And removing the sacrificial insulating layer by performing a dip-out process so that the capacitor lower electrode has a cylindrical shape.

본 발명은 실린더형 캐패시터 형성을 위한 희생절연막 딥-아웃 공정시 케미컬이 하부전극 밑으로 침투하는 것을 방지하기 위한 펜스를 갖도록 한다.The present invention has a fence for preventing the chemical from penetrating under the lower electrode during the sacrificial insulating film dip-out process for forming the cylindrical capacitor.

즉, 스토리지노드용 콘택 플러그와 평탄화되는 산화막 계열의 절연막의 상부 또는 중간에 질화막 계열의 물질막을 이용하여 펜스를 갖도록 하고, 또한 펜스를 포함하는 절연막의 측면에 질화막 계열의 물질막을 이용한 스페이서 형상의 펜스를 갖도록 함으로써, 딥-아웃 공정에서 하부전극에 디펙트가 있거나 하부전극의 스텝커버리지가 불량하더라도 펜스를 통해 케미컬의 침투를 차단한다.
That is, a fence having a spacer shape using a nitride film-based material film on the side of the insulating film including a fence and having a fence by using a nitride film-based material film on or in the middle of the planarized contact plug for the storage node and the oxide film-based insulating film. By having a, even if there is a defect in the lower electrode or the step coverage of the lower electrode in the dip-out process, the penetration of the chemical through the fence is blocked.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. do.

도 5는 캐패시터가 형성된 본 발명의 반도체 소자를 개략적으로 도시한 평면도이다.5 is a plan view schematically illustrating a semiconductor device of the present invention in which a capacitor is formed.

도 5를 참조하면, y방향으로 라인 형태로 확장된 복수의 게이트전극(G1 ∼ G3)이 일정 간격으로 배치되어 있고, 게이트전극(G1 ∼ G3) 상부에는 게이트전극(G1 ∼ G3)과 교차하는 방향인 x방향으로 라인 형태로 확장된 복수의 비트라인(BL1 ∼ BL3)이 배치되어 있다. 게이트전극(G1 ∼ G3) 사이의 기판의 불순물 확산영역에는 셀콘택 플러그(도시하지 않음)이 형성되고 있으며, 비트라인 BL1과 BL2는 각각 비트라인 콘택 플러그 BLC1 및 BLC2를 통해 셀콘택 플러그 또는 기판의 불순물 확산영역과 콘택되어 있다. 셀콘택 플러그 중 일부는 비트라인(BL1 ∼ BL3)의 측면에 얼라인된 오픈부를 매립하는 스토리지노드용 콘택 플러그(SNC1 ∼ SNC4)에 콘택되어 있으며, 스토리지노드용 콘택 플러그(SNC1 ∼ SNC4) 상에는 각각 셀 캐패시터(Cap1 ∼ Cap4)가 형성되어 있다.Referring to FIG. 5, a plurality of gate electrodes G1 to G3 extending in a line shape in the y direction are arranged at regular intervals, and the gate electrodes G1 to G3 intersect with the gate electrodes G1 to G3. A plurality of bit lines BL1 to BL3 extended in a line form in the x direction, which is a direction, are disposed. Cell contact plugs (not shown) are formed in the impurity diffusion region of the substrate between the gate electrodes G1 to G3, and the bit lines BL1 and BL2 are formed through the bit line contact plugs BLC1 and BLC2, respectively. It is in contact with the impurity diffusion region. Some of the cell contact plugs are in contact with the storage node contact plugs SNC1 to SNC4, which bury the open portions aligned on the side surfaces of the bit lines BL1 to BL3, respectively, on the storage node contact plugs SNC1 to SNC4. The cell capacitors Cap1 to Cap4 are formed.

도 6은 도 5를 a-a' 방향으로 절취한 본 발명의 일실시예에 따른 반도체 소자를 도시한 단면도이다. FIG. 6 is a cross-sectional view of a semiconductor device according to an exemplary embodiment of the present invention taken along the line a-a '.                     

도 6을 참조하면, 본 발명의 반도체 소자는 소정의 오픈부를 갖도록 배치되며 오픈부를 통해 하부의 도전영역 예컨대, 셀콘택 플러그(107)를 노출시키는 제2 및 제3절연막(109, 113)과, 오픈부를 매립하며 노출된 셀콘택 플러그(107)와 전기적으로 접속된 도전막 예컨대, 스토리지노드용 콘택 플러그(117)와, 스토리지노드용 콘택 플러그(117) 상에 배치된 캐패시터 하부전극(121)를 포함하며, 제3절연막(113)은 캐패시터 하부전극(121)의 결함을 통해 침투하는 케미컬의 어택을 방지하기 위해 그 최상부에 제1펜스(114)를 갖고, 제1펜스(114) 및 오픈부를 이루는 제2 및 제3절연막(109, 113)의 측벽을 감싸는 스페이서 형상의 제2펜스(116)을 갖는다.Referring to FIG. 6, the semiconductor device of the present invention is disposed to have a predetermined open portion, and exposes second and third insulating layers 109 and 113 to expose a lower conductive region such as the cell contact plug 107 through the open portion. A conductive layer, for example, a storage node contact plug 117 and a capacitor lower electrode 121 disposed on the storage node contact plug 117 are electrically connected to the exposed cell contact plug 107 by filling the open part. The third insulating layer 113 has a first fence 114 at the top thereof to prevent the attack of the chemical penetrating through the defect of the capacitor lower electrode 121, and the first fence 114 and the open portion. The second fence 116 has a spacer shape surrounding sidewalls of the second and third insulating layers 109 and 113.

한편, 여기서는 셀콘택 플러그(107)를 도전영역의 예로 들었으나, 도전영역은 이러한 셀콘택 플러그 외에 기판(100)의 물순물 확산영역(104) 금속배선, 게이트전극, 비트라인 등 도전성을 갖는 모든 형태의 패턴을 포함한다.Meanwhile, although the cell contact plug 107 is used as an example of the conductive region, the conductive region may be formed of any conductive material such as a metal wiring, a gate electrode, and a bit line in addition to the cell contact plug. Include patterns of forms.

또한, 여기서는 스토리지노드용 콘택 플러그(117)을 도전막의 예로 하였으나, 이외에도 그 상부에 캐패시터가 접속되는 모든 형태의 도전성을 갖는 패턴을 포함한다.In addition, although the storage node contact plug 117 is used as an example of the conductive film, the conductive plug includes all types of conductive patterns in which a capacitor is connected.

도 6에 도시된 예에서의 다른 구성 요소를 보다 구체적으로 살펴 본다.Other components in the example shown in FIG. 6 will be described in more detail.

기판(100)에 소스/드레인 등의 불순물 확산영역(104)이 형성되어 있다. 불순물 확산영역(104)은 게이트전극에 얼라인되도록 형성하나, 도 6의 단면에서는 게이트전극은 나타나지 않는다. 셀콘택 플러그(107)는 제1절연막(106)을 관통하여 불순물 확산영역(104)에 콘택되고, 그 상부는 제1절연막(106)과 실질적으로 평탄화되어 있다. An impurity diffusion region 104 such as a source / drain is formed in the substrate 100. The impurity diffusion region 104 is formed to be aligned with the gate electrode, but the gate electrode does not appear in the cross section of FIG. 6. The cell contact plug 107 penetrates through the first insulating film 106 and contacts the impurity diffusion region 104, and is substantially flattened with the first insulating film 106.                     

제2절연막(109)과 제1절연막(106) 사이에는 제2오픈부 즉, 스토리지노드용 콘택홀 형성시 하부 셀콘택 플러그(107)의 어택을 방지하기 위한 제1식각정지막(108)이 질화막 계열의 절연성 막을 이용하여 형성되어 있다. 제2절연막(109) 상에는 비트라인(BL1 ∼ BL3)이 형성되어 있으며, 비트라인(BL1 ∼ BL3)은 제3절연막(113)에 의해 둘러 쌓여 있고, 스토리지노드용 콘택홀 즉, 제2오픈부의 식각 프로파일은 비트라인(BL1 ∼ BL3)에 얼라인되어 있다.Between the second insulating layer 109 and the first insulating layer 106, a first etch stop layer 108 is formed to prevent attack of the lower cell contact plug 107 when forming a second opening, that is, a storage node contact hole. It is formed using an insulating film of nitride film series. Bit lines BL1 to BL3 are formed on the second insulating layer 109, and the bit lines BL1 to BL3 are surrounded by the third insulating layer 113, and contact holes for storage nodes, that is, second open portions are formed. The etching profile is aligned with the bit lines BL1 to BL3.

비트라인(BL1 ∼ BL3)은 텅스텐 등을 포함하는 전도막(110)과 그 상부의 절연성 하드마스크(111) 및 그 측벽의 스페이서(112)를 구비한다. The bit lines BL1 to BL3 include a conductive film 110 made of tungsten or the like, an insulating hard mask 111 thereon, and spacers 112 on the sidewalls thereof.

여기서, 캐패시터 하부전극(121)은 폴리실리콘, Ti, TiN, Ta, TaN, Ir, IrO2, Ru, RuO2, Pt 등의 단독 또는 조합된 구성으로 이루어지고, 하부전극(121)과 스토리지노드용 콘택 플러그(117) 사이의 계면에 Ti, TiN, Ta, TaN, TiSi2 등의 단독 또는 조합된 구성을 갖는 배리어막을 더 포함할 수 있으며, 스토리지노드용 콘택 플러그(117)와 배리어막과의 사이에 도전성 접착층을 더 포함할 수도 있다.Here, the capacitor lower electrode 121 is made of polysilicon, Ti, TiN, Ta, TaN, Ir, IrO 2 , Ru, RuO 2 , Pt or the like or a combination of the lower electrode 121 and the storage node A barrier film having a single or combined configuration such as Ti, TiN, Ta, TaN, TiSi 2 , or the like may be further included at an interface between the contact plugs 117 for the storage node and the contact plug 117 for the storage node. A conductive adhesive layer may be further included in between.

하부전극(121)은 실린더 구조이며, 하부전극(121) 상에는 유전체막(122)이 형성되어 있고, 유전체막(122) 상에는 상부전극(123)이 형성되어 있다. 따라서, 상부전극(123)과 유전체막(122) 및 하부전극(121)은 실린더 형상의 캐패시터(Cap1, Cap2)를 이룬다.The lower electrode 121 has a cylindrical structure, a dielectric film 122 is formed on the lower electrode 121, and an upper electrode 123 is formed on the dielectric film 122. Therefore, the upper electrode 123, the dielectric film 122, and the lower electrode 121 form cylindrical capacitors Cap1 and Cap2.

도 7은 도 5를 b-b' 방향으로 절취한 본 발명의 일실시예에 따른 반도체 소자를 도시한 단면도이다. FIG. 7 is a cross-sectional view illustrating a semiconductor device in accordance with an embodiment of the present disclosure taken along the line b-b ′ of FIG. 5.                     

도 6은 비트라인(BL1 ∼ BL3)과 교차하는 방향으로 절취한 것이므로, 단면 상에 비트라인(BL1 ∼ BL3)이 나타나는 반면, 게이트전극(G1 ∼ G3)은 나타나지 않는다.6 is cut out in the direction crossing the bit lines BL1 to BL3, so that the bit lines BL1 to BL3 appear on the cross section, while the gate electrodes G1 to G3 do not appear.

한편, 도 7은 게이트전극(G1, G2)과 교차하는 방향으로 절취한 것이므로, 단면 상에 게이트전극(G1, G2)이 나타나는 반면, 비트라인(BL1 ∼ BL3)은 나타나지 않는다.On the other hand, since Fig. 7 is cut in the direction crossing the gate electrodes G1 and G2, the gate electrodes G1 and G2 appear on the cross section, whereas the bit lines BL1 to BL3 do not appear.

도 7의 구성 중 도 6과 동일한 구성 요소에 대해서는 그 설명을 생략한다.In the configuration of FIG. 7, the same components as in FIG. 6 will be omitted.

도 7을 참조하면, 기판(100) 상에 게이트 절연막(101)과 게이트 전도막(102) 및 절연성 하드마스크(103)가 적층되고 그 측벽에 스페이서 형상의 식각정지막(105b, 스페이서는 생략하였슴)을 갖는다. 따라서, 불순물 확산영역(104)는 게이트전극(G1, G2)의 측면에 얼라인되어 기판(100) 표면 하부에 확장된 형태를 갖고, 셀콘택 플러그(107)는 게이트전극(G1, G2)에 얼라인되어 형성된 제1오픈부를 매립하고 절연성 하드마스크(103) 및 제1절연막(106)과 실질적으로 평탄화되어 있다.Referring to FIG. 7, the gate insulating layer 101, the gate conductive layer 102, and the insulating hard mask 103 are stacked on the substrate 100, and the spacer type etch stop layer 105b and the spacer are omitted on the sidewalls. Has Therefore, the impurity diffusion region 104 is aligned with the side surfaces of the gate electrodes G1 and G2 and extends below the surface of the substrate 100. The cell contact plug 107 is formed on the gate electrodes G1 and G2. The first open portion is aligned and buried and is substantially planarized with the insulating hard mask 103 and the first insulating film 106.

게이트 절연막(101)은 산화막 계열의 절연성 막 예컨대, 실리콘산화막을 사용하고, 게이트 전도막(102)은 폴리실리콘, 텅스텐, 텅스텐 실리사이드, 텅스텐 나이트라이드 등이 단독 또는 조합된 구성을 포함하며, 절연성 하드마스크(103)와 식각정지막(105b)은 실리콘 질화막 또는 실리콘 산화질화막 등의 질화막 계열을 포함한다. 제1 내지 제3절연막(106, 109, 113)은 통상의 산화막 계열의 절연막으로 이루어진다.The gate insulating film 101 uses an oxide-based insulating film such as a silicon oxide film, and the gate conductive film 102 includes a structure in which polysilicon, tungsten, tungsten silicide, tungsten nitride, or the like is used alone or in combination. The mask 103 and the etch stop film 105b include a nitride film series such as a silicon nitride film or a silicon oxynitride film. The first to third insulating films 106, 109, and 113 are made of a conventional oxide film-based insulating film.

도 6 및 도 7에 도시된 일실시예에서는 스토리지노드용 콘택 플러그(117)와 평탄화되는 제3절연막(113)의 상부에 질화막 계열의 물질막을 이용하여 제1펜스(114)를 갖도록 하고, 제1펜스(114)를 포함하는 제3절연막(113)과 제2절연막(109)의 측벽에 질화막 계열의 물질막을 이용한 스페이서 형상의 제2펜스(116)를 갖도록 함으로써, 딥-아웃 공정에서 하부전극에 디펙트(결함)가 있거나 하부전극의 스텝커버리지가 불량하더라도 펜스를 통해 케미컬의 침투를 차단할 수 있다.6 and 7, the first fence 114 is formed by using a nitride film-based material film on the storage node contact plug 117 and the third insulating film 113 planarized. The lower electrode in the dip-out process by having the spacer-shaped second fence 116 using a nitride film-based material film on the sidewalls of the third insulating film 113 including the one fence 114 and the second insulating film 109. Even if there is a defect (defect) or poor step coverage of the lower electrode, it is possible to block the chemical penetration through the fence.

도 8은 도 5를 a-a' 방향으로 절취한 본 발명의 다른 실시예에 따른 반도체 소자를 도시한 단면도이다.FIG. 8 is a cross-sectional view of a semiconductor device according to another exemplary embodiment in which FIG. 5 is cut along the a-a 'direction.

도 8을 참조하면, 본 발명의 반도체 소자는 소정의 오픈부를 갖도록 배치되며 오픈부를 통해 하부의 도전영역 예컨대, 셀콘택 플러그(107)를 노출시키는 제2 내지 제4절연막(109, 113, 124)과, 오픈부를 매립하며 노출된 셀콘택 플러그(107)와 전기적으로 접속된 도전막 예컨대, 스토리지노드용 콘택 플러그(117)와, 스토리지노드용 콘택 플러그(117) 상에 배치된 캐패시터 하부전극(121)를 포함하며, 제3절연막(113)과 제4절연막(124) 사이에는 캐패시터 하부전극(121)의 결함을 통해 침투하는 케미컬의 어택을 방지하기 위해 제1펜스(114)를 갖고, 제1펜스(114) 및 오픈부를 이루는 제2 내지 제4절연막(109, 113, 124)의 측벽을 감싸는 스페이서 형상의 제2펜스(116)을 갖는다.Referring to FIG. 8, the semiconductor device of the present invention is disposed to have a predetermined open portion and exposes second to fourth insulating layers 109, 113, and 124 through which the exposed conductive region, for example, the cell contact plug 107, is exposed. And a conductive film electrically connected to the exposed cell contact plug 107 by filling the open part, for example, a storage node contact plug 117 and a capacitor lower electrode 121 disposed on the storage node contact plug 117. And a first fence 114 between the third insulating film 113 and the fourth insulating film 124 to prevent attack of the chemical penetrating through the defect of the capacitor lower electrode 121. A second fence 116 having a spacer shape is formed around the sidewalls of the second to fourth insulating layers 109, 113, and 124 forming the fence 114 and the open part.

한편, 여기서 제4절연막(124)은 제거될 수도 있다.In some embodiments, the fourth insulating layer 124 may be removed.

여기서, 도 6 및 도 7과 동일한 구성 요소에 대해서는 동일 부호를 사용하였으며, 그 구체적인 설명을 생략한다.Here, the same reference numerals are used for the same components as those in FIGS. 6 and 7, and a detailed description thereof will be omitted.

도 9는 도 5를 b-b' 방향으로 절취한 본 발명의 다른 실시예에 따른 반도체 소를 도시한 단면도이다.FIG. 9 is a cross-sectional view illustrating a semiconductor chip according to another exemplary embodiment in which FIG. 5 is cut along the b-b 'direction.

도 8은 비트라인(BL1 ∼ BL3)과 교차하는 방향으로 절취한 것이므로, 단면 상에 비트라인(BL1 ∼ BL3)이 나타나는 반면, 게이트전극(G1 ∼ G3)은 나타나지 않는다.8 is cut out in the direction crossing the bit lines BL1 to BL3, the bit lines BL1 to BL3 appear on the cross-section, whereas the gate electrodes G1 to G3 do not appear.

한편, 도 9는 게이트전극(G1, G2)과 교차하는 방향으로 절취한 것이므로, 단면 상에 게이트전극(G1, G2)이 나타나는 반면, 비트라인(BL1 ∼ BL3)은 나타나지 않는다.9 is cut out in the direction crossing the gate electrodes G1 and G2, the gate electrodes G1 and G2 appear on the cross section while the bit lines BL1 to BL3 do not appear.

여기서도, 도 6 내지 도 8과 동일한 구성 요소에 대해서는 그 설명을 생략한다.Here, the description of the same components as in Figs. 6 to 8 is omitted.

도 8 및 도 9에 도시된 본 발명의 다른 실시예에서는 스토리지노드용 콘택 플러그(117)와 평탄화되는 제4절연막(124)과 제3절연막(113)의 사이에 질화막 계열의 물질막을 이용하여 제1펜스(114)를 갖도록 하고, 제1펜스(114)를 포함하는 제4절연막(124)과 제3절연막(113) 및 제2절연막(109)의 측벽에 질화막 계열의 물질막을 이용한 스페이서 형상의 제2펜스(116)를 갖도록 함으로써, 딥-아웃 공정에서 하부전극에 결함이 있거나 하부전극의 스텝커버리지가 불량하더라도 펜스를 통해 케미컬의 침투를 차단할 수 있다.8 and 9, a nitride film-based material film is formed between the storage node contact plug 117 and the planarized fourth insulating film 124 and the third insulating film 113. It has a spacer shape using a nitride film-based material film on the sidewalls of the fourth insulating film 124 including the first fence 114, the third insulating film 113 and the second insulating film 109 including one fence 114. By having the second fence 116, the penetration of the chemical through the fence can be blocked even if the lower electrode is defective or the step coverage of the lower electrode is poor in the dip-out process.

이하에서는 전술한 구성을 갖는 본 발명의 반도체 소자 제조 공정을 살펴 본다.Hereinafter, the semiconductor device manufacturing process of the present invention having the above-described configuration will be described.

도 10a 내지 도 10d는 도 6 및 도 7에 해당하는 반도체 소자 제조 공정을 도시한 단면도로서, 도 5를 a-a' 및 b-b' 방향으로 절취한 단면에 해당한다. 10A to 10D are cross-sectional views illustrating a semiconductor device manufacturing process corresponding to FIGS. 6 and 7, and correspond to cross sections taken along the line a-a 'and b-b' of FIG. 5.                     

먼저, 도 10a에 도시된 바와 같이, 웰 및 트랜지스터와 같은 반도체 소자를 이루기 위한 여러 요소가 형성된 반도체 기판(100) 상에 게이트 절연막(101)과 게이트 전도막(102) 및 절연성 하드마스크(103)가 적층된 게이트전극(G1, G2)를 형성한다.First, as shown in FIG. 10A, a gate insulating film 101, a gate conductive film 102, and an insulating hard mask 103 are formed on a semiconductor substrate 100 on which various elements for forming semiconductor devices such as wells and transistors are formed. Form the stacked gate electrodes G1 and G2.

한편, 게이트전극(G1, G2)의 측벽에 스페이서를 형성하나 여기서는 스페이서 형성 공정을 생략한다.Meanwhile, spacers are formed on sidewalls of the gate electrodes G1 and G2, but the spacer forming process is omitted here.

이어서, 이온주입 공정을 실시하여 게이트전극(G1, G2) 측면에 얼라인되는 소스/드레인 등의 불순물 확산영역(104)을 형성한다.Subsequently, an ion implantation process is performed to form an impurity diffusion region 104 such as a source / drain aligned with the gate electrodes G1 and G2.

이어서, 게이트전극(G1, G2)이 형성된 프로파일을 따라 제1식각정지막(105a)을 형성한 다음, 전면에 제1절연막(106)을 형성한다.Subsequently, the first etch stop layer 105a is formed along the profile in which the gate electrodes G1 and G2 are formed, and then the first insulating layer 106 is formed on the entire surface.

제1절연막(106)을 산화막 계열의 물질막으로 이용할 경우에는 BSG막, BPSG막, PSG막, TEOS막, HDP 산화막, SOG막 또는 APL막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계열의 저유전율막을 이용할 수 있다.When the first insulating film 106 is used as an oxide-based material film, a BSG film, a BPSG film, a PSG film, a TEOS film, an HDP oxide film, an SOG film, or an APL film is used. Dielectric constant film can be used.

이어서, 제1절연막(106)을 선택적으로 식각하여 불순물 확산영역(104)을 노출시키는 콘택홀을 형성한 다음, 플러그 형성용 전도막을 증착하고, 절연성 하드마스크(103)가 노출되는 타겟으로 평탄화 공정을 실시하여 아이솔레이션된 셀콘택 플러그(107)를 형성한다.Subsequently, the first insulating layer 106 is selectively etched to form a contact hole exposing the impurity diffusion region 104, and then a conductive film for forming a plug is deposited and planarized to a target to which the insulating hard mask 103 is exposed. To form the isolated cell contact plug 107.

한편, 콘택홀 형성 공정에서 식각정지막(105a)이 식각되어 게이트전극(G1, G2) 측면에서 도면부호 '105b'와 같이 스페이서 형상을 갖는다.In the contact hole forming process, the etch stop layer 105a is etched to have a spacer shape as shown by reference numeral 105b on the side of the gate electrodes G1 and G2.

이어서, 후속 스토리지노드 콘택 형성을 위한 식각 공정에서 셀콘택 플러그 (107)가 어택받는 것을 방지하기 위해 셀콘택 플러그(107) 및 제1절연막(106) 상에 제1식각정지막(108)을 형성한다. 제1식각정지막(108)으로는 질화막 계열의 절연성 막을 사용한다.Subsequently, a first etch stop layer 108 is formed on the cell contact plug 107 and the first insulating layer 106 to prevent the cell contact plug 107 from being attacked in an etching process for forming a subsequent storage node contact. do. As the first etch stop layer 108, an insulating film of a nitride film series is used.

이어서, 제1식각정지막(108) 상에 제2절연막(109)을 형성한 다음, 셀콘택 플러그(107) 중 일부와 비트라인 콘택을 통해 콘택된(또는 불순물 확산영역(104)과 콘택된) 비트라인(BL1 ∼ BL3)을 형성한다.Subsequently, a second insulating layer 109 is formed on the first etch stop layer 108, and then a portion of the cell contact plug 107 is contacted (or contacted with the impurity diffusion region 104) through a bit line contact. ) Bit lines BL1 to BL3 are formed.

비트라인(BL1 ∼ BL3)은 전도막(110)과 절연성 하드마스크(111)의 적층 구조와 측벽의 스페이서(112)로 이루어진다.The bit lines BL1 to BL3 are formed of a stacked structure of the conductive film 110 and the insulating hard mask 111 and the spacers 112 on the sidewalls.

이어서, 비트라인(BL1 ∼ BL3)이 형성된 전면에 제3절연막(113)을 형성한 다음, 제3절연막(113) 상에 후속 딥-아웃 공정시 캐패시터 하부전극의 결함을 통한 케미컬에 의한 제3절연막(113)의 어택을 방지하기 위한 제1펜스 형성용 절연막(114a)를 형성한다. 제1펜스 형성용 절연막(114a)은 질화막 계열의 절연성 막을 포함한다.Subsequently, a third insulating film 113 is formed on the entire surface where the bit lines BL1 to BL3 are formed, and then a third chemical is generated through defects in the capacitor lower electrode during the subsequent dip-out process on the third insulating film 113. A first fence forming insulating film 114a is formed to prevent attack of the insulating film 113. The first fence forming insulating film 114a includes an insulating film of a nitride film series.

이어서, 도 10b에 도시된 바와 같이, 제1펜스 형성용 절연막(114a)과 제3절연막(113) 및 제2절연막(109)을 선택적으로 식각하여 제1식각정지막(108)에서 식각 멈춤을 함으로써, 스토리지노드 콘택이 이루어질 셀콘택 플러그(107)를 상부를 노출시키는 제1오픈부(115)를 형성한다.Subsequently, as illustrated in FIG. 10B, the first fence formation insulating layer 114a, the third insulating layer 113, and the second insulating layer 109 are selectively etched to stop the etch stop in the first etch stop layer 108. As a result, the first opening portion 115 exposing the upper portion of the cell contact plug 107 to which the storage node contact is to be formed is formed.

이 때, 제1펜스 형성용 절연막(114a)은 식각되어 제3절연막(113)의 최상부에서 제1펜스(114)를 이룬다.At this time, the first fence forming insulating film 114a is etched to form the first fence 114 at the top of the third insulating film 113.

이어서, 제1오픈부(115) 저면에서의 제1식각정지막(108)을 제거하여 셀콘택 플러그(107)를 노출시킨다.Subsequently, the first etch stop layer 108 on the bottom surface of the first open part 115 is removed to expose the cell contact plug 107.

이어서, 셀콘택 플러그(107)가 노출된 제1오픈부(115)가 형성된 프로파일을 따라 후속 딥-아웃 공정시 캐패시터의 하부전극의 결함을 통한 케미컬에 의한 제3절연막(113)의 어택을 방지하기 위한 제2펜스용 절연막을 증착한 다음, 전면식각을 실시하여 제1펜스(114)와 제3절연막(113) 및 제2절연막(109)이 식각되어 형성된 제1오픈부(115)의 측벽에 스페이서 형상의 제2펜스(116)를 형성한다. 제2펜스용 절연막으로는 질화막 계열의 절연성 막을 이용한다.Subsequently, the third insulating layer 113 is prevented from being attacked by the chemical through the defect of the lower electrode of the capacitor during the subsequent dip-out process along the profile in which the first open portion 115 having the cell contact plug 107 is exposed. After the deposition of the second fence insulating film for the purpose of etching, the first side 114 of the first open portion 115 formed by etching the first fence 114, the third insulating film 113 and the second insulating film 109 by etching The spacer-shaped second fence 116 is formed. A nitride film-based insulating film is used as the second fence insulating film.

이어서, 도 10c에 도시된 바와 같이, 제1오픈부(115)를 매립하도록 전도막을 증착한 다음, 전면식각 또는 CMP 등을 이용한 평탄화 공정을 실시하여 제1펜스(114)와 그 상부가 평탄화되고 아이솔레이션된 복수의 스토리지노드용 콘택 플러그(117)를 형성한다. Subsequently, as illustrated in FIG. 10C, a conductive film is deposited to fill the first open part 115, and then the first fence 114 and the upper part thereof are planarized by performing a planarization process using an entire surface etching or CMP. A plurality of isolated contact plugs for storage nodes are formed.

스토리지노드용 콘택 플러그(117)는 폴리실리콘의 단독 또는 폴리실리콘이 Ti, TiN, Ta, TaN 등과 조합된 구성을 포함한다.The storage node contact plug 117 may include a configuration in which polysilicon alone or polysilicon is combined with Ti, TiN, Ta, TaN, and the like.

이어서, 스토리지노드용 콘택 플러그(117)가 형성된 전면에 후속 캐패시터의 스토리지노드 형성 영역을 정의하는 오픈부 형성시 스토리지노드용 콘택 플러그(117)의 어택을 방지하기 위한 식각 멈춤 역할을 하는 제2식각정지막(118)을 형성한다.Subsequently, a second etching acts as an etch stop to prevent attack of the storage node contact plug 117 when forming an opening defining a storage node forming region of a subsequent capacitor on the front surface on which the storage node contact plug 117 is formed. The stop film 118 is formed.

제2식각정지막(118)은 실리콘질화막 또는 실리콘산화질화막 등의 절연성을 갖는 질화막 계열의 물질막을 이용한다.The second etch stop layer 118 uses a nitride film-based material film such as a silicon nitride film or a silicon oxynitride film.

이어서, 제2식각정지막(118) 상에 캐패시터 형성용 희생절연막(119)을 형성 한다. 희생절연막(119)으로 통상의 산화막 계열의 물질막을 사용할 수 있으며, 주로 TEOS막의 단독 또는 TEOS막/PSG막의 적층 구조를 사용한다.Subsequently, a sacrificial insulating layer 119 for forming a capacitor is formed on the second etch stop layer 118. As the sacrificial insulating film 119, a conventional oxide film-based material film can be used, and mainly a single structure of TEOS film or a stacked structure of TEOS film / PSG film is used.

이어서, 도 10d에 도시된 바와 같이, 희생절연막(119) 상에 캐패시터의 스토리지노드 콘택 형성 영역을 정의하는 마스크 패턴(도시하지 않음)을 형성한다.Subsequently, as shown in FIG. 10D, a mask pattern (not shown) defining a storage node contact formation region of the capacitor is formed on the sacrificial insulating layer 119.

마스크 패턴은 보통 포토레지스트 패턴 만을 나타내는 것이었으나, 근래에는 캐패시터의 수직 높이가 증가함에 따라 이를 결정하는 희생절연막(119)의 높이가 증가함으로 인해, 또한 고해상도르 구현하기 위해서는 포토레지스트 패턴의 두께가 얇아짐으로 인해 포토레지스트 패턴 만으로는 희생절연막(119)을 식각하는 것에 한계가 발생하였다. 이로 인해 포토레지스트 패턴 하부에 식각 배리어용으로 사용될 희생 하드마스크를 사용하며, 포토레지스트 패턴을 이용하여 희생 하드마스크에 패턴을 전사한 다음, 희생 하드마스크를 이용하여 피식각층, 희생절연막(14)을 식각하여 원하는 패턴을 형성하고 있다. 이러한 희생 하드마스크 물질로는 폴리실리콘, 텅스텐, 질화막 등이 사용되고 있으며, 피식각층의 종류에 따라 다양하게 사용된다.The mask pattern usually represents only a photoresist pattern, but in recent years, as the vertical height of the capacitor increases, the height of the sacrificial insulating layer 119 for determining this increases, and in order to realize high resolution, the thickness of the photoresist pattern is thin. Due to the load, there is a limit in etching the sacrificial insulating layer 119 using only the photoresist pattern. Therefore, a sacrificial hard mask to be used as an etch barrier is used under the photoresist pattern, the pattern is transferred to the sacrificial hard mask using the photoresist pattern, and then the etched layer and the sacrificial insulating layer 14 are removed using the sacrificial hard mask. Etching forms a desired pattern. As the sacrificial hard mask material, polysilicon, tungsten, a nitride film, and the like are used, and various kinds of sacrificial layers are used.

이어서, 마스크 패턴을 식각마스크로 희생절연막(119)을 선택적으로 식각하여 스토리지노드용 콘택 플러그(117) 상부의 제2식각정지막(118)을 노출시키는 제2오픈부(120)를 형성한다.Subsequently, the sacrificial insulating layer 119 is selectively etched using the mask pattern as an etch mask to form a second open portion 120 exposing the second etch stop layer 118 on the storage node contact plug 117.

여기서, 제2오픈부(120)는 캐패시터 형성 예정 영역을 나타낸다.Here, the second open part 120 represents a capacitor formation region.

이 때, 제2오픈부(120)의 식각 프로파일이 비트라인(BL1 ∼ BL3)의 측면에 얼라인되며, 큰 식각 타겟으로 인한 식각 공정의 마진 확보를 위해 SAC에 의한 식 각 공정을 적용한다.At this time, the etching profile of the second open portion 120 is aligned with the side of the bit lines BL1 to BL3, and an etching process by SAC is applied to secure the margin of the etching process due to the large etching target.

이어서, 제2식각정지막(118)을 제거하여 스토리지노드용 콘택 플러그(117)를 노출시킨다.Next, the second etch stop layer 118 is removed to expose the storage node contact plug 117.

이어서, 제2오픈부(120) 형성에 따른 식각 잔류물과 제2오픈부(120) 저면에 형성된 계면 산화막 등을 제거하기 위해 세정 공정을 실시한다. 세정 공정은 습식 방식을 이용하며, 케미컬로는 HF 또는 BOE 등을 사용한다.Subsequently, a cleaning process is performed to remove the etching residue resulting from the formation of the second open portion 120 and the interfacial oxide film formed on the bottom surface of the second open portion 120. The cleaning process uses a wet method, and the chemical uses HF or BOE.

이어서, 제2오픈부(120)가 형성된 프로파일을 따라 스토리지노드용 전도막을 증착하여 제2오픈부(120) 형성에 따라 노출된 스토리지노느용 콘택 플러그(117)와 전기적으로 접속시킨다. Subsequently, the conductive film for the storage node is deposited along the profile in which the second open part 120 is formed, and is electrically connected to the storage plug contact plug 117 exposed according to the formation of the second open part 120.

이어서, 보호막을 증착하여 오픈부(120)의 빈 부분을 채운 다음, 희생절연막(119)이 노출되는 타겟으로 전면식각 또는 CMP 공정을 실시하여 아이솔레이션된 캐패시터의 하부전극(121) 즉, 스토리지노드를 형성한다.Subsequently, the passivation layer is deposited to fill the empty portion of the open part 120, and then the bottom electrode 121, that is, the storage node, of the isolated capacitor is formed by performing a front etch or CMP process on the target to which the sacrificial insulating layer 119 is exposed. Form.

여기서, 하부전극용 전도막은 폴리실리콘, Ti, TiN, Ta, TaN, Ir, IrO2, Ru, RuO2, Pt 등의 단독 또는 조합된 구성을 포함한다.Here, the conductive film for the lower electrode includes a single or combined configuration of polysilicon, Ti, TiN, Ta, TaN, Ir, IrO 2 , Ru, RuO 2 , Pt and the like.

아울러, 하부전극(121)과 스토리지노드용 콘택 플러그(117) 사이의 계면에 Ti, TiN, Ta, TaN, TiSi2 등의 단독 또는 조합된 구성을 갖는 배리어막을 형성할 수 있으며, 또한 층간절연막 및 스토리지노드용 콘택 플러그(117)와 배리어막과 사이에 접착층을 더 포함할 수도 있다.In addition, a barrier film having a single or combined configuration such as Ti, TiN, Ta, TaN, TiSi 2 , or the like may be formed at an interface between the lower electrode 121 and the contact plug 117 for a storage node, and an interlayer insulating film and An adhesive layer may be further included between the storage node contact plug 117 and the barrier layer.

이어서, 보호막을 제거한 다음, 딥-아웃 공정을 실시하여 희생절연막(119)을 제거하여 하부전극(121)이 실린더 구조가 되도록 한다. 딥-아웃 공정에서는 HF 또는 BOE 등의 케미컬을 사용한다.Subsequently, after the protective film is removed, the sacrificial insulating film 119 is removed by performing a dip-out process so that the lower electrode 121 has a cylindrical structure. In the dip-out process, chemicals such as HF or BOE are used.

이어서, 하부전극(121) 상에 유전체막(122)과 상부전극(123)을 차례로 형성함으로써, 도 6 및 도 7에 도시된 셀 캐패시터 형성 공정이 완료된다.Subsequently, the dielectric film 122 and the upper electrode 123 are sequentially formed on the lower electrode 121, thereby completing the cell capacitor forming process illustrated in FIGS. 6 and 7.

도 11a 내지 도 11d는 도 8 및 도 9에 해당하는 반도체 소자 제조 공정을 도시한 단면도로서, 도 5를 a-a' 및 b-b' 방향으로 절취한 단면에 해당한다.11A to 11D are cross-sectional views illustrating a semiconductor device manufacturing process corresponding to FIGS. 8 and 9 and correspond to cross sections taken along the line a-a 'and b-b' of FIG. 5.

먼저, 도 11a에 도시된 바와 같이, 기판(100) 상에 게이트 절연막(101)과 게이트 전도막(102) 및 절연성 하드마스크(103)가 적층된 게이트전극(G1, G2)를 형성한다. 이어서, 이온주입 공정을 실시하여 게이트전극(G1, G2) 측면에 얼라인되는 소스/드레인 등의 불순물 확산영역(104)을 형성한다.First, as shown in FIG. 11A, gate electrodes G1 and G2 having the gate insulating film 101, the gate conductive film 102, and the insulating hard mask 103 stacked on the substrate 100 are formed. Subsequently, an ion implantation process is performed to form an impurity diffusion region 104 such as a source / drain aligned with the gate electrodes G1 and G2.

이어서, 게이트전극(G1, G2)이 형성된 프로파일을 따라 제1식각정지막(105a)을 형성한 다음, 전면에 제1절연막(106)을 형성한다.Subsequently, the first etch stop layer 105a is formed along the profile in which the gate electrodes G1 and G2 are formed, and then the first insulating layer 106 is formed on the entire surface.

이어서, 제1절연막(106)을 선택적으로 식각하여 불순물 확산영역(104)을 노출시키는 콘택홀을 형성한 다음, 플러그 형성용 전도막을 증착하고, 절연성 하드마스크(103)가 노출되는 타겟으로 평탄화 공정을 실시하여 아이솔레이션된 셀콘택 플러그(107)를 형성한다.Subsequently, the first insulating layer 106 is selectively etched to form a contact hole exposing the impurity diffusion region 104, and then a conductive film for forming a plug is deposited and planarized to a target to which the insulating hard mask 103 is exposed. To form the isolated cell contact plug 107.

한편, 콘택홀 형성 공정에서 식각정지막(105a)이 식각되어 게이트전극(G1, G2) 측면에서 도면부호 '105b'와 같이 스페이서 형상을 갖는다.In the contact hole forming process, the etch stop layer 105a is etched to have a spacer shape as shown by reference numeral 105b on the side of the gate electrodes G1 and G2.

이어서, 셀콘택 플러그(107) 및 제1절연막(106) 상에 제1식각정지막(108)을 형성한다. 제1식각정지막(108)으로는 질화막 계열의 절연성 막을 사용한다. Subsequently, a first etch stop layer 108 is formed on the cell contact plug 107 and the first insulating layer 106. As the first etch stop layer 108, an insulating film of a nitride film series is used.                     

이어서, 제1식각정지막(108) 상에 제2절연막(109)을 형성한 다음, 셀콘택 플러그(107) 중 일부와 비트라인 콘택을 통해 콘택된 비트라인(BL1 ∼ BL3)을 형성한다.Subsequently, a second insulating layer 109 is formed on the first etch stop layer 108, and then bit lines BL1 to BL3 are formed by contacting some of the cell contact plugs 107 through bit line contacts.

비트라인(BL1 ∼ BL3)은 전도막(110)과 절연성 하드마스크(111)의 적층 구조와 측벽의 스페이서(112)로 이루어진다.The bit lines BL1 to BL3 are formed of a stacked structure of the conductive film 110 and the insulating hard mask 111 and the spacers 112 on the sidewalls.

이어서, 비트라인(BL1 ∼ BL3)이 형성된 전면에 제3절연막(113)을 형성한 다음, 제3절연막(113) 상에 후속 딥-아웃 공정시 캐패시터 하부전극의 결함을 통한 케미컬에 의한 제3절연막(113)의 어택을 방지하기 위한 제1펜스 형성용 절연막(114a)를 형성한다. 제1펜스 형성용 절연막(114a)은 질화막 계열의 절연성 막을 포함한다. 이어서, 제1펜스 형성용 절연막(114a) 상에 산화막 계열의 절연성 막을 이용하여 제4절연막(124)을 형성한다.Subsequently, a third insulating film 113 is formed on the entire surface where the bit lines BL1 to BL3 are formed, and then a third chemical is generated through defects in the capacitor lower electrode during the subsequent dip-out process on the third insulating film 113. A first fence forming insulating film 114a is formed to prevent attack of the insulating film 113. The first fence forming insulating film 114a includes an insulating film of a nitride film series. Subsequently, a fourth insulating film 124 is formed on the first fence forming insulating film 114a by using an oxide-based insulating film.

이어서, 도 11b에 도시된 바와 같이, 제4절연막(124)과 제1펜스 형성용 절연막(114a)과 제3절연막(113) 및 제2절연막(109)을 선택적으로 식각하여 제1식각정지막(108)에서 식각 멈춤을 함으로써, 스토리지노드 콘택이 이루어질 셀콘택 플러그(107)를 상부를 노출시키는 제1오픈부(115)를 형성한다.Subsequently, as illustrated in FIG. 11B, the first etching stop layer may be selectively etched by selectively etching the fourth insulating layer 124, the first fence forming insulating layer 114a, the third insulating layer 113, and the second insulating layer 109. By etch stop at 108, the first open part 115 is formed to expose the upper portion of the cell contact plug 107 where the storage node contact is to be made.

이 때, 제1펜스 형성용 절연막(114a)은 식각되어 제4절연막(124)과 제3절연막(113)의 사이에서 제1펜스(114)를 이룬다.In this case, the first fence formation insulating layer 114a is etched to form the first fence 114 between the fourth insulating layer 124 and the third insulating layer 113.

이어서, 제1오픈부(115) 저면에서의 제1식각정지막(108)을 제거하여 셀콘택 플러그(107)를 노출시킨다.Subsequently, the first etch stop layer 108 on the bottom surface of the first open part 115 is removed to expose the cell contact plug 107.

이어서, 셀콘택 플러그(107)가 노출된 제1오픈부(115)가 형성된 프로파일을 따라 후속 딥-아웃 공정시 캐패시터의 하부전극의 결함을 통한 케미컬에 의한 제3절연막(113)의 어택을 방지하기 위한 제2펜스용 절연막을 증착한 다음, 전면식각을 실시하여 제4절연막(124)와 제1펜스(114)와 제3절연막(113) 및 제2절연막(109)이 식각되어 형성된 제1오픈부(115)의 측벽에 스페이서 형상의 제2펜스(116)를 형성한다. 제2펜스용 절연막으로는 질화막 계열의 절연성 막을 이용한다.Subsequently, the third insulating layer 113 is prevented from being attacked by the chemical through the defect of the lower electrode of the capacitor during the subsequent dip-out process along the profile in which the first open portion 115 having the cell contact plug 107 is exposed. After the deposition of the second fence insulating film for etching, the first etching formed by etching the fourth insulating film 124, the first fence 114, the third insulating film 113 and the second insulating film 109 by etching the entire surface A spacer-shaped second fence 116 is formed on the sidewall of the open portion 115. A nitride film-based insulating film is used as the second fence insulating film.

이어서, 도 11c에 도시된 바와 같이, 제1오픈부(115)를 매립하도록 전도막을 증착한 다음, 전면식각 또는 CMP 등을 이용한 평탄화 공정을 실시하여 제1펜스(114)와 그 상부가 평탄화되고 아이솔레이션된 복수의 스토리지노드용 콘택 플러그(117)를 형성한다. Subsequently, as illustrated in FIG. 11C, the conductive film is deposited to fill the first open portion 115, and then the first fence 114 and the upper portion thereof are planarized by performing a planarization process using front etching or CMP. A plurality of isolated contact plugs for storage nodes are formed.

이어서, 스토리지노드용 콘택 플러그(117)가 형성된 전면에 제2식각정지막(118)을 형성한다.Subsequently, a second etching stop layer 118 is formed on the entire surface where the contact plug 117 for the storage node is formed.

이어서, 제2식각정지막(118) 상에 캐패시터 형성용 희생절연막(119)을 형성한다. Subsequently, a sacrificial insulating layer 119 for forming a capacitor is formed on the second etch stop layer 118.

이어서, 도 11d에 도시된 바와 같이, 희생절연막(119) 상에 캐패시터의 스토리지노드 콘택 형성 영역을 정의하는 마스크 패턴(도시하지 않음)을 형성한다.Subsequently, as illustrated in FIG. 11D, a mask pattern (not shown) defining a storage node contact formation region of the capacitor is formed on the sacrificial insulating layer 119.

이어서, 마스크 패턴을 식각마스크로 희생절연막(119)을 선택적으로 식각하여 스토리지노드용 콘택 플러그(117) 상부의 제2식각정지막(118)을 노출시키는 제2오픈부(120)를 형성한다.Subsequently, the sacrificial insulating layer 119 is selectively etched using the mask pattern as an etch mask to form a second open portion 120 exposing the second etch stop layer 118 on the storage node contact plug 117.

이어서, 제2식각정지막(118)을 제거하여 스토리지노드용 콘택 플러그(117)를 노출시킨다. Next, the second etch stop layer 118 is removed to expose the storage node contact plug 117.                     

이어서, 제2오픈부(120) 형성에 따른 식각 잔류물과 제2오픈부(120) 저면에 형성된 계면 산화막 등을 제거하기 위해 세정 공정을 실시한다. Subsequently, a cleaning process is performed to remove the etching residue resulting from the formation of the second open portion 120 and the interfacial oxide film formed on the bottom surface of the second open portion 120.

이어서, 제2오픈부(120)가 형성된 프로파일을 따라 스토리지노드용 전도막을 증착하여 제2오픈부(120) 형성에 따라 노출된 스토리지노느용 콘택 플러그(117)와 전기적으로 접속시킨다. Subsequently, the conductive film for the storage node is deposited along the profile in which the second open part 120 is formed, and is electrically connected to the storage plug contact plug 117 exposed according to the formation of the second open part 120.

이어서, 보호막을 증착하여 오픈부(120)의 빈 부분을 채운 다음, 희생절연막(119)이 노출되는 타겟으로 전면식각 또는 CMP 공정을 실시하여 아이솔레이션된 캐패시터의 하부전극(121) 즉, 스토리지노드를 형성한다.Subsequently, the passivation layer is deposited to fill the empty portion of the open part 120, and then the bottom electrode 121, that is, the storage node, of the isolated capacitor is formed by performing a front etch or CMP process on the target to which the sacrificial insulating layer 119 is exposed. Form.

이어서, 보호막을 제거한 다음, 딥-아웃 공정을 실시하여 희생절연막(119)을 제거하여 하부전극(121)이 실린더 구조가 되도록 한다. 딥-아웃 공정에서는 HF 또는 BOE 등의 케미컬을 사용한다.Subsequently, after the protective film is removed, the sacrificial insulating film 119 is removed by performing a dip-out process so that the lower electrode 121 has a cylindrical structure. In the dip-out process, chemicals such as HF or BOE are used.

한편, 딥-아웃 공정에서 제4절연막(124)을 동시에 제거할 수도 있다.Meanwhile, the fourth insulating layer 124 may be removed at the same time in the dip-out process.

이어서, 하부전극(121) 상에 유전체막(122)과 상부전극(123)을 차례로 형성함으로써, 도 8 및 도 9에 도시된 셀 캐패시터 형성 공정이 완료된다.
Subsequently, the dielectric film 122 and the upper electrode 123 are sequentially formed on the lower electrode 121, thereby completing the cell capacitor forming process illustrated in FIGS. 8 and 9.

전술한 바와 같이 이루어지는 본 발명은, 스토리지노드용 콘택 플러그와 평탄화되는 산화막 계열의 절연막의 상부 또는 중간에 질화막 계열의 물질막을 이용하여 펜스를 갖도록 하고, 또한 펜스를 포함하는 절연막의 측면에 질화막 계열의 물질막을 이용한 스페이서 형상의 펜스를 갖도록 함으로써, 딥-아웃 공정에서 하부전극에 디펙트가 있거나 하부전극의 스텝커버리지가 불량하더라도 펜스를 통해 케 미컬의 침투를 차단할 수 있다.According to the present invention made as described above, a nitride film-based material film is formed on the upper or middle portion of the contact plug for the storage node and the oxide film-based insulating film to be planarized. By having a spacer-shaped fence using a material film, it is possible to block chemical penetration through the fence even if there is a defect in the lower electrode or the step coverage of the lower electrode is poor in the dip-out process.

또한, 캐패시터 형성 영역을 정의하는 제2오픈부 형성시 미스얼라인이 발생하더라도 제1 및 제2펜스에 의해 식각 프로파일을 원하는 방향으로 유도할 수 있어, 미스얼라인에 따른 불량 발생을 줄일 수 있음을 실시예를 통해 알아 보았다.
In addition, even if a misalignment occurs when the second open portion defining the capacitor formation region is formed, the etching profile may be induced by the first and second fences in a desired direction, thereby reducing the occurrence of defects due to the misalignment. It was found through the examples.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.
Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 바와 같은 본 발명은, 희생절연막의 딥-아웃에 따른 케미컬의 침투를 방지하여 불량 발생을 줄임으로써, 반도체 소자의 수율을 향상시키는 효과가 있다.The present invention as described above, by preventing the penetration of the chemical due to the dip-out of the sacrificial insulating film to reduce the occurrence of defects, there is an effect to improve the yield of the semiconductor device.

Claims (15)

하부의 도전영역을 노출시키는 오픈부를 갖는 절연막;An insulating film having an open portion exposing a lower conductive region; 상기 오픈부를 매립하며 상기 도전영역과 전기적으로 접속된 콘택플러그; 및A contact plug filling the open portion and electrically connected to the conductive region; And 상기 콘택플러그 상에 배치된 전극을 구비하며,An electrode disposed on the contact plug, 상기 절연막은,The insulating film, 상기 전극의 결함을 통해 침투하는 케미컬의 어택을 방지하기 위해 그 최상부에 제1펜스를 갖고, 상기 제1펜스를 포함한 상기 절연막의 오픈부 측벽에 형성된 제2펜스를 포함하는 것을 특징으로 하는 반도체 소자.And a second fence formed on the sidewall of the open portion of the insulating layer including the first fence and having a first fence at the top thereof to prevent attack of the chemical penetrating through the defect of the electrode. . 하부의 도전영역을 노출시키는 오픈부를 갖는 절연막;An insulating film having an open portion exposing a lower conductive region; 상기 오픈부를 매립하며 상기 도전영역과 전기적으로 접속된 콘택플러그; 및A contact plug filling the open portion and electrically connected to the conductive region; And 상기 콘택플러그 상에 배치된 전극을 구비하며,An electrode disposed on the contact plug, 상기 절연막은,The insulating film, 층간절연을 위한 제1절연막과, 상기 전극의 결함을 통해 침투하는 케미컬의 어택을 방지하기 위해 상기 제1절연막 상에 형성된 제1펜스 및 상기 제1펜스 상에 형성된 제2절연막을 갖고, 상기 제1펜스를 포함한 상기 절연막의 오픈부 측벽에 형성된 제2펜스를 포함하는 것을 특징으로 하는 반도체 소자.A first insulating film for interlayer insulation, a first fence formed on the first insulating film, and a second insulating film formed on the first fence to prevent attack of chemicals penetrating through the defect of the electrode; And a second fence formed on sidewalls of the open portion of the insulating film including one fence. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 전극은 실린더 형상의 캐패시터 하부전극인 것을 특징으로 하는 반도체 소자.The electrode is a semiconductor device, characterized in that the cylindrical capacitor lower electrode. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 절연막은 산화막 계열이며, 상기 제1펜스 및 상기 제2펜스는 질화막 계열의 절연성 막으로 이루어진 것을 특징으로 하는 반도체 소자.The insulating layer is an oxide film-based, the semiconductor device, characterized in that the first fence and the second fence is made of an insulating film of the nitride film series. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 콘택플러그는 스토리지노드용 콘택 플러그이며, 상기 도전영역은 기판의 불순물 확산영역인 것을 특징으로 하는 반도체 소자.And the contact plug is a contact plug for a storage node, and the conductive region is an impurity diffusion region of a substrate. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 콘택플러그는 스토리지노드용 콘택 플러그이며, 상기 도전영역은 기판의 불순물 확산영역과 콘택된 셀콘택 플러그인 것을 특징으로 하는 반도체 소자.And the contact plug is a contact plug for a storage node, and the conductive region is a cell contact plug in contact with an impurity diffusion region of a substrate. 도전영역을 포함하는 하부 구조 상에 제1절연막을 형성하는 단계;Forming a first insulating film on the lower structure including the conductive region; 상기 제1절연막 상에 케미컬에 의한 어택을 방지하기 위한 제1펜스를 형성하는 단계;Forming a first fence on the first insulating layer to prevent attack by chemical; 상기 제1펜스 및 상기 제1절연막을 선택적으로 식각하여 상기 도전영역을 노출시키는 제1오픈부를 형성하는 단계;Selectively etching the first fence and the first insulating layer to form a first open part exposing the conductive region; 상기 제1오픈부를 이루는 상기 제1펜스 및 상기 제1절연막의 측벽에 스페이서 형상의 제2펜스를 형성하는 단계;Forming a spacer-shaped second fence on sidewalls of the first fence and the first insulating layer forming the first open portion; 상기 제1오픈부 내에 매립된 콘택플러그를 형성하는 단계;Forming a contact plug embedded in the first open portion; 상기 콘택플러그 상에 캐패시터 형성용 희생절연막을 형성하는 단계;Forming a sacrificial insulating film for capacitor formation on the contact plug; 상기 희생절연막을 선택적으로 식각하여 상기 콘택플러그를 노출시키는 제2오픈부를 형성하는 단계;Selectively etching the sacrificial insulating layer to form a second open portion exposing the contact plug; 상기 제2오픈부 내에 캐패시터 하부전극을 형성하는 단계; 및Forming a capacitor lower electrode in the second open portion; And 상기 캐패시터 하부전극이 실린더 형상을 갖도록 상기 희생절연막을 제거하는 단계Removing the sacrificial insulating layer so that the capacitor lower electrode has a cylindrical shape 를 포함하는 반도체 소자 제조 방법.Semiconductor device manufacturing method comprising a. 도전영역을 포함하는 하부 구조 상에 제1절연막을 형성하는 단계;Forming a first insulating film on the lower structure including the conductive region; 상기 제1절연막 상에 케미컬에 의한 어택을 방지하기 위한 제1펜스를 형성하는 단계;Forming a first fence on the first insulating layer to prevent attack by chemical; 상기 제1펜스 상에 제2절연막을 형성하는 단계;Forming a second insulating film on the first fence; 상기 제2절연막, 상기 제1펜스 및 상기 제1절연막을 선택적으로 식각하여 상기 도전영역을 노출시키는 제1오픈부를 형성하는 단계;Selectively etching the second insulating layer, the first fence and the first insulating layer to form a first open part exposing the conductive region; 상기 제1오픈부를 이루는 상기 제2절연막, 상기 제1펜스 및 상기 제1절연막의 측벽에 스페이서 형상의 제2펜스를 형성하는 단계;Forming a spacer-shaped second fence on sidewalls of the second insulating film, the first fence, and the first insulating film forming the first open portion; 상기 제1오픈부 내에 매립된 콘택플러그를 형성하는 단계;Forming a contact plug embedded in the first open portion; 상기 콘택플러그 상에 캐패시터 형성용 희생절연막을 형성하는 단계;Forming a sacrificial insulating film for capacitor formation on the contact plug; 상기 희생절연막을 선택적으로 식각하여 상기 콘택플러그를 노출시키는 제2오픈부를 형성하는 단계;Selectively etching the sacrificial insulating layer to form a second open portion exposing the contact plug; 상기 제2오픈부 내에 캐패시터 하부전극을 형성하는 단계; 및Forming a capacitor lower electrode in the second open portion; And 상기 캐패시터 하부전극이 실린더 형상을 갖도록 상기 희생절연막을 제거하는 단계Removing the sacrificial insulating layer so that the capacitor lower electrode has a cylindrical shape 를 포함하는 반도체 소자 제조 방법.Semiconductor device manufacturing method comprising a. 제 7 항 또는 제 8 항에 있어서,The method according to claim 7 or 8, 상기 제1절연막은 산화막 계열이며, 상기 제1펜스 및 제2펜스는 질화막 계열인 것을 특징으로 하는 반도체 소자 제조 방법.The first insulating layer is an oxide film-based, the first fence and the second fence is a semiconductor device manufacturing method, characterized in that the nitride film-based. 제 7 항 또는 제 8 항에 있어서,The method according to claim 7 or 8, 상기 희생절연막은 산화막 계열인 것을 특징으로 하는 반도체 소자 제조 방법.The sacrificial insulating film is a semiconductor device manufacturing method characterized in that the oxide film series. 제 10 항에 있어서,The method of claim 10, 상기 희생절연막을 제거하는 단계는, HF 또는 BOE를 사용한 딥-아웃 공정을 사용하는 것을 특징으로 하는 반도체 소자 제조 방법.The removing of the sacrificial insulating film, the semiconductor device manufacturing method, characterized in that using a dip-out process using HF or BOE. 제 7 항 또는 제 8 항에 있어서,The method according to claim 7 or 8, 상기 콘택플러그는 스토리지노드용 콘택 플러그이며, 상기 도전영역은 기판의 불순물 확산영역인 것을 특징으로 하는 반도체 소자 제조 방법.The contact plug is a storage node contact plug, and the conductive region is a semiconductor device manufacturing method, characterized in that the impurity diffusion region of the substrate. 제 7 항 또는 제 8 항에 있어서,The method according to claim 7 or 8, 상기 콘택플러그는 스토리지노드용 콘택 플러그이며, 상기 도전영역은 기판의 불순물 확산영역과 콘택된 셀콘택 플러그인 것을 특징으로 하는 반도체 소자 제조 방법.And the contact plug is a contact plug for a storage node, and the conductive region is a cell contact plug in contact with an impurity diffusion region of a substrate. 제 7 항에 있어서,The method of claim 7, wherein 상기 제1오픈부의 형성시 식각 정지를 위해 상기 제1펜스상에 식각정지막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.And forming an etch stop layer on the first fence to stop etching when the first open portion is formed. 제 8 항에 있어서,The method of claim 8, 상기 제1오픈부의 형성시 식각 정지를 위해 상기 제2절연막 상에 식각정지막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.And forming an etch stop layer on the second insulating layer to stop etching when the first open portion is formed.
KR1020040052274A 2004-07-06 2004-07-06 Semiconductor device and method for fabrication thereof KR100733463B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040052274A KR100733463B1 (en) 2004-07-06 2004-07-06 Semiconductor device and method for fabrication thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040052274A KR100733463B1 (en) 2004-07-06 2004-07-06 Semiconductor device and method for fabrication thereof

Publications (2)

Publication Number Publication Date
KR20060003406A KR20060003406A (en) 2006-01-11
KR100733463B1 true KR100733463B1 (en) 2007-06-28

Family

ID=37105859

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040052274A KR100733463B1 (en) 2004-07-06 2004-07-06 Semiconductor device and method for fabrication thereof

Country Status (1)

Country Link
KR (1) KR100733463B1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000003644A (en) * 1998-06-29 2000-01-25 김영환 Method for manufacturing capacitor of semiconductor devices
KR20010046663A (en) * 1999-11-15 2001-06-15 윤종용 method of forming buried contact hole for use in capacitor lower electrode semiconductor memory device
KR20030023970A (en) * 2001-09-14 2003-03-26 주식회사 하이닉스반도체 Method for fabricating semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000003644A (en) * 1998-06-29 2000-01-25 김영환 Method for manufacturing capacitor of semiconductor devices
KR20010046663A (en) * 1999-11-15 2001-06-15 윤종용 method of forming buried contact hole for use in capacitor lower electrode semiconductor memory device
KR20030023970A (en) * 2001-09-14 2003-03-26 주식회사 하이닉스반도체 Method for fabricating semiconductor device

Also Published As

Publication number Publication date
KR20060003406A (en) 2006-01-11

Similar Documents

Publication Publication Date Title
US9082784B2 (en) Method of fabricating a semiconductor device having stacked storage nodes of capacitors in cell region separated from peripheral region
US7321146B2 (en) DRAM memory cell and method of manufacturing the same
US6451651B1 (en) Method of manufacturing DRAM device invention
US8043925B2 (en) Method of forming capacitor of semiconductor memory device
US20070281461A1 (en) Semiconductor device having a contact structure with a contact spacer and method of fabricating the same
KR100587635B1 (en) Method for fabrication of semiconductor device
US20060022256A1 (en) Semiconductor device and method of manufacturing the same
JP4492940B2 (en) Semiconductor device
KR100656283B1 (en) Method for forming capacitor in semiconductor device
US6924524B2 (en) Integrated circuit memory devices
US9362421B2 (en) Semiconductor device including a support structure
US6858442B2 (en) Ferroelectric memory integrated circuit with improved reliability
US6844229B2 (en) Method of manufacturing semiconductor device having storage electrode of capacitor
US6964899B2 (en) Semiconductor device and method of manufacturing the same
KR100733463B1 (en) Semiconductor device and method for fabrication thereof
KR20080000843A (en) Method for fabricating semiconductor device
US20060022344A1 (en) Semiconductor device capable of preventing chemical damage and method for fabricating the same
JP2002110945A (en) Semiconductor device and its manufacturing method
TWI841150B (en) Method of fabricating semiconductor device
JP3651130B2 (en) Semiconductor memory device and manufacturing method thereof
US20080061335A1 (en) Semiconductor memory and method for manufacturing the semiconductor memory
KR20040008622A (en) Method for fabricating semiconductor device having dummy storage node
KR20080060385A (en) Method for manufacturing of semiconductor device
KR20040095919A (en) Memory cell of semiconductor memory device and method for fabricating thereof
KR20060001115A (en) Semiconductor device and method for fabrication of the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20110526

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee