KR100670618B1 - Sequential sputter and reactive precleans of vias and contacts - Google Patents

Sequential sputter and reactive precleans of vias and contacts Download PDF

Info

Publication number
KR100670618B1
KR100670618B1 KR1020000024593A KR20000024593A KR100670618B1 KR 100670618 B1 KR100670618 B1 KR 100670618B1 KR 1020000024593 A KR1020000024593 A KR 1020000024593A KR 20000024593 A KR20000024593 A KR 20000024593A KR 100670618 B1 KR100670618 B1 KR 100670618B1
Authority
KR
South Korea
Prior art keywords
plasma
dielectric layer
substrate
processing chamber
chamber
Prior art date
Application number
KR1020000024593A
Other languages
Korean (ko)
Other versions
KR20000077193A (en
Inventor
코헨바니엠.
렌가라잔수라즈
리씨앙빙
느간케니킹-타이
딩페이준
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20000077193A publication Critical patent/KR20000077193A/en
Application granted granted Critical
Publication of KR100670618B1 publication Critical patent/KR100670618B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

본 발명은 일반적으로 패턴된 유전층상에 증착된 금속의 전기적인 성능 및 충진 (fill) 을 향상시키기 위한 방법을 제공한다. 패턴된 유전층의 비아 및 트렌치 같은 개구들은 충진을 강화하기 위하여 에치되고, 그 후 동일한 체임버에서 세정되어 개구내의 금속산화물을 감소시킨다. 본 발명은 또한 본질적으로 아르곤으로 구성되고, 프로세싱 체임버를 둘러싸는 코일에 전력을 제공하고 기판을 지지하는 기판지지부재에 바이어스를 제공함으로써 생성되는 제 1 플라즈마로 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계, 본질적으로 수소 및 헬륨으로 구성되고, 프로세싱 체임버를 둘러싸는 코일로의 전력 제공을 증가시키고 기판을 지지하는 기판지지부재로의 바이어스 제공을 감소시킴으로써 생성되는 제 2 플라즈마로 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계, 상기 유전층을 상기 제 1 및 제 2 플라즈마에 노출시킨 후 상기 패턴된 유전층상에 배리어층을 증착하는 단계, 및 상기 배리어층에 금속을 증착하는 단계를 제공한다. 또한, 예비세정(pre-clean) 체임버, 물리기상증착 체임버, 에치 체임버, 및 다른 플라즈마 프로세싱 체임버를 포함하는, 통합된 프로세스 시퀀스의 다양한 플라즈마 프로세싱 체임버에서 순차적인 플라즈마 처리가 수행될 수 있다.The present invention generally provides a method for improving the electrical performance and fill of a metal deposited on a patterned dielectric layer. Openings such as vias and trenches in the patterned dielectric layer are etched to enhance filling and are then cleaned in the same chamber to reduce metal oxides in the openings. The invention also consists in argon and cleans the patterned dielectric layer in the processing chamber with a first plasma generated by providing power to a coil surrounding the processing chamber and biasing the substrate support member supporting the substrate. Step, patterned in the processing chamber with a second plasma, consisting essentially of hydrogen and helium, produced by increasing power delivery to the coil surrounding the processing chamber and reducing bias provision to the substrate support member supporting the substrate. Cleaning the dielectric layer, exposing the dielectric layer to the first and second plasmas, and then depositing a barrier layer on the patterned dielectric layer, and depositing a metal on the barrier layer. In addition, sequential plasma processing may be performed in various plasma processing chambers of an integrated process sequence, including pre-clean chambers, physical vapor deposition chambers, etch chambers, and other plasma processing chambers.

Description

비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정{SEQUENTIAL SPUTTER AND REACTIVE PRECLEANS OF VIAS AND CONTACTS}Sequential sputtering and reactive precleaning of vias and contacts {SEQUENTIAL SPUTTER AND REACTIVE PRECLEANS OF VIAS AND CONTACTS}

도 1 는 공극(voids), 불연속(discontinuities), 및 비평탄면(non-planar surface)을 갖는 기판의 컨택트홀에서 랜덤하게 지향되고, 결이 고운(fine-grained), 그래뉼러(granular) 증착층을 도시하는 패턴된 기판에 대한 모식적인 부분단면도.1 is a randomly oriented, fine-grained, granular deposition layer in a contact hole of a substrate having voids, discontinuities, and non-planar surfaces. A schematic partial cross-sectional view of a patterned substrate showing a.

도 2 는 다중기판 프로세싱 체임버를 구비한 클러스터 도구시스템에 대한 모식도. 2 is a schematic diagram of a cluster tool system with a multi-substrate processing chamber.

도 3 는 아르곤 및 수소 플라즈마 단계전 및 후에 발생하는 다른 프로세스 시퀀스 단계와 함께 본 발명의 순차적 아르곤 플라즈마 세정 및 수소 플라즈마 세정단계를 도시하는 플로우차트.3 is a flow chart illustrating the sequential argon plasma cleaning and hydrogen plasma cleaning steps of the present invention, along with other process sequence steps occurring before and after the argon and hydrogen plasma steps.

도 4 는 베리어층을 증착하는데 유용한 전형적인 PVD 체임버에 대한 단면도.4 is a cross-sectional view of a typical PVD chamber useful for depositing a barrier layer.

도 5 는 본 발명에 유용한 전형적인 예비세정 체임버에 대한 단면도.5 is a cross-sectional view of an exemplary preclean chamber useful in the present invention.

* 도면의 주요부분에 대한 부호설명** Explanation of Codes on Major Parts of Drawings *

100: 클러스터 도구시스템 105, 110: 로드락 체임버100: cluster tool system 105, 110: load lock chamber

125, 130, 150, 155, 160, 165: 기판프로세싱 체임버125, 130, 150, 155, 160, 165: substrate processing chamber

310: PVD 체임버 314: 기판지지부재 310: PVD chamber 314: substrate support member                 

316: 타겟 320: 클램프링316: target 320: clamp ring

326: 마그넷 어셈블리 328: RF 플라즈마 파워소스 326: magnet assembly 328: RF plasma power source

집적회로에 있어서, 구조물 (feature) 의 사이즈가 더 작아지고 다중레벨의 금속화가 보편화됨에 따라 저유전율막이 상당히 중요하게 되었다. 저유전율막은 특히 금속간유전 (IMD) 층에 있어서 커버되고 있는 배선 금속화의 RC 시간지연을 감소시키고, 금속화의 상이한 레벨들간의 크로스토크 (crosstalk) 를 방지하고, 장치 전력 소모를 감소시키는데 바람직하다.In integrated circuits, low dielectric constant films have become of significant importance as structures become smaller in size and multilevel metallization is becoming more common. Low dielectric constant films are particularly desirable for reducing the RC time delay of the wire metallization being covered, especially for intermetal dielectric (IMD) layers, preventing crosstalk between different levels of metallization, and reducing device power consumption. Do.

서브-하프 미크론 다중레벨 금속화는 차세대 초대규모집적 ("VLSI") 을 위한 중요한 기술 가운데 하나이다. 본 기술의 중심에 있는 다중레벨 배선은 프러그 및 다른 배선같은 높은 종횡비 (aspect ratio) 를 가지는 구조물을 평탄화하는 것이 필요하다. 이러한 배선의 신뢰성있는 형성은 VLSI의 성공과 개별적인 기판 및 다이 (die) 에 대한 회로 밀도와 품질을 증가시키려는 지속적인 노력에 있어서매우 중요하다.Sub-half micron multilevel metallization is one of the key technologies for next generation ultra-scale integration ("VLSI"). Multilevel wiring at the heart of the present technology needs to planarize structures with high aspect ratios such as plugs and other wiring. Reliable formation of such wiring is critical to the success of VLSI and ongoing efforts to increase circuit density and quality for individual substrates and dies.

컨택트홀 (contact hole), 비아 (vias), 트렌치 (trenches), 또는 기판에 형성된 다른 구조물들에 전기적 도전성이 있는 재료를 증착하기 위하여 종래의 화학 기상 증착 (CVD) 과 물리 기상 증착 (PVD) 기술이 이용된다. 종래 프로세스의 한 가지 문제점은 컨택트홀이나 다른 패턴들이 높은 종횡비, 즉 홀의 높이 대 그 폭 또는 직경의 비가 1 보다 종종 크기 때문에 발생한다. 기술의 향상이 공간적으로 집적화된 특성을 만들어냄에 따라 홀의 종횡비가 증가한다.Conventional chemical vapor deposition (PVD) and physical vapor deposition (PVD) techniques for depositing electrically conductive materials into contact holes, vias, trenches, or other structures formed in a substrate. This is used. One problem with conventional processes arises because contact holes or other patterns often have a higher aspect ratio, that is, the ratio of the height of the hole to its width or diameter is often greater than one. As technology advances create spatially integrated characteristics, the aspect ratio of the holes increases.

통상적으로, 작은 구조물 (feature) 내의 내재된 산화물 및 다른 오염물질의 존재는, 내재된 산화물 및 다른 오염물질이 증착금속의 불균등한 분포를 촉진하므로, 구조물 내에 증착된 금속에서 공극을 야기시킨다. 내재된 산화물은 노출된 막층/기판을 산소에 노출시킨 결과로서 형성된다. 산소노출은 대기상태에서 프로세싱 체임버들 사이의 공기중에서 기판을 이동시키거나, 진공 체임버내에 잔류한 적은 양의 산소가 웨이퍼/막 층과 접촉하거나, 층이 에칭에 의해서 오영될 때 발생한다. 구조물 내의 다른 오염물질들은 산화물 오버에치로부터의 스퍼터된 재료, 스트리핑 (stripping) 프로세스로부터의 잔류 포토레지스트, 이전의 산화물에치 단계로부터의 잔류 탄화수소나 플루오르화 탄화수소 폴리머, 또는 예비세정 스퍼터 에치 (preclean sputter etch) 프로세스로부터의 재증착된 재료일 수 있다. 내재된 산화물 및 다른 오염물질들은, 막성장이 방해되는 영역을 생성함으로써 막형성을 방해하는 영역을 기판상에 생성한다. 증가된 성장 영역은, 제한된 성장 영역이 증착 금속으로 채워질 수 있기 전에 작은 구조물들을 결합 및 봉쇄한다.Typically, the presence of inherent oxides and other contaminants in small features causes voids in the metal deposited in the structure, since the inherent oxides and other contaminants promote an uneven distribution of the deposited metal. The underlying oxide is formed as a result of exposing the exposed film layer / substrate to oxygen. Oxygen exposure occurs when the substrate is moved in the air between processing chambers in the atmospheric state, when a small amount of oxygen remaining in the vacuum chamber contacts the wafer / film layer, or the layer is projected by etching. Other contaminants in the structure may include sputtered material from oxide overetch, residual photoresist from a stripping process, residual hydrocarbon or fluorinated hydrocarbon polymer from a previous oxide etch step, or preclean sputter etch. redeposited material from the sputter etch process. Inherent oxides and other contaminants create regions on the substrate that interfere with film formation by creating regions that inhibit film growth. The increased growth region joins and blocks small structures before the limited growth region can be filled with the deposited metal.

내재된 산화물과 다른 오염물질들의 존재는 또한 비아/컨택트 저항을 증가시키고 작은 기구들의 일렉트로마이그레이션 (electromigration) 저항을 감소시킬 수 있다. 오염물질들은 유전층, 서브층, 또는 증착금속으로 확산하여 작은 구조물들을 포함하는 장치의 성능을 변경시킬 수 있다. 오염은 증착 금속 및 그 밑의 도전성 또는 반도전성 (semi-conductive) 구조물의 인터페이스 구조물 내에 얇은 경계영역으로 제한될 수 있지만, 얇은 경계 영역은 작은 구조물의 중요한 부분이다. 구조물에서 오염 물질의 허용가능한 레벨은 구조물의 폭이 작아짐에 따라서 감소한다.The presence of inherent oxides and other contaminants may also increase via / contact resistance and reduce the electromigration resistance of small devices. Contaminants can diffuse into the dielectric layer, sublayer, or deposited metal to alter the performance of the device including small structures. Contamination may be limited to thin boundaries within the interface structure of the deposited metal and the conductive or semi-conductive structures underneath, but the thin boundaries are an important part of small structures. The acceptable level of contaminants in the structure decreases as the width of the structure becomes smaller.

스퍼터 에치 프로세스 (sputter etch process) 를 이용하여 구조물들을 예비세정하는 것은 큰 구조물 또는 종횡비가 약 4:1 보다 작은 큰 구조물 또는 작은 구조물에서 오염물질을 감소시키는데 효과적이다. 그러나, 스퍼터 에치 프로세스는, 물리적인 폭발, 구조물들의 측벽상에 Si/SiO2 의 스퍼터 증착, 및 구조물들의 측벽상에 알루미늄이나 구리같은 금속서브층의 스퍼터 증착에 의해, 실리콘층을 손상시킬 수 있다. 큰 구조물의 경우, 스퍼터 에치 프로세스는 구조물들 내에서 오염 물질의 양을 허용가능한 레벨로 감소시키는 것이 전형적이다. 큰 종횡비를 갖는 작은 구조물들의 경우, 스퍼터 에치 프로세스는 구조물내에서 오염물질을 제거하는 것만큼 효과적이지 못하므로, 형성되는 장치의 성능을 저하시킨다.Precleaning structures using a sputter etch process is effective for reducing contaminants in large structures or small structures with large structures or aspect ratios less than about 4: 1. However, the sputter etch process can damage the silicon layer by physical explosion, sputter deposition of Si / SiO 2 on the sidewalls of the structures, and sputter deposition of a metal sublayer such as aluminum or copper on the sidewalls of the structures. . For large structures, the sputter etch process typically reduces the amount of contaminants in the structures to an acceptable level. For small structures with large aspect ratios, the sputter etch process is not as effective as removing contaminants within the structure, thus degrading the performance of the device formed.

스퍼터 에치 프로세스에 의한 예비세정은 특히 노출된 구리를 갖는 구조물들에 대하여 적합하지 못하다. 구리는 유전체에 형성된 비아의 측벽을 포함하면서 유전체를 통해 쉽게 확산하여, 유전체의 무결성을 파괴 또는 저하시킨다. 이 확산은 특히 TEOS, 열산화물 및 임의의 낮은 K 의 유전 재료에 적용된다. 따라서, 구리의 예비세정 애플리케이션을 위하여 새로운 예비세정 프로세스가 필요하다.Precleaning by the sputter etch process is not particularly suitable for structures with exposed copper. Copper easily diffuses through the dielectric, including the sidewalls of vias formed in the dielectric, destroying or degrading the integrity of the dielectric. This diffusion applies especially to TEOS, thermal oxides and any low K dielectric materials. Thus, a new preclean process is needed for copper preclean applications.

도 1 을 참조하여, 예를 들면 실리콘 이산화물 또는 실리콘 질화물층같은, 전기적 절연층 또는 유전층 (12) 내에 형성된 홀 (11) 을 포함하는 기판 (10) 이 도시된다. 홀의 측벽 (14) 상의 오염물질들이 금속을 포함하는 층의 불균일한 증착을 촉진시키므로 큰 종횡비의 홀 (11) 에 금속을 균일하게 포함하는 층을 증착하기는 어렵다. 결국, 금속을 포함하는 층은 완벽히 채워지기전에 상기 홀의 폭에 걸쳐서 수렴 (converge) 하므로, 상기 홀내에 공극 및 불연속을 형성한다. 그 후, 공극을 둘러싸는 금속원자의 높은 이동성으로 인하여 도 1 에서 도시된 것처럼 원자들이 확산하고 원형 형상의 공극의 표면 영역을 축소시킨다. 이러한 공극과 불연속으로 인하여 전기적인 컨택트가 불량해지고 신뢰성이 떨어진다.Referring to FIG. 1, a substrate 10 is shown that includes holes 11 formed in an electrically insulating or dielectric layer 12, such as, for example, silicon dioxide or silicon nitride layers. Since contaminants on the sidewalls 14 of the holes promote non-uniform deposition of the layer comprising the metal, it is difficult to deposit a layer containing the metal uniformly in the holes 11 of large aspect ratio. Eventually, the layer comprising metal converges over the width of the hole before it is completely filled, thereby forming voids and discontinuities in the hole. Thereafter, due to the high mobility of the metal atoms surrounding the pores, atoms diffuse and shrink the surface area of the circular pores as shown in FIG. These voids and discontinuities result in poor electrical contact and poor reliability.

예비세정은 주로 스퍼터 에치형 프로세스인데, 오염물질이 기판으로부터 스퍼터된다. 보통 아르곤인 불활성가스 및 보통 수소인 반응가스를 혼합하여 수행되는 것이 바람직하다. 아르곤 및 수소의 혼합가스는 반응성 및 비반응성 오염물질들을 모두 제거하고, 후속의 금속증착 공정을 향상시키기위해서 컨택트홀, 비아, 트렌치 및 다른 패턴의 형상을 수정하는데 이용될 수 있다. 예비세정 혼합가스에서 아르곤 함량을 증가시킴으로써 예비세정 프로세스의 에치속도를 대응적으로 증가시키고 예비세정 프로세스의 에치 균일성을 대응적으로 감소시킨다. 구리산화물 및 탄화수소같은 반응성 화합물 또는 오염물질을 효과적으로 제거하기 위해서는 혼합가스에 수소가 반드시 포함되어야 한다. 패턴된 기판을 아르곤 및 임의의 양의 수소의 혼합가스로 예비세정함으로써 아르곤으로 예비세정하는 경우에 비하여 더 낮은 에치속도 및 증가된 에치 불균일성을 제공한다.Precleaning is primarily a sputter etch process where contaminants are sputtered from the substrate. It is preferably carried out by mixing an inert gas which is usually argon and a reaction gas which is usually hydrogen. A mixture of argon and hydrogen can be used to modify the shape of contact holes, vias, trenches and other patterns to remove both reactive and non-reactive contaminants and to improve subsequent metal deposition processes. By increasing the argon content in the preclean mixed gas, the etch rate of the preclean process is correspondingly increased and the etch uniformity of the preclean process is correspondingly reduced. To effectively remove reactive compounds or pollutants such as copper oxides and hydrocarbons, hydrogen must be included in the mixed gas. Precleaning the patterned substrate with a mixed gas of argon and any amount of hydrogen provides lower etch rate and increased etch nonuniformity compared to precleaning with argon.

반응성 가스의 높은 농도 및 향상된 에치속도를 모두 갖는 예비세정 프로세스는 반응가스를 첨가함으로써 오염물질의 제거를 실질적으로 촉진할 것이다.A preclean process with both high concentrations of reactive gas and improved etch rate will substantially promote removal of contaminants by adding reactant gases.

Zhao 등에 의한 미국특허 5,660,682 호는 수소 및 아르곤을 포함하는 플라즈마를 이용하여, 패턴된 유전층의 에칭 및 반응세정을 결합하는 시도를 설명한다. 아르곤은 개구로부터의 증착물을 에치하고 수소는 잔류한 증착물과 반응하여 가스 부산물을 형성한다. 에칭 및 세정의 결합은 후속의 금속증착을 향상시키지만, 결합된 플라즈마 프로세싱은 후속의 금속층내의 공극의 형성을 막지는 못한다. 따라서, 종횡비가 약 1.0 보다 큰 비아 및 트렌치같은 개구, 패턴된 유전층상의 금속층 증착을 향상하는 방법이 필요하다. U. S. Patent No. 5,660, 682 to Zhao et al. Describes an attempt to combine etching and reaction cleaning of a patterned dielectric layer using a plasma comprising hydrogen and argon. Argon etches deposits from the openings and hydrogen reacts with the remaining deposits to form gaseous byproducts. The combination of etching and cleaning improves subsequent metal deposition, but the combined plasma processing does not prevent the formation of voids in subsequent metal layers. Accordingly, what is needed is a method of improving the deposition of metal layers on patterned dielectric layers, openings such as vias and trenches with aspect ratios greater than about 1.0.

본 발명은 일반적으로 패턴된 유전층상에 증착된 금속의 충진 (fill) 및 전기적 성능을 향상시키기 위한 방법을 제공한다. 패턴된 유전층내의 비아 및 트렌치같은 개구들은 충진 (filling) 을 강화하기 위해서 에치되고 세정되어 상기 개구내에서 금속산화물을 감소시킨다. 일 측면에서, 본 발명은 주로 아르곤을 포함하는 제 1 플라즈마를 이용하여 프로세싱 체임버내의 패턴된 유전층을 세정하는 단계, 및 수소 및 헬륨으로 필수적으로 이루어지는 제 2 플라즈마를 이용하여 상기 프로세싱 체임버내의 패턴된 유전층을 세정하는 단계를 제공한다. 에칭 및 세정 후에, 개구들은 베리어/라이너 (barrier/liner) 층에 증착될 수도 있는 금속으로 채워진다. 두 세정 프로세스가 동일한 체임버내에서 수행되는 것이 바람직하다.The present invention generally provides a method for improving the fill and electrical performance of metal deposited on a patterned dielectric layer. Openings such as vias and trenches in the patterned dielectric layer are etched and cleaned to enhance filling to reduce metal oxides in the openings. In one aspect, the present invention provides a method of cleaning a patterned dielectric layer in a processing chamber using a first plasma comprising predominantly argon, and a patterned dielectric layer in the processing chamber using a second plasma consisting essentially of hydrogen and helium. It provides a step of washing. After etching and cleaning, the openings are filled with metal, which may be deposited on the barrier / liner layer. It is preferred that both cleaning processes be carried out in the same chamber.

본 발명은 또한, 프로세싱 체임버내에서 패턴된 유전층을, 상기 프로세싱 체임버를 둘러싸는 유도성 코일에 RF 플라즈마 전력을 제공하고 기판을 지지하는 기판지지부재로 RF 바이어스를 제공함으로써 생성되는, 아르곤으로 필수적으로 이루어지는 제 1 플라즈마를 이용하여 세정하는 방법을 제공한다. 패턴된 유전층은, 수소와 헬륨으로 필수적으로 이루어지는, 상기 프로세싱 체임버를 둘러싸는 유도성 코일로의 RF 플라즈마 전력의 공급을 증가시키고 상기 기판을 지지하는 기판지지부재로의 RF 바이어스의 공급을 감소시킴으로써 생성되는 제 2 플라즈마를 이용하여 프로세싱 체임버 내에서 세정된다.The present invention is also essentially argon produced by providing a patterned dielectric layer in a processing chamber by providing RF plasma power to an inductive coil surrounding the processing chamber and providing an RF bias to a substrate support member supporting the substrate. Provided is a method of cleaning using the first plasma. The patterned dielectric layer is created by increasing the supply of RF plasma power to the inductive coil surrounding the processing chamber consisting essentially of hydrogen and helium and reducing the supply of RF bias to the substrate support member supporting the substrate. Is cleaned in the processing chamber using a second plasma.

베리어/라이너층은 그 후에 베리어층 위에 금속층이 증착될 수도 있는, 제 1 및 제 2 플라즈마에 유전층을 노출시키는 단계후에 상기 패턴된 유전층에 증착될 수 있다. 또한, 순차적인 플라즈마 처리는 예비세정 체임버, 물리기상증착 체임버, 에치 체임버, 및 다른 플라즈마 프로세싱 체임버를 포함하는 통합된 프로세스 시퀀스의 다양한 플라즈마 프로세싱 체임버들내에서 실행될 수 있다. A barrier / liner layer may then be deposited on the patterned dielectric layer after exposing the dielectric layer to the first and second plasma, where a metal layer may be deposited over the barrier layer. In addition, sequential plasma processing may be performed in various plasma processing chambers of an integrated process sequence including preclean chambers, physical vapor deposition chambers, etch chambers, and other plasma processing chambers.

본 발명은 건식 또는 습식 에치 체임버내에서 에치된 실리콘 이산화물층과 같은 유전층에 에치된 비아, 컨택트, 및 다른 구조물들을 예비세정하여 Ge, Si, Al, Cu, 또는 TiN 서브층 같은 도전성 또는 반도전성 (semi-conductive) 서브층을 노출시키는 적절한 방법을 제공한다. 에치는 구조물이 서브층 및 유전층상에 증착될 후속의 금속배선층을 연결하는 도전성 또는 반도전성 재료로 채워질 수 있도록 서브층을 노출시킨다. 유전층의 구조물의 에칭은 구조물의 충진을 향상시키고 궁극적으로는 형성된 장치의 무결성과 신뢰성을 향상시키기 위하여 제거되어야 하는 오염물질들을 남기는 것이 보통이다.The present invention pre-cleans vias, contacts, and other structures etched in a dielectric layer, such as a silicon dioxide layer etched in a dry or wet etch chamber, to provide conductive or semiconducting (such as Ge, Si, Al, Cu, or TiN sublayers) A suitable method of exposing semi-conductive sublayers is provided. The etch exposes the sublayer so that the structure can be filled with a conductive or semiconductive material connecting the sublayer and subsequent metallization layers to be deposited on the dielectric layer. Etching the structure of the dielectric layer typically leaves contaminants that must be removed to improve the filling of the structure and ultimately improve the integrity and reliability of the formed device.

유전층을 에칭한 후, 구조물들은 유전층의 오버에칭으로부터 구조물내에 손상된 실리콘이나 금속 잔존물을 가질 수 있다. 구조물들은 또한 포토레지스트 스트리핑 (photoresist stripping) 및/또는 애싱 (ashing) 프로세스로부터 구조물표면상에 잔존 포토레지스트를 포함하거나 유전 에치 단계로부터 잔류 탄화수소 또는 풀루오르화 탄화수소 폴리머를 포함할 수 있다. 구조물 표면은 또한 스퍼터 에치 예비세정 프로세스에 의해서 생성된 재증착된 재료를 포함할 수도 있다. 이러한 오염물질은 유전층으로 이동하거나 증착 금속의 불균일한 분포를 촉진함으로써 금속화의 선택성을 방해할 수 있다. 오염물질의 존재는 또한 실질적으로 구조물의 폭을 좁힘으로써 증착된 금속의 저항을 증가시켜, 비아, 컨택트라인, 또는 다른 도전성 구조물을 형성하는 금속내에 좁은 부분을 생성할 수 있다.After etching the dielectric layer, the structures may have damaged silicon or metal residues in the structure from overetching the dielectric layer. The structures may also include residual photoresist on the surface of the structure from a photoresist stripping and / or ashing process, or may include residual hydrocarbons or fluorinated hydrocarbon polymers from the dielectric etch step. The structure surface may also include redeposited material produced by the sputter etch preclean process. Such contaminants can interfere with the selectivity of metallization by moving to the dielectric layer or by promoting a non-uniform distribution of the deposited metal. The presence of contaminants can also increase the resistance of the deposited metal by substantially narrowing the structure, creating narrow portions in the metal that form vias, contact lines, or other conductive structures.

본 발명에 따라서 세정되고 채워진 서브미크론 (submicron) 구조물들은 반도체기판상의 일 표면에 걸쳐서 유전재료를 증착하는 종래의 기술에 의해서 형성된다. 유기 폴리머 (organic polymers) 및 에어로겔 (aerogel) 같은 저유전 재료를 포함하여, 현재 공지되거나 발견될 임의의 유전 재료가 본 발명의 범위내에서 이용될 수 있다. 유전층은 하나 이상의 별개의 층들을 포함할 수도 있고 임의의 적절한 증착 강화 서브층상에 증착될 수도 있다. 바람직한 증착 강화 서브층들은 Al, Cu 같은 도전성 금속과, TiN, Ta, 및 TaN 같은 베리어 표면을 포함한다.Submicron structures cleaned and filled in accordance with the present invention are formed by conventional techniques for depositing a dielectric material over one surface on a semiconductor substrate. Any dielectric material now known or discovered, including low dielectric materials, such as organic polymers and aerogels, can be used within the scope of the present invention. The dielectric layer may include one or more separate layers and may be deposited on any suitable deposition enhancement sublayer. Preferred deposition enhancing sublayers include conductive metals such as Al, Cu, and barrier surfaces such as TiN, Ta, and TaN.

일단 증착되면, 유전층은 종래기술에 의해 에치되어 비아, 컨택트, 트렌치 또는 다른 서브미크론 구조물들을 형성한다. 구조물들은 가파른 측벽을 가진 높은 종횡비를 갖는 것이 보통이다. 유전층의 에칭은 플라즈마 에칭을 포함하는 임의의 유전체 에칭 프로세스로 수행될 수도 있다. 실리콘 이산화물을 에칭하기 위한 특정의 기술은 C2F6, SF6 및 NF3 같은 화합물을 포함한다. 그러나, 패터닝은 임의의 당업계에 공지된 방법을 이용하여 임의의 층에서 수행될 수도 있다.Once deposited, the dielectric layer is etched by the prior art to form vias, contacts, trenches or other submicron structures. Structures usually have a high aspect ratio with steep sidewalls. Etching the dielectric layer may be performed with any dielectric etch process, including plasma etching. Specific techniques for etching silicon dioxide include compounds such as C 2 F 6 , SF 6 and NF 3 . However, patterning may be performed in any layer using methods known in the art.

도 2 는 다중의 기판 프로세싱 체임버를 갖는 클러스터 도구시스템의 모식도이다. 클러스터 도구시스템 (100) 은 제 1 단계 트랜스퍼 체임버 (115) 에 부착된 진공 로드락 (load-lock) 체임버 (105 및 110) 를 포함한다. 기판이 시스템 (100) 으로 들어가거나 나오는 동안 로드락 체임버 (105 및 110) 는 상기 제 1 단계 트랜스퍼 체임버 (115) 내에서 진공상태를 유지한다. 제 1 로보트 (120) 는 로드락 체임버 (105, 110) 와 상기 제 1 단계 트랜스퍼 체임버 (115) 에 부착된 하나 이상의 기판 프로세싱 체임버 (125, 130) 사이로 기판들을 트랜스퍼한다. 프로세싱 체임버 (125, 130) 는 화학 기상 증착 (CVD), 물리 기상 증착 (PVD), 에치, 예비세정, 가스 제거, 지향 (orientation) 및 다른 기판 프로세스와 같은 많은 기판 프로세싱 동작을 수행하는데 적합하게 될 수 있다. 제 1 로보트 (120) 는 또한 상기 제 1 단계 트랜스퍼 체임버 (115) 와 제 2 단계 트랜스퍼 체임버 (140) 사이에 배치된 하나 이상의 트랜스퍼 체임버 (135) 로/으로부터 기판을 트랜스퍼한다. 트랜스퍼 체임버 (135) 는 상기 제 1 단계 트랜스퍼 체임버 (115) 와 제 2 단계 트랜스퍼 체임버 (140) 사이로 기판이 트랜스퍼되는 것을 허용하면서 상기 제 2 단계 트랜스퍼 체임버 (140) 에서 매우 높은 진공상태를 유지하는데 이용된다. 제 2 로보트 (145) 는 트랜스퍼 체임버 (135) 와 복수의 기판 프로세싱 체임버 (150, 155, 160 및 165) 사이로 기판을 트랜스퍼한다.2 is a schematic diagram of a cluster tool system having multiple substrate processing chambers. The cluster tool system 100 includes vacuum load-lock chambers 105 and 110 attached to the first stage transfer chamber 115. The load lock chambers 105 and 110 remain vacuum in the first stage transfer chamber 115 while the substrate enters or exits the system 100. The first robot 120 transfers the substrates between the loadlock chambers 105, 110 and one or more substrate processing chambers 125, 130 attached to the first stage transfer chamber 115. Processing chambers 125 and 130 may be suitable for performing many substrate processing operations such as chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, preclean, degassing, orientation and other substrate processes. Can be. The first robot 120 also transfers the substrate to / from one or more transfer chambers 135 disposed between the first stage transfer chamber 115 and the second stage transfer chamber 140. Transfer chamber 135 is used to maintain a very high vacuum in the second stage transfer chamber 140 while allowing the substrate to be transferred between the first stage transfer chamber 115 and the second stage transfer chamber 140. do. The second robot 145 transfers the substrate between the transfer chamber 135 and the plurality of substrate processing chambers 150, 155, 160, and 165.

전술된 프로세싱 체임버 (125, 130) 와 유사한, 추가적인 프로세싱 체임버 (150, 165) 는 다양한 기판 프로세싱 동작을 수행하기에 적합하게 될 수 있다. 예를 들면, 프로세싱 체임버 (150) 는 실리콘 산화막을 증착하기에 적합한 CVD 체임버이고, 프로세싱 체임버 (155) 는 배선구조물을 위한 개구나 오프닝을 에치하기에 적합한 에칭 체임버이고, 프로세싱 체임버 (160) 는 탄탈륨 및/또는 탄탈륨 질화물같은 베리어막을 반응적으로 스퍼터 증착하기에 적합한 PVD 체임버이고, 프로세싱 체임버 (165) 는 구리와 같은 도전막을 스퍼터 증착하기에 적합한 PVD 체임버이다. 프로세싱 체임버의 전술된 시퀀스 배열은 본 발명을 실행하는데 유용하다. 복수의 클러스터 도구 시스템은 집적회로 또는 칩 제조의 배선부를 완성하기 위하여 필요한 모든 프로세스를 수행하기 위하여 필요할 수도 있다.Similar to the processing chambers 125 and 130 described above, additional processing chambers 150 and 165 may be adapted to perform various substrate processing operations. For example, the processing chamber 150 is a CVD chamber suitable for depositing a silicon oxide film, the processing chamber 155 is an etching chamber suitable for etching openings or openings for wiring structures, and the processing chamber 160 is tantalum. And / or a PVD chamber suitable for reactive sputter deposition of barrier films such as tantalum nitride, and processing chamber 165 is a PVD chamber suitable for sputter deposition of conductive films such as copper. The above-described sequence arrangement of processing chambers is useful for practicing the present invention. Multiple cluster tool systems may be required to perform all the processes necessary to complete the interconnects of integrated circuit or chip fabrication.

동작하는 동안, 기판들은 마이크로프로세서 또는 컴퓨터 (미도시) 에 의해서 실행되는 컴퓨터 프로그램의 제어하에 동작하는 컨베이어 벨트나 로보트 시스템 (미도시) 에 의해 진공 로드락 체임버 (105, 110) 로 이동된다. 또한, 로보트(120 및 145)는 컴퓨터 프로그램의 제어하에 동작하여 클러스터 도구시스템 (100)의 다양한 프로세싱 체임버들 사이로 기판을 트랜스퍼한다.During operation, the substrates are moved to the vacuum load lock chambers 105, 110 by a conveyor belt or a robotic system (not shown) operating under the control of a computer program executed by a microprocessor or computer (not shown). Robots 120 and 145 also operate under the control of a computer program to transfer the substrate between the various processing chambers of cluster tool system 100.

전술된 클러스터 도구시스템은 주로 예시적인 목적이다. 전자 사이클로트론 공진 (electron cyclotron resonance, ECR) 플라즈마 프로세싱장치, 유도 결합 RF 고밀도 플라즈마 프로세싱 장치 등과 같은 다른 플라즈마 프로세싱 장치가 클러스터 도구 시스템의 부분으로서 이용될 수 있다. 또한, 본 발명의 실리콘 산화물층 및 베리어층을 형성하기 위한 방법은 어떠한 특정의 장치나 특정의 플라즈마 여기 (excitation) 방법에 한정되지 않는다.The cluster tool system described above is primarily for illustrative purposes. Other plasma processing devices, such as electron cyclotron resonance (ECR) plasma processing devices, inductively coupled RF high density plasma processing devices, and the like, can be used as part of the cluster tool system. In addition, the method for forming the silicon oxide layer and barrier layer of the present invention is not limited to any particular apparatus or specific plasma excitation method.

도 3 은 수소 플라즈마 예비세정 단계 전 및 후에 발생하는 다른 프로세스 시퀀스 단계와 함께 본 발명의 아르곤 예비세정 단계 및 수소 플라즈마 예비세정 단계를 도시하는 플로우챠트이다. 도 3 에 도시된 단계들은 클러스터 도구 시스템 (100) 을 위한 마이크로프로세서 또는 컴퓨터 제어기에 의해 수행되는 컴퓨터 프로그램의 명령에 응답하여 수행될 수 있다.3 is a flow chart illustrating the argon preclean step and the hydrogen plasma preclean step of the present invention, along with other process sequence steps that occur before and after the hydrogen plasma preclean step. The steps shown in FIG. 3 may be performed in response to instructions of a computer program executed by a microprocessor or computer controller for the cluster tool system 100.

첫째, 유전층이 기판에 증착된다 (단계 200). 실리콘 산화막 같은 유전층의 증착은 당업계에 공지된 다양한 방법을 통하여 성취될 수 있다. 유전층은, 예를 들면, 도 2 에서 도시된 CVD 체임버 (150) 에서 수행된 화학 기상 증착 프로세스를 이용하여 증착되는 것이 바람직하다. 그러나, 상기 유전층을 증착하기 전에, 기판은 당업자에게 이해되는 것과 같이 활성 장치 및 다른 구조들을 형성하기 위하여 다중 프로세싱 단계를 거치는 것이 보통이다.First, a dielectric layer is deposited on the substrate (step 200). Deposition of a dielectric layer, such as a silicon oxide film, can be accomplished through various methods known in the art. The dielectric layer is preferably deposited using, for example, a chemical vapor deposition process performed in the CVD chamber 150 shown in FIG. However, prior to depositing the dielectric layer, the substrate typically undergoes multiple processing steps to form active devices and other structures as will be understood by those skilled in the art.

둘째, 유전층은 위에 놓인 층의 증착을 준비할 때 평탄화 (단계205) 될 수 있다. 평탄화 프로세스는 화학기계적 연마 (CMP), 에칭 또는 다른 유사한 프로세스를 포함할 수 있다. 컨택트와 비아같은 배선구조물을 위한 오프닝 또는 개구들은 유전층에서 에치된다 (단계210). 도 2 의 클러스터 도구시스템 (100) 에서 도시된 것처럼 에치 체임버 (155) 같은 전형적인 에치 체임버에서 스퍼터 에칭 프로세스들이 수행될 수 있다. 보통, 유전층은 두께가 약 0.5 내지 3.0 미크론이고, 배선구조물은 서브-쿼터 (sub-quarter) 미크론 오프닝과 1:1보다 큰 종횡비 (폭 대 높이의 비) 를 갖는다. 단계 205 및 210 는 금속화되거나 재료층으로 채워질 배선 구조물을 구비한 패턴된 기판을 생성한다.Second, the dielectric layer may be planarized (step 205) in preparation for depositing the underlying layer. Planarization processes may include chemical mechanical polishing (CMP), etching, or other similar processes. Openings or openings for interconnect structures such as contacts and vias are etched in the dielectric layer (step 210). Sputter etching processes may be performed in a typical etch chamber, such as etch chamber 155, as shown in cluster tool system 100 of FIG. 2. Usually, the dielectric layer is about 0.5 to 3.0 microns thick, and the interconnect structure has a sub-quarter micron opening and an aspect ratio (a ratio of width to height) greater than 1: 1. Steps 205 and 210 produce a patterned substrate having wiring structures to be metallized or filled with a layer of material.

셋째, 본 발명에 따른 아르곤 플라즈마 세정 (단계212) 은 이전 프로세스 단계로부터의 증착물을 제거하기 위하여 패턴된 기판상에서 수행된다. 아르곤 플라즈마 단계에서, 증착물들은 아르곤 플라즈마에 의해서 스퍼터되고 개구로부터 제거된다. 아르곤 스퍼터 프로세스는 다양한 체임버에서 수행될 수 있지만, 예비세정 체임버에서 수행되는 것이 바람직하다. 넷째, 본 발명에 따른 수소 플라즈마 예비세정 단계는 패턴된 기판상에서 수행된다. 기판은 구리산화물을 구리로 바꾸고 상기 유전층의 구조를 세정 및 안정화시키기 위하여 수소플라즈마를 이용해서 예비세정 (단계215) 된다. 비록 예비세정 단계가 임의의 전형적인 플라즈마 프로세싱 체임버에서 수행될 수 있지만, 예비세정 단계는 예비세정 체임버에서 수행되는 것이 바람직하다. 본 발명에 따른 아르곤 플라즈마 에치 및 수소 플라즈마 예비세정 단계는 도 5 에서 도시된 예비세정 체임버를 참조하여 더욱 상세히 논의된다.Third, argon plasma cleaning (step 212) in accordance with the present invention is performed on a patterned substrate to remove deposits from previous process steps. In the argon plasma step, deposits are sputtered by the argon plasma and removed from the openings. The argon sputter process can be performed in a variety of chambers, but is preferably performed in a preclean chamber. Fourth, the hydrogen plasma precleaning step according to the present invention is performed on a patterned substrate. The substrate is pre-cleaned (step 215) using hydrogen plasma to convert copper oxide to copper and to clean and stabilize the structure of the dielectric layer. Although the preclean step may be performed in any typical plasma processing chamber, the preclean step is preferably performed in the preclean chamber. The argon plasma etch and hydrogen plasma preclean steps according to the invention are discussed in more detail with reference to the preclean chamber shown in FIG. 5.

다음, 확산 베리어층, 바람직하게는 탄탈륨 질화물이, 위에 있는 금속층으로 실리콘이 확산되는 것을 막기 위하여 증착된다 (단계220). 확산 베리어층은 또한 금속막 및 실리콘 산화막같은 상이한 막 사이의 막접착을 향상시킨다. 탄탈륨 질화물층은, 당업계에 공지된 반응성 스퍼터링에 적합한 PVD 체임버를 이용하여 증착되는 것이 바람직하다. 확산 베리어층은 두께가 약 50Å 내지 200Å인 막을 구비하는 것이 바람직하다.A diffusion barrier layer, preferably tantalum nitride, is then deposited (step 220) to prevent diffusion of silicon into the overlying metal layer. The diffusion barrier layer also improves film adhesion between different films such as metal films and silicon oxide films. Tantalum nitride layers are preferably deposited using PVD chambers suitable for reactive sputtering known in the art. The diffusion barrier layer preferably has a film having a thickness of about 50 kPa to 200 kPa.

도 4 는 베리어층을 증착하기에 유용한 전형적인 PVD 체임버의 단면도이다. PVD 체임버 (310) 는 일반적으로 체임버 인클로져 (chamber enclosure, 312), 기판지지부재 (314), 타겟 (316), 쉴드 (shield, 318), 클램프링 (clamp ring, 320), 가스 흡입부 (gas inlet, 322), 가스 배기부 (gas exhaust, 324), 마그넷 어셈블리 (magnet assembly) (326), RF 플라즈마 전력 소스 (328), 및 RF 바이어스 소스 (334) 를 포함한다. 프로세싱하는 동안, 기판 (330) 은 기판지지부재 (314) 상에 위치되고, 프로세싱 가스는 타겟의 에지와 쉴드의 상부 사이에 배치된 가스 흡입부 (322) 를 통하여, 타겟 (316), 기판 (330), 및 쉴드 (318) 에 의해 정의되는 프로세싱 영역 (332) 으로 유도된다. RF 플라즈마 전력 소스 (328) 는 프로세싱 동안 프로세싱 영역 (332) 내에서 프로세싱 가스의 플라즈마와 충돌하고 플라즈마를 유지하기 위하여 RF 전력을 타겟에 제공하고, 한편 RF 바이어스 소스 (334) 는 기판지지부재 (314) 로 RF 바이어스를 제공한다. 쉴드 (318) 는 프로세싱하는 동안 접지되는 것이 보통이다. 증착하는 동안, 타겟면으로부터 재료를 스퍼터하기 위하여 플라즈마의 이온들이 타겟과 충돌한다. 스퍼터된 재료는 플라즈마내의 이온들과 반응하고 기판면 위에 소정의 막을 형성한다. 탄탈륨/탄탈륨 질화물같은 베리어막을 증착하기 위하여, 프로세싱 가스는 아르곤과 질소를 포함하는 것이 전형적인데, 아르곤은 주로 타겟 (316) 을 가격하는 플라즈마 이온을 위한 가스 소스로서 기능하고 질소는 주로 타겟 (316) 으로부터 스퍼터된 원자 (탄탈륨) 와 반응하여 기판 (330) 상에 증착되는 탄탈륨/탄탈륨 질화막을 형성한다. 베리어막을 증착한 후, 기판은 약 300 내지 500℃ 사이의 온도에서 어닐링되어 증착된 막의 재료 특성을 향상시키는 것이 보통이다.4 is a cross-sectional view of a typical PVD chamber useful for depositing a barrier layer. The PVD chamber 310 generally includes a chamber enclosure 312, a substrate support 314, a target 316, a shield 318, a clamp ring 320, a gas inlet. inlet 322, gas exhaust 324, magnet assembly 326, RF plasma power source 328, and RF bias source 334. During processing, the substrate 330 is positioned on the substrate support member 314, and the processing gas passes through the gas inlet 322 disposed between the edge of the target and the upper portion of the shield, so that the target 316, the substrate ( 330, and a processing region 332 defined by shield 318. The RF plasma power source 328 provides RF power to the target to collide with and maintain a plasma of the processing gas within the processing region 332 during processing, while the RF bias source 334 is a substrate support member 314 ) Provides RF bias. Shield 318 is typically grounded during processing. During deposition, ions in the plasma collide with the target to sputter material from the target surface. The sputtered material reacts with the ions in the plasma and forms a film on the substrate surface. In order to deposit barrier films such as tantalum / tantalum nitride, the processing gas typically includes argon and nitrogen, argon serving primarily as a gas source for plasma ions that strike the target 316 and nitrogen primarily the target 316. Reacts with atoms sputtered from (tantalum) to form a tantalum / tantalum nitride film deposited on the substrate 330. After depositing the barrier film, the substrate is usually annealed at a temperature between about 300 to 500 ° C. to improve the material properties of the deposited film.

최근에는, 구리같은 금속층이 확산 베리어층에 증착되어 배선구조물의 형성을 마친다(단계 225). 금속층은 약 6,000 내지 10,000Å의 두께를 갖는 것이 바람직하다. 구리증착은 당업계에 공지되어 있는 전형적인 PVD 체임버나 전형적인 CVD 체임버에서 수행될 수 있다. 다중레벨의 집적회로 구조에 대하여 전술된 프로세스가 반복될 수도 있다.Recently, a metal layer, such as copper, is deposited on the diffusion barrier layer to complete the formation of the interconnect structure (step 225). The metal layer preferably has a thickness of about 6,000 to 10,000 kPa. Copper deposition can be performed in typical PVD chambers or typical CVD chambers known in the art. The process described above may be repeated for a multilevel integrated circuit structure.

본 발명에 따르면, 패턴된 유전층은 탄탈륨 질화물 베리어층을 증착하기 전에 아르곤 플라즈마를 이용하고, 그 후 수소 플라즈마를 이용하여 예비세정된다. 예비세정 프로세스는 PVD 체임버, CVD 체임버, 에치 체임버 및 예비세정 체임버를 포함하는 다양한 프로세싱 체임버에서 수행될 수 있다. 탄탈륨 질화물 베리어층을 증착하기 전에 예비세정 체임버를 이용하여 예비세정 프로세스가 수행되는 것이 바람직하다. 본 발명은 예비세정 체임버를 이용하여 설명되지만, 본 발명은 다양한 프로세싱 체임버에 대하여 적용가능하다.According to the present invention, the patterned dielectric layer is pre-cleaned using an argon plasma before depositing a tantalum nitride barrier layer and then using a hydrogen plasma. The preclean process can be performed in a variety of processing chambers including PVD chambers, CVD chambers, etch chambers and preclean chambers. The preclean process is preferably performed using a preclean chamber before depositing the tantalum nitride barrier layer. Although the invention is described using a preclean chamber, the invention is applicable to a variety of processing chambers.

도 5 는 본 발명에 유용한 전형적인 예비세정 체임버의 단면도이다. 본 발명에 유용한 예비세정 체임버의 예는 캘리포니아 산타클라라의 어플라이드 머티리얼즈의 예비세정 II 체임버이다. 일반적으로, 예비세정 체임버 (510) 는 수정돔 (quartz dome, 516) 아래의 체임버 인클로져 (514) 에 배치된 기판지지부재 (512) 를 구비한다. 기판지지부재 (512) 는, 보통 수정, 세라믹 등을 구성요소로 하는, 절연판 (522) 상의 리세스 (recess, 520) 내에 배치된 중앙 피데스털판 (central pedestal plate, 518) 을 포함하는 것이 보통이다. 프로세싱하는 동안, 기판 (524) 은 중앙 피데스털판 (518) 상에 위치되고 위치핀 (locating pin, 532) 에 의하여 그곳에 담겨진다. 체임버내에서 프로세스 가스의 플라즈마와 충돌하고 플라즈마를 유지하기 위하여 수정돔 (516) 의 외부에 RF 코일 (526) 이 배치되고 RF 전력 소스 (524) 에 연결되는 것이 바람직하다. 일반적으로, RF 매치 네트워크(530) 가 RF 전력 소스 (524) 와 RF 코일 (526) 을 매치 (match) 시키기 위하여 제공된다. 기판지지부재 (512) 는, 기판지지부재 (512) 에 바이어스를 제공하는 RF 바이어스 소스 (528) 와 연결된다. RF 전력 소스 (524) 는 최대 약 500W의 2MHz RF 전력을 코일 (526) 로 제공하는 것이 바람직하고 RF 바이어스소스 (528) 는 최대 약 500W의 13.56MHz RF 바이어스를 기판지지부재 (512) 로 제공하는 것이 바람직하다.5 is a cross-sectional view of a typical preclean chamber useful in the present invention. An example of a preclean chamber useful in the present invention is a preclean II chamber of Applied Materials, Santa Clara, California. In general, the preclean chamber 510 has a substrate support member 512 disposed in the chamber enclosure 514 under a quartz dome 516. The substrate support member 512 usually includes a central pedestal plate 518 disposed in a recess 520 on the insulating plate 522, usually composed of quartz, ceramic, or the like. . During processing, the substrate 524 is placed on the central pedestal plate 518 and contained therein by a locating pin 532. An RF coil 526 is preferably disposed outside the crystal dome 516 and connected to the RF power source 524 in order to collide with the plasma of the process gas and maintain the plasma within the chamber. In general, an RF match network 530 is provided to match the RF power source 524 and the RF coil 526. The substrate support member 512 is connected with an RF bias source 528 that provides a bias to the substrate support member 512. The RF power source 524 preferably provides up to about 500 W of 2 MHz RF power to the coil 526 and the RF bias source 528 provides up to about 500 W of 13.56 MHz RF bias to the substrate support member 512. It is preferable.

본 발명에 따르면, 패턴된 또는 에치된 기판은 베리어층을 증착하기전에 예비세정 체임버에서 먼저 아르곤 플라즈마 그 후,수소 플라즈마를 이용하여 예비세정되는 것이 바람직하다. 유전층이 평탄화되고 배선 구조물의 오프닝이 형성된 후 기판이 예비세정 체임버로 트랜스퍼되는 것이 바람직하다. 예비세정 체임버를 구비한 시스템 또는 프로세싱 플랫폼으로 기판이 트랜스퍼되기 전에, 기판의 패턴 에칭은 또 다른 프로세싱 플랫폼이나 시스템에서 프로세스될 수도 있다. 기판이 예비세정 체임버에서 프로세싱을 위해 위치되면, 주로 아르곤을 포함하는 즉, 원자수로 볼 때 약 50% 이상인 프로세싱 가스가 바람직하게는 약 0.8 mtorr 의 압력에서 프로세싱 영역으로 유도된다. 아르곤 가스의 플라즈마는 기판이 아르곤 스퍼터 세정 환경을 겪도록 프로세싱 영역에서 충돌된다. 아르곤 플라즈마는 약 50 내지 500W 의 RF 전력을 RF 전력 소스 (524) 로부터 RF 코일 (526) 로 인가하고 약 50 내지 500W 의 RF 바이어스를 RF 바이어스 소스 (528) 로부터 기판지지부재(512) 로 인가함으로써 생성되는 것이 바람직하다. 아르곤 플라즈마는 약 10 내지 300초 동안 유지되어 반응성 수소 플라즈마에 의해 쉽게 제거되지 않은 증착물에 대한 충분한 세정 시간을 제공한다. 아르곤 플라즈마는 코일로 인가된 약 300W RF 전력과 기판지지부재로 인가된 약 300W RF 바이어스에 의해서 생성되는 것이 바람직하고, 약 60 초 동안 유지되는 것이 바람직하다.According to the invention, the patterned or etched substrate is preferably precleaned using an argon plasma and then a hydrogen plasma in a preclean chamber before depositing the barrier layer. It is desirable that the substrate be transferred to a preclean chamber after the dielectric layer is planarized and the opening of the wiring structure is formed. Before the substrate is transferred to a system or processing platform with a preclean chamber, the pattern etch of the substrate may be processed in another processing platform or system. Once the substrate is positioned for processing in the preclean chamber, a processing gas containing primarily argon, ie at least about 50% in atomic number, is preferably introduced into the processing region at a pressure of about 0.8 mtorr. Plasma of argon gas is impinged in the processing region such that the substrate undergoes an argon sputter cleaning environment. The argon plasma applies about 50 to 500 W of RF power from the RF power source 524 to the RF coil 526 and applies about 50 to 500 W of RF bias from the RF bias source 528 to the substrate support member 512. It is desirable to produce. The argon plasma is maintained for about 10 to 300 seconds to provide sufficient cleaning time for deposits that are not easily removed by the reactive hydrogen plasma. The argon plasma is preferably generated by about 300 W RF power applied to the coil and about 300 W RF bias applied to the substrate support member, and is preferably maintained for about 60 seconds.

아르곤 플라즈마에 뒤이어, 체임버 압력이 약 80 mtorr 로 증가되고, 수소 및 헬륨으로 필수적으로 이루어지고, 원자수로 볼 때 약 5% 내지 약 100% 수소 및 원자수로 볼 때 약 0% 내지 95% 헬륨을 포함하는 프로세싱 가스가 프로세싱 영역으로 유도된다. 프로세싱가스는 약 5% 수소와 약 95% 헬륨을 포함하는 것이 바람직하다. 수소/헬륨 가스의 플라즈마는 프로세싱 영역에서 충돌되어 기판이 반응성 수소 플라즈마 환경을 겪도록 한다. 수소 플라즈마는, 약 50W 내지 500W 의 전력을 RF 전력 소스 (524) 로부터 RF 코일 (526) 로 인가하고 약 5W 내지 300W 의 RF 바이어스를 RF 바이어스 소스 (528) 로부터 기판지지부재 (512) 로 인가함으로써 생성된다. 수소 플라즈마는 약 10 내지 300 초 동안 유지되어 구리산화물을 구리로 변화시키고 기판을 세정한다. 수소 플라즈마는 코일에 인가된 약 450W 의 RF 전력과 기판지지부재로 인가된 약 10W 의 RF 바이어스에 의해서 생성되는 것이 바람직하고, 약 60 초 동안 유지되는 것이 바람직하다. 예비세정 프로세스가 완성되면, 예비세정 체임버에서는 예비세정 프로세스로부터의 프로세싱 가스 및 반응 부산물이 배기된다. 그리고 나서 세정된 기판상에 베리어층이 증착되며, 그 후, 도 3 에서 요약된 나머지 프로세스가 수행된다.Following the argon plasma, the chamber pressure is increased to about 80 mtorr, consisting essentially of hydrogen and helium, and from about 5% to about 100% hydrogen and about 0% to 95% helium by atomic number The processing gas comprising is led to a processing region. The processing gas preferably comprises about 5% hydrogen and about 95% helium. The plasma of hydrogen / helium gas impinges in the processing region causing the substrate to undergo a reactive hydrogen plasma environment. The hydrogen plasma applies about 50W to 500W of power from the RF power source 524 to the RF coil 526 and applies an RF bias of about 5W to 300W from the RF bias source 528 to the substrate support member 512. Is generated. The hydrogen plasma is maintained for about 10 to 300 seconds to convert the copper oxide to copper and clean the substrate. The hydrogen plasma is preferably generated by an RF power of about 450 W applied to the coil and an RF bias of about 10 W applied to the substrate support member, and is preferably maintained for about 60 seconds. Once the preclean process is complete, the preclean chamber is evacuated of the processing gases and reaction byproducts from the preclean process. A barrier layer is then deposited on the cleaned substrate, after which the remaining process outlined in FIG. 3 is performed.

이전의 설명이 본 발명의 바람직한 실시예로 설명되었지만, 본 발명의 다른 실시예들이 발며의 기본적인 범위를 벗어나지 않고서 고안될 수도 있다. 본 발명의 범위는 이하 청구항에 의해서 결정된다.While the foregoing description has been described as preferred embodiments of the invention, other embodiments of the invention may be devised without departing from the basic scope thereof. The scope of the invention is determined by the claims that follow.

본 발명은 일반적으로 패턴된 유전층상에 증착된 금속의 전기적인 성능 및 충진을 향상시키기 위한 방법을 제공한다. 패턴된 유전층의 비아 및 트렌치 같은 개구들은 충진을 강화하기 위하여 에치되고 동일한 체임버에서 세정되어 개구내의 금속산화물을 감소시킨다. 본 발명은 또한 아르곤으로 필수적으로 이루어지고, 프로세싱 체임버를 둘러싸는 유도성 코일에 RF 전력을 제공하고 기판을 지지하는 기판지지부재로 RF 바이어스를 제공함으로써 생성되는 제 1 플라즈마로 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계, 수소 및 헬륨으로 필수적으로 이루어지고, 프로세싱 체임버를 둘러싸는 유도성 코일의 RF 전력 공급을 증가시키고 기판을 지지하는 기판지지부재로의 RF 바이어스 제공을 감소시킴으로써 생성되는 제 2 플라즈마로 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계, 상기 유전층을 상기 제 1 및 제 2 플라즈마에 노출시킨 후 상기 패턴된 유전층상에 베리어층을 증착하는 단계, 및 상기 베리어층에 금속을 증착하는 단계를 제공한다. 또한, 예비세정 (pre-clean) 체임버, 물리 기상 증착 체임버, 에치 체임버, 및 다른 플라즈마 프로세싱 체임버를 포함하는, 통합된 프로세스 시퀀스의 다양한 플라즈마 프로세싱 체임버에서 순차적인 플라즈마 처리가 수행될 수 있다.The present invention generally provides a method for improving the electrical performance and filling of metal deposited on a patterned dielectric layer. Openings such as vias and trenches in the patterned dielectric layer are etched to enhance filling and are cleaned in the same chamber to reduce metal oxides in the openings. The invention also consists essentially of argon and is patterned in a processing chamber with a first plasma created by providing RF power to an inductive coil surrounding the processing chamber and providing an RF bias to a substrate support member supporting the substrate. Cleaning the dielectric layer, consisting essentially of hydrogen and helium, a second plasma generated by increasing the RF power supply of the inductive coil surrounding the processing chamber and reducing the RF bias provision to the substrate support member supporting the substrate Cleaning the patterned dielectric layer in a raw processing chamber, exposing the dielectric layer to the first and second plasma and then depositing a barrier layer on the patterned dielectric layer, and depositing a metal on the barrier layer To provide. In addition, sequential plasma processing may be performed in various plasma processing chambers of an integrated process sequence, including pre-clean chambers, physical vapor deposition chambers, etch chambers, and other plasma processing chambers.

Claims (13)

a) 아르곤을 포함하는 제 1 플라즈마를 이용하여 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계, 및a) cleaning the patterned dielectric layer in the processing chamber using a first plasma comprising argon, and b) 수소 및 헬륨을 포함하며, 제 2 플라즈마를 이용하여 상기 프로세싱 체임버에서 상기 패턴된 유전층을 세정하는 단계를 포함하는, 상기 패턴된 유전층상에서의 금속 증착을 향상시키기 위한 방법.b) cleaning the patterned dielectric layer in the processing chamber using hydrogen and helium, and using a second plasma. 제 1 항에 있어서, The method of claim 1, 상기 유전층을 상기 제 1 플라즈마 및 상기 제 2 플라즈마에 노출시킨후 상기 패턴된 유전층상에 금속을 증착하는 단계를 더 포함하는 방법.Exposing the dielectric layer to the first plasma and the second plasma and then depositing a metal on the patterned dielectric layer. a) 아르곤을 포함하고, 프로세싱 체임버를 둘러싸는 코일에 RF 전력을 제공하며 기판을 지지하는 기판지지부재에 RF 바이어스를 제공함으로써 생성되는 제 1 플라즈마로 상기 프로세싱 체임버 내에서 패턴된 유전층을 세정하는 단계;a) cleaning the patterned dielectric layer in the processing chamber with a first plasma comprising argon and providing RF power to a coil surrounding the processing chamber and providing an RF bias to a substrate support member supporting the substrate; ; b) 수소 및 헬륨을 포함하며, 상기 프로세싱 체임버를 둘러싸는 코일에 RF 전력을 제공하며 상기 기판을 지지하는 기판지지부재에 RF 바이어스를 제공함으로써 생성되는 제 2 플라즈마로 상기 프로세싱 체임버 내에서 상기 패턴된 유전층을 세정하는 단계; 및b) the patterned within the processing chamber with a second plasma, comprising hydrogen and helium, the RF being applied to a coil surrounding the processing chamber and providing an RF bias to a substrate support member supporting the substrate. Cleaning the dielectric layer; And c) 상기 유전층을 상기 제 1 플라즈마 및 상기 제 2 플라즈마에 노출시킨후 상기 패턴된 유전층상에 금속을 증착하는 단계c) depositing a metal on the patterned dielectric layer after exposing the dielectric layer to the first plasma and the second plasma; 를 포함하는, 상기 기판상의 패턴된 유전층 상에서의 금속 증착을 향상시키기 위한 방법.And a metal deposition on the patterned dielectric layer on the substrate. 제 1 항 또는 제 3 항에 있어서, The method according to claim 1 or 3, 상기 제 1 플라즈마는 아르곤을 포함하는 방법.And the first plasma comprises argon. 제 3 항에 있어서,The method of claim 3, wherein 상기 금속을 증착하기 전에 상기 패턴된 유전층 상에 베리어층을 증착하는 단계를 더 포함하는 방법.Depositing a barrier layer on the patterned dielectric layer prior to depositing the metal. 제 3 항에 있어서, The method of claim 3, wherein 상기 제 1 플라즈마를 생성하기 위하여 상기 기판지지부재에 제공된 것보다 더 적은 RF 바이어스가 상기 제 2 플라즈마를 생성하기 위하여 상기 기판지지부재에 제공되는 방법.Less RF bias is provided to the substrate support member to generate the second plasma than is provided to the substrate support member to generate the first plasma. a) 아르곤을 포함하며, 프로세싱 체임버를 둘러싸는 코일에 RF전력을 제공하며 기판을 지지하는 기판지지부재에 RF 바이어스를 제공함으로써 생성되는 제 1 플라즈마로 상기 프로세싱 체임버내에서 패턴된 유전층을 세정하는 단계;a) cleaning the patterned dielectric layer in the processing chamber with a first plasma comprising argon and generated by providing RF power to a coil surrounding the processing chamber and providing an RF bias to a substrate support member supporting the substrate. ; b) 수소 및 헬륨을 포함하며, 상기 프로세싱 체임버를 둘러싸는 코일에 제공되는 RF 전력을 증가시키고 상기 기판을 지지하는 기판지지부재에 제공되는 RF 바이어스를 감소시킴으로써 생성되는 제 2 플라즈마로 상기 프로세싱 체임버내에서 상기 패턴된 유전층을 세정하는 단계;b) within the processing chamber with a second plasma comprising hydrogen and helium, the RF plasma being provided by increasing the RF power provided to the coil surrounding the processing chamber and reducing the RF bias provided to the substrate support member supporting the substrate. Cleaning the patterned dielectric layer at; c) 상기 유전층을 상기 제 1 플라즈마 및 상기 제 2 플라즈마에 노출시킨후 상기 패턴된 유전층상에 베리어층을 증착시키는 단계; 및c) exposing the dielectric layer to the first plasma and the second plasma and then depositing a barrier layer on the patterned dielectric layer; And d) 상기 베리어층에 금속을 증착하는 단계d) depositing a metal on the barrier layer 를 포함하는, 상기 기판상의 패턴된 유전층상에서의 금속증착을 향상시키기 위한 방법.And a metal deposition on the patterned dielectric layer on the substrate. 제 1 항, 제 3 항 및 제 7 항 중 어느 한 항에 있어서, The method according to any one of claims 1, 3 and 7, 상기 프로세싱 체임버는 예비세정 체임버인 방법.Said processing chamber is a preclean chamber. 제 1 항 또는 제 7 항에 있어서, The method according to claim 1 or 7, 상기 제 2 플라즈마는 원자수로 볼 때 5% 이상 100 % 미만의 수소 및 원자수로 볼 때 0% 초과 95 % 이하의 헬륨을 포함하는 방법.Wherein the second plasma comprises at least 5% and less than 100% hydrogen in atomic number and more than 0% and 95% helium in atomic number. 제 3 항 또는 제 7 항에 있어서, The method according to claim 3 or 7, 상기 제 2 플라즈마는 원자수로 볼 때 5% 의 수소와 원자수로 볼 때 95% 의 헬륨을 포함하는 방법.Wherein the second plasma comprises 5% hydrogen in atomic number and 95% helium in atomic number. 제 3 항 또는 제 7 항에 있어서, The method according to claim 3 or 7, 상기 제 1 플라즈마는 상기 코일에 300W 의 RF 전력을 제공하고 상기 기판지지부재에 300W 의 RF 바이어스를 제공하여 생성되고, 상기 제 2 플라즈마는 상기 코일에 450W 의 RF 전력을 제공하고 상기 기판지지부재에 10W 의 RF 바이어스를 제공하여 생성되는 방법.The first plasma is generated by providing 300 W of RF power to the coil and 300 W of RF bias to the substrate support member, and the second plasma provides 450 W of RF power to the coil and the substrate support member. Generated by providing an RF bias of 10W. 제 3 항 또는 제 7 항에 있어서, The method according to claim 3 or 7, 상기 제 1 플라즈마 및 상기 제 2 플라즈마 각각은 상기 프로세싱 체임버내에서 60 초 동안 유지되는 방법.Each of the first plasma and the second plasma for 60 seconds in the processing chamber. 제 7 항에 있어서, The method of claim 7, wherein 상기 제 1 플라즈마는 상기 프로세싱 체임버 내에서 0.8 mtorr 의 압력으로 생성되고, 상기 제 2 플라즈마는 상기 프로세싱 체임버 내에서 80 mtorr 의 압력으로 생성되는 방법.The first plasma is generated at a pressure of 0.8 mtorr in the processing chamber and the second plasma is generated at a pressure of 80 mtorr in the processing chamber.
KR1020000024593A 1999-05-11 2000-05-09 Sequential sputter and reactive precleans of vias and contacts KR100670618B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US30960699A 1999-05-11 1999-05-11
US09/309,606 1999-05-11
US9/309,606 1999-05-11

Publications (2)

Publication Number Publication Date
KR20000077193A KR20000077193A (en) 2000-12-26
KR100670618B1 true KR100670618B1 (en) 2007-01-17

Family

ID=23198904

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000024593A KR100670618B1 (en) 1999-05-11 2000-05-09 Sequential sputter and reactive precleans of vias and contacts

Country Status (3)

Country Link
JP (1) JP2001085331A (en)
KR (1) KR100670618B1 (en)
TW (1) TW512448B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
JPWO2005055305A1 (en) 2003-12-04 2007-06-28 東京エレクトロン株式会社 Method for cleaning surface of conductive layer of semiconductor substrate
KR100779337B1 (en) 2006-08-24 2007-11-23 동부일렉트로닉스 주식회사 Method of making semiconductor devices
US10460988B2 (en) * 2017-12-21 2019-10-29 Tokyo Electron Limited Removal method and processing method
JP2019192892A (en) 2018-04-18 2019-10-31 東京エレクトロン株式会社 Processing system and processing method
CN113473708A (en) * 2020-03-30 2021-10-01 健鼎(湖北)电子有限公司 Manufacturing method of circuit board structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60174873A (en) * 1984-02-20 1985-09-09 Hitachi Cable Ltd Pretreatment of metallic substrate for vapor deposition
JPH0562957A (en) * 1991-09-04 1993-03-12 Seiko Epson Corp Plasma cleaning method
KR19990006183A (en) * 1997-06-30 1999-01-25 김영환 Metal wiring method of semiconductor device
KR19990069348A (en) * 1998-02-06 1999-09-06 황철주 Manufacturing Method of Semiconductor Device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60174873A (en) * 1984-02-20 1985-09-09 Hitachi Cable Ltd Pretreatment of metallic substrate for vapor deposition
JPH0562957A (en) * 1991-09-04 1993-03-12 Seiko Epson Corp Plasma cleaning method
KR19990006183A (en) * 1997-06-30 1999-01-25 김영환 Metal wiring method of semiconductor device
KR19990069348A (en) * 1998-02-06 1999-09-06 황철주 Manufacturing Method of Semiconductor Device

Also Published As

Publication number Publication date
JP2001085331A (en) 2001-03-30
TW512448B (en) 2002-12-01
KR20000077193A (en) 2000-12-26

Similar Documents

Publication Publication Date Title
KR100842463B1 (en) Methods of pre-cleaning dielectric layers of substrates
JP4901004B2 (en) Method for removing copper oxide on a substrate surface
US6946401B2 (en) Plasma treatment for copper oxide reduction
US6905965B2 (en) Reactive preclean prior to metallization for sub-quarter micron application
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7053002B2 (en) Plasma preclean with argon, helium, and hydrogen gases
US7462565B2 (en) Method of manufacturing semiconductor device
JP6921990B2 (en) Pre-cleaning and deposition methods for superconductor interconnection
US20030027427A1 (en) Integrated system for oxide etching and metal liner deposition
US7014887B1 (en) Sequential sputter and reactive precleans of vias and contacts
KR19990077239A (en) Manufacturing method of semiconductor device
KR100670618B1 (en) Sequential sputter and reactive precleans of vias and contacts
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee