JP2001085331A - Sequential sputter and reactive precleaning of via-hole and contact - Google Patents

Sequential sputter and reactive precleaning of via-hole and contact

Info

Publication number
JP2001085331A
JP2001085331A JP2000138942A JP2000138942A JP2001085331A JP 2001085331 A JP2001085331 A JP 2001085331A JP 2000138942 A JP2000138942 A JP 2000138942A JP 2000138942 A JP2000138942 A JP 2000138942A JP 2001085331 A JP2001085331 A JP 2001085331A
Authority
JP
Japan
Prior art keywords
plasma
dielectric layer
substrate
processing chamber
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000138942A
Other languages
Japanese (ja)
Inventor
M Cohen Barney
エム. コーエン バーニー
Rengarajan Suraju
レンガラジャン スラジュ
Lee Shanbin
リー シャンビン
King-Tye Nugyan Kenney
キング−タイ ヌギャン ケニー
Dein Pejan
ディン ぺジャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001085331A publication Critical patent/JP2001085331A/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

PROBLEM TO BE SOLVED: To generally obtain a method for improving fill and electrical performance of metals deposited on patterned dielectric layers. SOLUTION: A method includes a process, in which a patterned dielectric layer is cleaned in a processing chamber with a first plasma, consisting essentially of argon (212), a process in which the patterned dielectric layer is cleaned in a processing chamber with a second plasma consisting essentially of hydrogen and helium (215), a process in which a barrier layer is deposited on the patterned dielectric layer, after exposing the dielectric layer to the first plasma and the second plasma (220) and a process, in which a metal is deposited on the barrier layer. Furthermore, the sequential plasma treatments can be conducted in a variety of plasma processing chambers of an integrated processing sequence, including pre-clean chambers, PVD chambers, etching chambers and other plasma processing chambers.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の分野】本発明は、一般には基板上への膜の堆積
に関する。より詳細には、本発明は、メタル堆積に先立
つ、誘電体層のエッチングとクリーニングに関する。
FIELD OF THE INVENTION The present invention relates generally to the deposition of a film on a substrate. More particularly, the present invention relates to etching and cleaning of a dielectric layer prior to metal deposition.

【0002】[0002]

【関連技術の背景】集積回路においては、フィーチャサ
イズがより小さくなり、多層メタライゼーション(多層
配線技術)が一般的になるとともに、低誘電率膜の重要
性が増してきている。低誘電率膜は、とりわけメタル間
誘電体(IMD)層にとって、被覆された接続メタライ
ゼーションのRC時間遅延を減少させ、メタライゼーシ
ョン間のクロストークを防止し、デバイスのパワー消費
を低減するために望ましい。
BACKGROUND OF THE INVENTION In integrated circuits, feature sizes are becoming smaller, multilayer metallization is becoming more common, and the importance of low dielectric constant films is increasing. Low-k films, especially for intermetal dielectric (IMD) layers, reduce the RC time delay of coated connection metallization, prevent crosstalk between metallizations, and reduce device power consumption. desirable.

【0003】サブ−ハーフミクロンの多層メタライゼー
ションは、次世代の超大規模集積回路(「VLSI」)
にとってキーテクノロジーの一つである。この技術の中
心にある多層間接続は、プラグ及びその他の層間接続の
ようなアスペクト比が高いフィーチャの平坦化を必要と
する。これらの層間接続を信頼性をもって形成すること
は、VLSIの成功と、個々の基板とダイ上の回路密度
と品質を向上させる不断の努力にとって非常に重要であ
る。
[0003] Sub-half micron multilayer metallization is the next generation of very large scale integrated circuits ("VLSI").
Is one of the key technologies. Multi-layer connections at the heart of this technology require planarization of high aspect ratio features such as plugs and other interlayer connections. The reliable formation of these interlayer connections is critical to the success of VLSI and the constant effort to improve circuit density and quality on individual substrates and dies.

【0004】従来の化学的気相堆積(CVD)及び物理
的気相堆積(PVD)の技術は、基板上に形成されたコ
ンタクトホール、バイア、トレンチ、又はその他のフィ
ーチャ内へ導電材料を堆積させるために使用される。従
来プロセスに伴う一つの問題は、コンタクトホール又は
他のパターンが、しばしば高いアスペクト比、即ち、ホ
ールの高さの、それらの幅又は直径に対する1より大き
い比を持つことに起因する。ホールのアスペクト比は、
技術の進歩が、より近接した間隔のフィーチャを得るに
つれて高まる。
[0004] Conventional chemical vapor deposition (CVD) and physical vapor deposition (PVD) techniques deposit conductive material into contact holes, vias, trenches, or other features formed on a substrate. Used for One problem with conventional processes is that contact holes or other patterns often have a high aspect ratio, ie, a ratio of hole height to their width or diameter that is greater than one. The aspect ratio of the hole is
Technological advances increase as more closely spaced features are obtained.

【0005】天然の酸化物及び他の汚染物質は堆積メタ
ルの不均一分布を促すので、微小フィーチャ内に天然の
酸化物及び他の汚染物質が存在すると、普通は、フィー
チャ内の堆積メタル中にボイドを生じさせる。露出した
膜層/基板を酸素にさらす結果、この天然の酸化物が形
成する。酸素への曝露は、基板を、処理チャンバ間の大
気条件の空気中で移動させるとき、又は真空チャンバに
残留する少量の酸素がウェーハ/膜層に接触するとき、
又は層がエッチングによって汚染されるときに起きる。
フィーチャ内の他の汚染物は、酸化物のオーバエッチン
グに由来するスパッタ物質、剥離プロセスに由来する残
留するホトレジスト、先行する酸化物エッチングステッ
プに由来する残留した炭化水素又はフッ化炭化水素のポ
リマー、又はプリクリーニングスパッタエッチングプロ
セスに由来する再堆積物質である。天然の酸化物及び他
の汚染物は膜成長が妨げられる領域を形成することによ
り、膜形成を妨げる領域を基板上に形成する。成長を制
限された領域が堆積メタルで充填される前に、成長が高
められた領域が、微小フィーチャを併呑して封止してし
まう。
[0005] The presence of natural oxides and other contaminants in small features typically results in non-uniform distribution of the deposited metal in the features, as natural oxides and other contaminants promote uneven distribution of the deposited metal. Causes voids. Exposure of the exposed film layer / substrate to oxygen results in the formation of this natural oxide. Exposure to oxygen can occur when the substrate is moved in air at atmospheric conditions between processing chambers, or when a small amount of oxygen remaining in the vacuum chamber contacts the wafer / film layer.
Or when the layer is contaminated by etching.
Other contaminants in the features include sputter material from the oxide overetch, residual photoresist from the stripping process, residual hydrocarbon or fluorocarbon polymers from the preceding oxide etch step, Alternatively, it is a redeposited substance derived from a pre-cleaning sputter etching process. Natural oxides and other contaminants form regions on the substrate that hinder film formation by forming regions where film growth is hindered. Before the growth restricted area is filled with the deposited metal, the enhanced growth area will swallow and seal the microfeatures.

【0006】また、天然の酸化物と他の汚染物の存在
は、バイア/コンタクトの抵抗を増加させ、微小フィー
チャの耐エレクトロマイグレーション性を低下させる。
汚染物は、誘電体層、サブレイヤ (sub layer) 又は堆
積メタル中へ拡散し、微小フィーチャを含むデバイスの
性能を変える可能性がある。汚染は、堆積メタルと下地
の導電性又は半導電性のフィーチャとの界面における、
フィーチャ内の薄い境界領域に限定されてもよいが、こ
の薄い境界領域は微小フィーチャの枢要部である。フィ
ーチャにおける汚染物の許容レベル値は、フィーチャの
幅が小さくなるとともに小さくなる。
Also, the presence of natural oxides and other contaminants increases via / contact resistance and reduces the electromigration resistance of small features.
Contaminants can diffuse into the dielectric layer, sublayer, or deposited metal and can alter the performance of devices containing small features. Contamination occurs at the interface between the deposited metal and the underlying conductive or semi-conductive features.
Although it may be limited to a thin boundary region within the feature, this thin boundary region is the pivot of the micro-feature. Acceptable level values of contaminants in a feature decrease with decreasing feature width.

【0007】スパッタエッチングプロセスを用いるフィ
ーチャのプリクリーニング(前洗浄)は、大きなフィー
チャ内、又は約4:1未満のアスペクト比を有する大小
フィーチャ内の汚染物を低減するには効果がある。しか
し、スパッタエッチングプロセスは、物理的衝撃、フィ
ーチャ側壁上へのSi/SiO2のスパッタ堆積及び、フィ
ーチャ側壁上へのアルミニウム又は銅のようなメタルの
サブレイヤのスッパタ堆積、によりシリコン層に損傷を
与える。より大きなフィーチャに関しては、スパッタエ
ッチングプロセスは、フィーチャ内の汚染物の量を許容
レベルまで低減するのが普通である。より大きなアスペ
クト比を有する微小フィーチャに関しては、スパッター
エッチングプロセスはフィーチャ内汚染物の除去にさほ
ど効果的ではなく、形成されたデバイスの性能を低下さ
せるものであった。
[0007] Pre-cleaning of features using a sputter etch process is effective in reducing contaminants in large features or small and large features having an aspect ratio of less than about 4: 1. However, sputter etch processes, physical shock, sputter deposition and the Si / SiO 2 onto the feature sidewalls, Suppata deposition of metal sublayer, such as aluminum or copper onto the feature sidewalls, damage to the silicon layer by . For larger features, the sputter etch process typically reduces the amount of contaminants in the features to acceptable levels. For smaller features with larger aspect ratios, the sputter etch process was not very effective at removing intra-feature contaminants and reduced the performance of the formed device.

【0008】スパッタエッチングプロセスによるプリク
リーニングは、露出した銅を有するフィーチャに特に適
さない。銅は、容易に誘電体内に形成されたバイアの側
壁ヲ含む誘電体中へ拡散し、に食い込んで、誘電体の完
全性を破壊するか劣化させる。この拡散は、TEOS、熱酸
化物、及び幾つかの低いK定数を有する誘電体材料の場
合にあてはまる。従って、銅のプリクリーニングを適用
する場合には新たなプリクリーニングプロセスが必要で
ある。
[0008] Precleaning by a sputter etch process is not particularly suitable for features having exposed copper. Copper readily diffuses into and penetrates the dielectric, including the sidewalls of vias formed in the dielectric, destroying or degrading the integrity of the dielectric. This diffusion is true for TEOS, thermal oxides, and dielectric materials with some low K constants. Therefore, when copper pre-cleaning is applied, a new pre-cleaning process is required.

【0009】図1に、例えば、二酸化ケイ素又は窒化ケ
イ素の層などの電気絶縁層又はその上の誘電体層12内
に形成されたホール11を含む基板10を示す。ホール
の側壁14上の汚染物はメタル含有層の不均一堆積を促
すので、高アスペクト比のホール11内への均一なメタ
ル含有層の堆積が難しい。メタル含有層は、結局、ホー
ルが完全に充填される前にホールの幅を横切って集合
し、それにより、ホールの中にボイド及び不連続部を形
成することになる。その後、ボイドを囲むメタル原子の
高い移動性によって、原子が拡散し、図1に示すように
円形ボイドを形成するボイド表面積を最小にする。これ
らのボイドと不連続部が、不良であって信頼性のない電
気コンタクトを結果としてもたらす。
FIG. 1 shows a substrate 10 including holes 11 formed in an electrically insulating layer or dielectric layer 12 thereon, such as, for example, a layer of silicon dioxide or silicon nitride. Contaminants on the sidewalls 14 of the holes promote uneven deposition of the metal-containing layer, making it difficult to deposit a uniform metal-containing layer in the high aspect ratio hole 11. The metal-containing layer eventually aggregates across the width of the hole before the hole is completely filled, thereby forming voids and discontinuities in the hole. Thereafter, the high mobility of the metal atoms surrounding the voids causes the atoms to diffuse and minimize the void surface area forming circular voids as shown in FIG. These voids and discontinuities result in poor and unreliable electrical contacts.

【0010】プリクリーニングは、主としてスパッタエ
ッチング型のプロセスであって、そこでは、汚染物が基
板からスパッタされる。それは、好ましくは、典型的に
アルゴンである不活性ガスと典型的に水素である反応性
ガスとの混合ガスを使って行われる。アルゴンと水素の
混合ガスは、反応性及び非反応性の汚染物の両方を除去
し、コンタクトホール、バイア、トレンチ、その他パタ
ーンの形状を修正して、後続のメタル堆積プロセスを改
良するために使用できる。プリクリーニング混合ガス中
のアルゴン含有量を増やすと、それに対応するプリクリ
ーニングプロセスのエッチングレートの増加、及びそれ
に対応するプリクリーニングプロセスのエッチング均一
性の低下がもたらされる。銅酸化物や炭化水素のような
反応性化合物又は汚染物を効果的に除去するために、混
合ガス中には水素が含まれなくてはならない。パターニ
ングされた基板を、アルゴンと幾らかの量の水素との混
合ガスでプリクリーニングすることにより、アルゴンに
よるプリクリーニングに比べ、エッチングレートは低く
且つエッチング不均一性が高まる。
[0010] Pre-cleaning is primarily a sputter-etch type process in which contaminants are sputtered from the substrate. It is preferably carried out using a mixture of an inert gas, typically argon, and a reactive gas, typically hydrogen. A mixture of argon and hydrogen is used to remove both reactive and non-reactive contaminants, modify the shape of contact holes, vias, trenches and other patterns to improve subsequent metal deposition processes it can. Increasing the argon content in the pre-cleaning mixture gas results in a corresponding increase in the pre-cleaning process etch rate and a corresponding decrease in the pre-cleaning process etch uniformity. In order to effectively remove reactive compounds or contaminants such as copper oxides and hydrocarbons, the gas mixture must contain hydrogen. By pre-cleaning the patterned substrate with a mixed gas of argon and some amount of hydrogen, the etching rate is lower and the etching non-uniformity is increased as compared with the pre-cleaning using argon.

【0011】高濃度の反応性ガスと改善されたエッチン
グレートとの両方を有するプリクリーニングプロセスが
あれば、実質的には反応性ガスの添加により汚染物の除
去を実質的に促すことになろう。
A pre-cleaning process having both a high concentration of reactive gas and an improved etch rate would substantially enhance the removal of contaminants by the addition of reactive gas. .

【0012】Zhao他による米国特許第5,660,68
2号は、水素とアルゴンを含むプラズマを使って、パタ
ーニングされた誘電体層のエッチングと、反応性クリー
ニングとを結びつけた試みを例示している。アルゴン
は、開口部から堆積物をエッチングし、水素は残留堆積
物と反応して気体副成物を形成する。エッチングとクリ
ーニングの組み合わせは、後続のメタル堆積を改良する
が、組み合わせプラズマ処理は、後続のメタル層内のボ
イド形成を阻止しない。従って、パターニングされた誘
電体層上への、特に、約1.0を超えるアスペクト比を
有するバイア及びトレンチ等の開口上へのメタル層堆積
を改良する方法に対する必要性が依然としてある。
No. 5,660,68 by Zhao et al.
No. 2 illustrates an attempt to combine reactive cleaning with etching of a patterned dielectric layer using a plasma containing hydrogen and argon. Argon etches the deposit from the openings and hydrogen reacts with the residual deposit to form gaseous by-products. While the combination of etching and cleaning improves subsequent metal deposition, the combined plasma treatment does not prevent void formation in subsequent metal layers. Accordingly, there remains a need for a method of improving metal layer deposition on patterned dielectric layers, especially on openings such as vias and trenches having an aspect ratio greater than about 1.0.

【0013】[0013]

【発明の概要】本発明は、一般には、パターニングされ
た誘電体層上へ堆積されるメタルの充填と電気的性能と
を改良するための方法を提供する。パターニングされた
誘電体層内のバイア及びトレンチ等の開口部が、充填を
促進するためにエッチングされ、次いで、開口部内のメ
タル酸化物を低減するためにクリーニングされる。一局
面では、本発明は、主としてアルゴン含む第一プラズマ
による処理チャンバ内での、パターニングされた誘電体
層のクリーニングと、水素とヘリウムを必須として構成
される第二プラズマによる処理チャンバ内での、パター
ニングされた誘電体層のクリーニングとを提供する。エ
ッチングとクリーニング後、開口部はバリア/ライナ層
上に堆積可能なメタルで充填される。両クリーニングプ
ロセスは、同一チャンバで行われることが好ましい。
SUMMARY OF THE INVENTION The present invention generally provides a method for improving the filling and electrical performance of a metal deposited on a patterned dielectric layer. Openings, such as vias and trenches, in the patterned dielectric layer are etched to facilitate filling and then cleaned to reduce metal oxide in the openings. In one aspect, the invention is directed to cleaning a patterned dielectric layer in a processing chamber with a first plasma comprising primarily argon, and in a processing chamber with a second plasma comprising hydrogen and helium as essential. Cleaning of the patterned dielectric layer. After etching and cleaning, the openings are filled with a metal that can be deposited on the barrier / liner layer. Preferably, both cleaning processes are performed in the same chamber.

【0014】また、本発明は、アルゴンを必須として構
成される第一プラズマを使って、パターニングされた誘
電体層を処理チャンバ内でクリーニングするためのプロ
セスを提供し、そこでは、第一プラズマが、処理チャン
バを取り巻く誘導コイルへRFプラズマパワーを供給す
ることにより、且つ基板を支持する基板支持部材へRF
バイアスを供給することにより生成される。パターニン
グされた誘電体層は、水素とヘリウムを必須として構成
される第二プラズマにより、処理内でクリーニングされ
るが、そこでは、第二プラズマが、処理チャンバを取り
巻く誘導コイルへのRFプラズマパワー供給を増加させ
ることにより、且つ基板を支持する基板支持部材へのR
Fバイアス供給を低減することにより生成される。
The present invention also provides a process for cleaning a patterned dielectric layer in a processing chamber using a first plasma comprising argon as essential, wherein the first plasma comprises a first plasma. By supplying RF plasma power to an induction coil surrounding the processing chamber and to a substrate support member supporting the substrate.
Generated by applying a bias. The patterned dielectric layer is cleaned in process by a second plasma, essentially composed of hydrogen and helium, where the second plasma provides RF plasma power to an induction coil surrounding the processing chamber. Is increased, and R to the substrate supporting member supporting the substrate is increased.
Generated by reducing the F bias supply.

【0015】更に、第一プラズマと第二プラズマへ誘電
体層を曝露させた後にバリア/ライナ層が、パターニン
グされた誘電体層上に堆積され、その後、メタル層がバ
リア層上に堆積されてもよい。更にまた、プリクリーニ
ングチャンバ、物理的気相堆積チャンバ、エッチングチ
ャンバ、及び他のプラズマ処理チャンバを含む統合プロ
セスシーケンスの各種プラズマ処理チャンバで、シーケ
ンシャルプラズマ処理を実施することができる。
Further, after exposing the dielectric layer to the first plasma and the second plasma, a barrier / liner layer is deposited on the patterned dielectric layer, and then a metal layer is deposited on the barrier layer. Is also good. Furthermore, sequential plasma processing can be performed in various plasma processing chambers of an integrated process sequence, including a pre-cleaning chamber, a physical vapor deposition chamber, an etching chamber, and other plasma processing chambers.

【0016】[0016]

【本発明の詳細な説明】本発明は、二酸化ケイ素層のよ
うな誘電体層内にエッチングされるバイア、コンタク
ト、及び他のフィーチャをプリクリーニングするための
適切な方法を提供するが、ここで誘電体層は、Ge、S
i、Al、Cu、又はTiNのサブレイヤのような、導
体又は半導電性のサブレイヤを露出させるために、ドラ
イ又はウェットのエッチングチャンバ内でエッチングさ
れる。エッチングは、サブレイヤを露出させ、それによ
りフィーチャが、導電体又は半導電性の材料で充填され
ることが可能となり、それらの材料が、サブレイヤと、
誘電体層上に引き続き堆積されるメタル層間接続層とを
接続する。誘電体中のフィーチャのエッチングは、フィ
ーチャの充填を改善するために、そして最終的に形成さ
れるデバイスの集積度と信頼性を高めるために除去すべ
きである汚染物を残してしまうのが普通である。
DETAILED DESCRIPTION OF THE INVENTION The present invention provides a suitable method for pre-cleaning vias, contacts, and other features that are etched into a dielectric layer, such as a silicon dioxide layer. The dielectric layer is made of Ge, S
Etched in a dry or wet etch chamber to expose conductor or semi-conductive sublayers, such as i, Al, Cu, or TiN sublayers. The etching exposes the sub-layer, which allows the features to be filled with a conductor or semi-conductive material, the material comprising:
A connection is made with a metal interlayer connection layer subsequently deposited on the dielectric layer. Etching features in a dielectric typically leaves contaminants that must be removed to improve feature filling and to increase the integrity and reliability of the final device formed. It is.

【0017】誘電体層のエッチング後、フィーチャは、
その内部に誘電体層のオーバエッチングに由来する損傷
を受けたケイ素又はメタル残渣を含む可能性がある。フ
ィーチャには、また、その表面上にホトレジスト剥離と
アッシングプロセスとの一方又は両方に由来する残存ホ
トレジスト含み、或いは誘電体エッチングステップに由
来する残存炭化水素、又はフッ化炭化水素のポリマーを
含む可能性がある。フィーチャ表面は、また、スパッタ
エッチングプリクリーニングプロセスにより生成した再
堆積物質を含むかもしれない。これらの汚染物は、誘電
体層内に移動し、或いは堆積メタルの不均一な分布を促
すことでメタライゼーションの選択性を妨げる可能性が
ある。また、汚染物の存在により、フィーチャの幅が実
質的に狭くなることと、その結果、バイア、コンタクト
配線、又は他の導体フィーチャを形成するメタル内に狭
い部分ができることとにより、堆積メタルの抵抗が増加
する可能性がある。
After etching the dielectric layer, the features are:
It may contain damaged silicon or metal residues resulting from overetching of the dielectric layer. The feature may also include residual photoresist on its surface from one or both of the photoresist stripping and ashing processes, or residual hydrocarbons from a dielectric etching step, or fluorocarbon polymers. There is. The feature surface may also contain redeposited material generated by the sputter etch preclean process. These contaminants can interfere with the selectivity of the metallization by migrating into the dielectric layer or promoting uneven distribution of the deposited metal. Also, the presence of contaminants substantially reduces the width of the features and, consequently, creates narrower areas within the metal forming vias, contact interconnects, or other conductive features, thereby reducing the resistance of the deposited metal. May increase.

【0018】本発明に従ってクリーニングされて、充填
されたサブミクロンのフィーチャは、半導体基板の表面
に誘電体材料を堆積する従来手法により形成される。現
在公知の、又は今後発見される任意の誘電体材料を使用
することができ、それは有機ポリマーやエアロゲル等の
低誘電体材料を含め、本発明の範囲内のある。誘電体層
は、一層以上の異なる層を含むことが可能であり、堆積
を促進する適当などのようなサブレイヤにも堆積が可能
である。好ましい堆積促進サブレイヤは、Al、Cu等
の導体メタルと、TiN、Ta、TaN等のバリア表面
とを含む。
Submicron features that have been cleaned and filled according to the present invention are formed by conventional techniques for depositing a dielectric material on the surface of a semiconductor substrate. Any dielectric material now known or later discovered can be used and is within the scope of the present invention, including low dielectric materials such as organic polymers and aerogels. The dielectric layer can include one or more different layers, and can be deposited on any suitable sub-layer that facilitates deposition. Preferred deposition promoting sublayers include a conductive metal such as Al, Cu, and a barrier surface such as TiN, Ta, TaN.

【0019】一旦堆積されると、誘電体層は、従来技法
によりエッチングされ、バイア、コンタクト、トレン
チ、又はその他のサブミクロンフィーチャを形成する。
フィーチャは、普通は、急峻な側壁を伴う高いアスペク
ト比を持つであろう。誘電体層のエッチングは、プラズ
マエッチングを含め、何れの誘電体エッチングプロセス
で実現してもよい。二酸化ケイ素をエッチングするため
の特有の技法には、C2 6、SF6、及びNF3のような化合
物が含まれる。しかし、パターニングは、当該技術で知
られる何れかの方法を使って、任意の層上に実現しても
よい。
[0019] Once deposited, the dielectric layer can be formed using conventional techniques.
Etched by vias, contacts, and trains
H or other sub-micron features.
Features typically have high aspect ratios with steep sidewalls
Will have a ratio. Etching of dielectric layer is plasma
Any dielectric etching process, including etching
May be realized. For etching silicon dioxide
The unique technique of CTwoF 6,SCIENCE FICTION6, And NFThreeCompound like
Things are included. However, patterning is known in the art.
Can be realized on any layer using any of the methods
Good.

【0020】[0020]

【好ましい実施形態の詳細な説明】図2は、多数基板の
処理チャンバを有するクラスタツールシステムの概略図
である。クラスタツールシステム100は、第一ステー
ジ移送チャンバ115に取り付けた真空ロードロックチ
ャンバ105、110を含む。ロードロックチャンバ1
05、110は、システム100を基板が出入りする
間、第一ステージ移送チャンバ115内の真空条件を維
持する。第一ロボット120は、ロードロックチャンバ
105、110と、第一ステージ移送チャンバ115に
取り付けられた1個以上の基板処理チャンバ125と1
30との間で基板を移送する。処理チャンバ125と1
30は、化学的気相堆積(CVD)、又は物理的気相堆
積(PVD)、エッチング、プリクリーニング、デガ
ス、オリエンテーション、及び他の基板プロセス等の多
数の基板処理作業を実行するために設けることができ
る。また、第一ロボット120は、基板を移送して、第
一ステージ移送チャンバ115と第二ステージ移送チャ
ンバ140間に配置された1個以上の移送チャンバ13
5に出入りさせる。移送チャンバ135は、第一ステー
ジ移送チャンバ115と第二ステージ移送チャンバ14
0間の基板移送が可能な間、第二ステージ移送チャンバ
140内の超高真空状態を維持するために使われる。第
二ロボット145は、移送チャンバ135と複数の基板
処理チャンバ150、155、160、及び165との
間で基板を移送する。
FIG. 2 is a schematic diagram of a cluster tool system having a multi-substrate processing chamber. The cluster tool system 100 includes vacuum load lock chambers 105, 110 mounted on a first stage transfer chamber 115. Load lock chamber 1
05, 110 maintain the vacuum conditions in the first stage transfer chamber 115 while substrates enter and exit the system 100. The first robot 120 includes one or more substrate processing chambers 125 and 1 attached to the load lock chambers 105 and 110 and the first stage transfer chamber 115.
The substrate is transferred to and from the substrate 30. Processing chambers 125 and 1
30 is provided for performing a number of substrate processing operations such as chemical vapor deposition (CVD) or physical vapor deposition (PVD), etching, pre-cleaning, degassing, orientation, and other substrate processes. Can be. In addition, the first robot 120 may transfer the substrate to one or more transfer chambers 13 disposed between the first stage transfer chamber 115 and the second stage transfer chamber 140.
Have 5 go in and out. The transfer chamber 135 includes a first stage transfer chamber 115 and a second stage transfer chamber 14.
It is used to maintain an ultra-high vacuum state in the second stage transfer chamber 140 while a substrate transfer between 0 is possible. The second robot 145 transfers a substrate between the transfer chamber 135 and the plurality of substrate processing chambers 150, 155, 160, and 165.

【0021】上記処理チャンバ125、130と同様
に、追加の処理チャンバ150、165は、様々な基板
処理作業を実行するために設けることができる。例え
ば、処理チャンバ150は、酸化ケイ素膜の堆積のため
に設けたCVDチャンバであり、処理チャンバ155
は、層間接触フィーチャ用の開口部のエッチングのため
に設けたエッチングチャンバであり、処理チャンバ16
0は、タンタル、窒化タンタルの一方又は両方のバリア
膜の反応性スパッタ堆積のために設けたPVDチャンバ
であり、そして処理チャンバ165は、銅のような導体
膜のスパッタ堆積のために設けたPVDチャンバであ
る。上記処理チャンバを順に配置することは、本発明の
実施のために有益である。集積回路又はチップの製造の
層間接続部を完成するために必要とされる全てのプロセ
スを実行するためには、複数のクラスタツールシステム
が必要である。
Similar to the processing chambers 125, 130 described above, additional processing chambers 150, 165 can be provided to perform various substrate processing operations. For example, the processing chamber 150 is a CVD chamber provided for depositing a silicon oxide film, and the processing chamber 155
Is an etching chamber provided for etching the opening for the interlayer contact feature;
0 is a PVD chamber provided for reactive sputter deposition of one or both of tantalum, tantalum nitride barrier films, and a process chamber 165 is provided for PVD provided for sputter deposition of a conductor film such as copper. Chamber. Arranging the processing chambers in sequence is beneficial for the practice of the present invention. Multiple cluster tool systems are required to perform all the processes required to complete the interconnects in the manufacture of integrated circuits or chips.

【0022】作業中、基板はマイクロプロセッサ又はコ
ンピュータ(図示せず)により実行されるコンピュータ
プログラムの制御の下で動作するコンベアベルト又はロ
ボットシステム(図示せず)により真空ロードロックチ
ャンバ105、110に搬送される。また、ロボット1
20及び145は、コンピュータプログラムの制御の下
で動作し、クラスタツールシステム100の種々の処理
チャンバ間で基板を移送する。
In operation, substrates are transferred to vacuum load lock chambers 105, 110 by a conveyor belt or robotic system (not shown) operating under the control of a microprocessor or computer program executed by a computer (not shown). Is done. Robot 1
20 and 145 operate under the control of a computer program to transfer substrates between the various processing chambers of the cluster tool system 100.

【0023】上記クラスタツールシステムは主として説
明のためのものである。電子サイクロトロン共鳴(EC
R)プラズマ処理装置、誘導結合RF高密度プラズマ処
理装置等のその他プラズマ処理設備が、クラスタツール
システムの一部として使われてよい。更に、本発明の酸
化ケイ素層及びバリア層形成のための方法は、特定の装
置、又は特定のプラズマ励起方法に限定されない。
The above cluster tool system is mainly for explanation. Electron cyclotron resonance (EC
R) Other plasma processing equipment, such as plasma processing equipment, inductively coupled RF high density plasma processing equipment, etc., may be used as part of the cluster tool system. Furthermore, the method for forming a silicon oxide layer and a barrier layer of the present invention is not limited to a specific apparatus or a specific plasma excitation method.

【0024】図3は、本発明のアルゴンプリクリーニン
グステップと水素プラズマプリクリーニングステップ
を、水素プラズマプリクリーニングステップの前後に発
生するその他のプロセスシーケンスステップに沿って示
したフローチャートである。図3に示すステップは、ク
ラスタツールシステム100のためにマイクロプロセッ
サ又はコンピュータコントローラにより実行されるコン
ピュータプログラムの命令に応じて実行が可能である。
FIG. 3 is a flowchart showing the argon pre-cleaning step and the hydrogen plasma pre-cleaning step of the present invention along with other process sequence steps occurring before and after the hydrogen plasma pre-cleaning step. The steps shown in FIG. 3 can be performed in response to instructions of a computer program executed by a microprocessor or a computer controller for the cluster tool system 100.

【0025】第一に、誘電体層が基板上に堆積される
(ステップ200)。酸化ケイ素膜等の誘電体層の堆積
は、公知の様々な方法を通じて達成できる。誘電体層
は、例えば、図2に示すCVDチャンバ150内で実行
されるCVD処理を使い堆積されることが好ましい。し
かし、当業者なら分かるように能動デバイスやその他構
造の形成のために、基板は、誘電体層の堆積の前に、多
数の処理ステップに委ねられるのが普通である。
First, a dielectric layer is deposited on a substrate (step 200). Deposition of a dielectric layer such as a silicon oxide film can be achieved through various known methods. The dielectric layer is preferably deposited using, for example, a CVD process performed in the CVD chamber 150 shown in FIG. However, as will be appreciated by those skilled in the art, for the formation of active devices and other structures, the substrate is typically subjected to a number of processing steps prior to deposition of the dielectric layer.

【0026】第二に、誘電体層は、上に重なる層の堆積
に備えて平坦化される(ステップ205)。平坦化プロ
セスは、化学機械研磨(CMP)、エッチング、又は他
の同様のプロセスを含むことが可能である。コンタク
ト、バイア等の層間接続フィーチャ用の開口部は、誘電
体層内にエッチングされる(ステップ210)。スパッ
タエッチングプロセスは、図2のクラスタツールシステ
ム100に示すエッチングチャンバ155等の典型的な
エッチングチャンバ内での実行が可能である。典型的に
は、誘電体層の厚みは約0.5ミクロンと約3.0ミク
ロンの間であり、層間接続構造部は、サブクォータミク
ロンの開口部及び1:1より大きいアスペクト比(幅の
高さに対する比)を有する。ステップ205、210
は、メタライゼーションされた、又は複数層の材料で充
填された層間接続フィーチャを有するパターニングされ
た基板を生み出す。
Second, the dielectric layer is planarized in preparation for depositing an overlying layer (step 205). The planarization process can include chemical mechanical polishing (CMP), etching, or other similar processes. Openings for interlayer connection features, such as contacts, vias, etc., are etched into the dielectric layer (step 210). The sputter etching process can be performed in a typical etching chamber, such as the etching chamber 155 shown in the cluster tool system 100 of FIG. Typically, the thickness of the dielectric layer is between about 0.5 microns and about 3.0 microns, and the interconnect structure has sub-quarter micron openings and an aspect ratio (width greater than 1: 1). Ratio to height). Step 205, 210
Produces a patterned substrate with interconnect features that are metallized or filled with multiple layers of material.

【0027】第3に、本発明によるアルゴンプラズマク
リーニング(ステップ212)は、パターニングされた
基板に実行されるが、先行するプロセスステップに由来
する堆積物を除去するためである。アルゴンプラズマス
テップで堆積物は、アルゴンプラズマによりスパッタさ
れ開口部から除かれる。アルゴンスパッタプロセスは、
様々のチャンバ内で実行が可能だが、プリクリーニング
チャンバ内での実行が好ましい。第4に、本発明による
水素プラズマプリクリーニングステップは、パターニン
グされた基板に施される。基板は、水素プラズマ(ステ
ップ215)を使ってプリクリーニングされるが、これ
は酸化銅を銅に還元し、誘電体層の構造をクリーンに
し、安定化するためである。プリクリーニングステップ
は、何れの典型的プラズマ処理チャンバ内でも実行可能
であるが、プリクリーニングステップは、プリクリーニ
ングチャンバ内で行うことが好ましい。本発明によるア
ルゴンプラズマエッチングと水素プラズマプリクリーニ
ングのステップは、図5に示すプリクリーニングチャン
バに関して更に詳細に説明する。
Third, an argon plasma cleaning (step 212) according to the present invention is performed on the patterned substrate to remove deposits from previous process steps. In an argon plasma step, the deposit is sputtered by the argon plasma and removed from the opening. The argon sputtering process
While it can be performed in various chambers, it is preferable to perform in a pre-cleaning chamber. Fourth, a hydrogen plasma pre-cleaning step according to the present invention is performed on the patterned substrate. The substrate is pre-cleaned using a hydrogen plasma (step 215) to reduce copper oxide to copper and to clean and stabilize the structure of the dielectric layer. Although the pre-cleaning step can be performed in any typical plasma processing chamber, it is preferred that the pre-cleaning step be performed in the pre-cleaning chamber. The steps of argon plasma etching and hydrogen plasma pre-cleaning according to the present invention will be described in more detail with respect to the pre-cleaning chamber shown in FIG.

【0028】次に、好ましくは窒化タンタルである拡散
バリア層が、上部を覆うメタル層へのケイ素の拡散防止
のために堆積される(ステップ220)。拡散バリア層
は、また、メタル膜と酸化ケイ素膜等の異なる膜間の膜
密着性を改善する。窒化タンタル層は、公知の反応性ス
パッタリングのために設けたPVDチャンバを使って堆
積されるのが好ましい。拡散バリア層の膜厚は、約50
オングストロームと約200オングストロームの間であ
ることが好ましい。
Next, a diffusion barrier layer, preferably tantalum nitride, is deposited to prevent diffusion of silicon into the overlying metal layer (step 220). The diffusion barrier layer also improves film adhesion between different films such as a metal film and a silicon oxide film. The tantalum nitride layer is preferably deposited using a PVD chamber provided for known reactive sputtering. The thickness of the diffusion barrier layer is about 50
Preferably, it is between Angstroms and about 200 Angstroms.

【0029】図4は、バリア層の堆積に役立つ典型的な
PVDチャンバの断面図である。PVDチャンバ310
は、一般には、チャンバエンクロージャ312、基板支
持部材314、ターゲット316、シールド318、ク
ランプリング320、ガス入口322、ガス排気口32
4、マグネットアセンブリ326、RFプラズマパワー
ソース328、及びRFバイアスソース334を含む。
処理中、基板330は基板支持部材314上に載置さ
れ、処理ガスが、ターゲットの端とシールド頂部間に配
置されたガス入口322を通じて、ターゲット316、
基板330、シールド318により画成される処理領域
332へと導入される。RFバイアスソース334が基
板支持部材314へRFバイアスを供給する一方、RF
プラズマパワーソース328は、処理中にターゲットへ
RFパワーを供給して、処理領域332内の処理ガスの
プラズマを励起して維持する。シールド318は、普
通、処理中は接地されている。堆積中にプラズマ中のイ
オンは、ターゲットに衝撃を加え、ターゲットの表面か
ら材料をスパッタする。スパッタされた材料は、プラズ
マ中のイオンと反応し、基板表面上に所望の膜を形成す
る。タンタル/窒化タンタル等のバリア膜の堆積のため
には、処理ガスがアルゴンと窒素を含むことが普通であ
り、ここで、アルゴンは、ターゲット316に衝撃を加
えるプラズマイオンのための主たるガスソースとして働
き、窒素は、主としてターゲット316からスパッタさ
れた原子(タンタル)と反応して、基板330上に堆積
されるタンタル/窒化タンタル膜を形成する。バリア膜
の堆積後、典型的には、約300度と約500度の間の
温度で基板をアニールし、堆積膜の材料特性を改善す
る。
FIG. 4 is a cross-sectional view of a typical PVD chamber useful for depositing a barrier layer. PVD chamber 310
Generally includes a chamber enclosure 312, a substrate support member 314, a target 316, a shield 318, a clamp ring 320, a gas inlet 322, and a gas exhaust port 32.
4, including a magnet assembly 326, an RF plasma power source 328, and an RF bias source 334.
During processing, the substrate 330 is placed on the substrate support member 314 and processing gas is passed through the gas inlet 322 located between the end of the target and the top of the shield, the target 316,
The substrate 330 is introduced into the processing area 332 defined by the shield 318. An RF bias source 334 supplies an RF bias to the substrate support member 314, while an RF bias source
The plasma power source 328 supplies RF power to the target during processing to excite and maintain the plasma of the processing gas in the processing region 332. Shield 318 is typically grounded during processing. During deposition, ions in the plasma bombard the target, sputtering material from the surface of the target. The sputtered material reacts with ions in the plasma to form a desired film on the substrate surface. For the deposition of barrier films such as tantalum / tantalum nitride, it is common for the process gas to include argon and nitrogen, where argon is the primary gas source for plasma ions bombarding the target 316. In operation, nitrogen reacts primarily with atoms (tantalum) sputtered from target 316 to form a tantalum / tantalum nitride film deposited on substrate 330. After deposition of the barrier film, the substrate is typically annealed at a temperature between about 300 and about 500 degrees to improve the material properties of the deposited film.

【0030】最後に、銅のようなメタル層が拡散バリア
層上に堆積され、層間接触フィーチャ(ステップ22
5)の形成を完了する。メタル層の厚みは、約6,00
0オングストロームと約10,000オングストローム
の間であることが望ましい。銅の堆積は、公知の典型的
PVDチャンバ、又は典型的CVDチャンバでの実行が
可能である。上記プロセスは、多層の集積回路構造のた
めに繰り返されることになろう。
Finally, a metal layer, such as copper, is deposited on the diffusion barrier layer and an interlayer contact feature (step 22).
5) The formation is completed. The thickness of the metal layer is about 6,000
Desirably, between 0 Angstroms and about 10,000 Angstroms. Copper deposition can be performed in a known typical PVD chamber or a typical CVD chamber. The above process would be repeated for a multi-layer integrated circuit structure.

【0031】本発明によれば、パターニングされた誘電
体層は、窒化タンタルバリア層の堆積に先立ち、アルゴ
ンプラズマ、次いで水素プラズマを使ってプリクリーニ
ングされる。プリクリーニングプロセスは、PVDチャ
ンバ、CVDチャンバ、エッチングチャンバ、及びプリ
クリーニングチャンバを含む様々な処理チャンバ内で実
行可能である。プリクリーニングプロセスは、窒化タン
タルバリア層の堆積に先立ってプリクリーニングチャン
バを使って行うことが好ましい。本発明はプリクリーニ
ングチャンバを使って説明されるが、本発明は様々の処
理チャンバに適用される。
According to the present invention, the patterned dielectric layer is pre-cleaned using an argon plasma and then a hydrogen plasma prior to the deposition of the tantalum nitride barrier layer. The pre-cleaning process can be performed in various processing chambers including a PVD chamber, a CVD chamber, an etching chamber, and a pre-cleaning chamber. The pre-cleaning process is preferably performed using a pre-cleaning chamber prior to depositing the tantalum nitride barrier layer. Although the invention is described using a pre-cleaning chamber, the invention applies to various processing chambers.

【0032】図5は、本発明のために有利な典型的プリ
クリーニングチャンバの断面図である。本発明に有利な
プリクリーニングチャンバの例は、Pre-Clean II Chamb
erであり、カリフォルニア州サンタ クララのアプライ
ドマテリアルズ社が提供するものである。一般には、プ
リクリーニングチャンバ510は、石英ドームの下の処
理チャンバエンクロージャ514内に配置された基板支
持部材512を有する。基板支持部材512は、典型的
には、絶縁板522上の凹部520内に配置された中央
ペデスタルプレート518を含み、ここで、基板支持部
材512は、典型的には、石英、セラミック等でできて
いる。処理中、基板524は、中央ペデスタルプレート
518上に載置され、位置決めピン532によりその上
に固定される。好ましくは、チャンバ内のプロセスガス
に衝撃を与えて、それを中で維持するために、RFコイ
ル526は、石英ドーム516の外側に配置され、RF
パワーソース524に接続される。一般に、RFマッチ
ングネットワーク530が、RFパワーソース524と
RFコイル526とのマッチングのために設けられる。
基板支持部材512は、基板支持部材512にバイアス
を供給するRFバイアスソース528に接続されるのが
普通である。RFパワーソース524は、コイル526
に2MHzで約500WまでのRFパワーを提供し、RF
バイアスソース528は、基板支持部材512に13.
56MHzで約500WまでのRFバイアスを提供するこ
とが好ましい。
FIG. 5 is a cross-sectional view of a typical pre-cleaning chamber that is advantageous for the present invention. An example of a pre-cleaning chamber useful in the present invention is Pre-Clean II Chamb
er and provided by Applied Materials, Inc. of Santa Clara, California. In general, the pre-cleaning chamber 510 has a substrate support member 512 located within a processing chamber enclosure 514 below the quartz dome. Substrate support member 512 typically includes a central pedestal plate 518 disposed within recess 520 on insulating plate 522, where substrate support member 512 is typically made of quartz, ceramic, or the like. ing. During processing, substrate 524 is mounted on central pedestal plate 518 and secured thereon by locating pins 532. Preferably, the RF coil 526 is located outside the quartz dome 516 to bombard and maintain the process gas in the chamber
Connected to power source 524. Generally, an RF matching network 530 is provided for matching between the RF power source 524 and the RF coil 526.
The substrate support member 512 is typically connected to an RF bias source 528 that provides a bias to the substrate support member 512. The RF power source 524 includes a coil 526
Provides RF power up to about 500 W at 2 MHz,
Bias source 528 is applied to substrate support member 512.
It is preferred to provide up to about 500 W of RF bias at 56 MHz.

【0033】本発明によれば、パターニングされた、又
はエッチングされた基板は、バリア層の堆積に先立って
プリクリーニングチャンバ内で、最初はアルゴンプラズ
マ、その後水素プラズマを使ってプリクリーニングされ
るのが好ましい。誘電体層が平坦化され、層間接続フィ
ーチャの開口部が形成された後に、基板がプリクリーニ
ングチャンバに移送されることが好ましい。基板のパタ
ーンエッチングは、プリクリーニングチャンバを有する
処理プラットホーム又はシステムへの基板移送の前に、
他の処理プラットホーム又はシステム内で処理してもよ
い。一旦、基板がプリクリーニングチャンバ内の処理の
ために載置されると、主としてアルゴン、即ち原子数で
約50%を超えるアルゴンを含む処理ガスが、好ましく
は約0.8mtorrの圧力で処理領域に導入される。
アルゴンガスのプラズマは、処理領域で励起され、基板
をアルゴンスパッタクリーニングの環境に委ねる。アル
ゴンプラズマは、RFパワーソース524からRFコイ
ル526へ約50Wと約500Wの間のRFパワーと、
RFバイアスソース528から基板支持部材512へ約
50Wと約500Wの間のRFバイアスを印加し発生さ
せることが好ましい。反応性水素プラズマでは容易に除
去されない付着物に対して十分なクリーニング時間を与
える与えるように、アルゴンプラズマは約10秒から約
300秒の間保持される。アルゴンプラズマは、コイル
に印加した約300WのRFパワーと、基板支持部材に
印加した約300WのRFバイアスとにより生成される
ことが好ましく、そして好ましくは約60秒間保持され
る。
According to the present invention, the patterned or etched substrate may be pre-cleaned using an argon plasma and then a hydrogen plasma in a pre-cleaning chamber prior to deposition of the barrier layer. preferable. After the dielectric layer has been planarized and the openings for the interconnect features have been formed, the substrate is preferably transferred to a pre-cleaning chamber. Pattern etching of the substrate is performed prior to transfer of the substrate to a processing platform or system having a pre-cleaning chamber.
Processing may occur in other processing platforms or systems. Once the substrate is loaded for processing in the pre-cleaning chamber, a processing gas containing primarily argon, i.e., more than about 50% argon by number of atoms, is applied to the processing region, preferably at a pressure of about 0.8 mtorr. be introduced.
The argon gas plasma is excited in the processing area and exposes the substrate to an argon sputter cleaning environment. The argon plasma provides between about 50 W and about 500 W of RF power from the RF power source 524 to the RF coil 526;
Preferably, an RF bias between about 50 W and about 500 W is applied from the RF bias source 528 to the substrate support member 512 for generation. The argon plasma is held for about 10 to about 300 seconds to provide sufficient cleaning time for deposits that are not easily removed with a reactive hydrogen plasma. The argon plasma is preferably generated by about 300 W of RF power applied to the coil and about 300 W of RF bias applied to the substrate support, and is preferably held for about 60 seconds.

【0034】アルゴンプラズマに続いて、チャンバ圧力
は約80mTorrに上げられ、水素とヘリウムを必須
として成り、原子数で5%と100%間の水素を含む処
理ガスが、処理領域に導入される。処理ガスは、好まし
くは、約5%の水素と約95%のヘリウムを含む。水素
/ヘリウムガスのプラズマは、処理領域内で衝撃を与え
られて基板を反応性水素プラズマ環境に委ねる。水素プ
ラズマは、RFパワーソース524から約50Wと約5
00Wの間のパワーをRFコイル526へ、RFバイア
スソース528から約5Wと約300Wの間のRFバイ
アスを基板支持部材512へ印加することで生成され
る。水素プラズマは、約10秒と約300秒の間の時間
で保持され、酸化銅を銅に還元し、基板をクリーニング
する。水素プラズマは、約450WのRFパワーをコイ
ルに、約10WのRFバイアスを基板支持部材に印加す
ることで生成することが好ましく、好ましくは、約60
秒間保持する。一旦、プリクリーニング処理が終わる
と、プリクリーニングチャンバは、処理ガスとプリクリ
ーニングプロセスからの反応副成物を排気するために真
空引きされる。その後、バリア層がクリーニングされた
基板上に堆積され、図3に概略的に記載した残りのプロ
セスが実行される。
Following the argon plasma, the chamber pressure is increased to about 80 mTorr, and a processing gas, consisting essentially of hydrogen and helium, containing between 5% and 100% hydrogen by number of atoms is introduced into the processing region. The process gas preferably contains about 5% hydrogen and about 95% helium. The hydrogen / helium gas plasma is bombarded in the processing region to expose the substrate to a reactive hydrogen plasma environment. The hydrogen plasma is supplied from the RF power source 524 at about 50 W and about 5 W.
It is generated by applying a power between 00 W to the RF coil 526 and an RF bias between about 5 W and about 300 W from the RF bias source 528 to the substrate support member 512. The hydrogen plasma is held for a time between about 10 seconds and about 300 seconds to reduce copper oxide to copper and clean the substrate. The hydrogen plasma is preferably generated by applying an RF power of about 450 W to the coil and an RF bias of about 10 W to the substrate support member, preferably about 60 W.
Hold for seconds. Once the pre-cleaning process is over, the pre-cleaning chamber is evacuated to evacuate process gases and reaction by-products from the pre-cleaning process. Thereafter, a barrier layer is deposited on the cleaned substrate, and the remaining processes outlined in FIG. 3 are performed.

【0035】以上の記載は、本発明の好ましい実施の形
態に向けられているが、本発明の他の及び更なる実施の
形態は、本発明の基本的範囲から逸脱することなく考案
されよう。本発明の範囲は、先に記載の特許請求の範囲
により定められる。
While the above description is directed to preferred embodiments of the invention, other and further embodiments of the invention will be devised without departing from the basic scope of the invention. The scope of the present invention is defined by the appended claims.

【図面の簡単な説明】[Brief description of the drawings]

本発明の先に記載の特徴、利点、及び目的が達成される
方法が詳しく理解されるように、上に簡単に概説した、
添付図面に示すその実施の形態を参照して、より詳細に
本発明が説明される。しかし、添付図面は、本発明の典
型的な実施の形態を単に図解するだけであり、従って、
本発明の範囲を制限すると考えるべきではないことに注
意されたい。本発明は、他の同等に効果的な実施の形態
も許容するからである。
BRIEF DESCRIPTION OF THE DRAWINGS For a better understanding of how the above-described features, advantages and objects of the invention are achieved,
The present invention will be described in more detail with reference to its embodiments shown in the accompanying drawings. However, the accompanying drawings merely illustrate exemplary embodiments of the present invention, and
It should be noted that this should not be considered as limiting the scope of the invention. This is because the present invention allows for other equally effective embodiments.

【図1】図1は、パターンニングされた基板の部分断面
略図であって、ボイド、不連続部、及び非平坦面を有す
る基板内のコンタクトホール内で、不規則配向の微粒径
粒子堆積層を示す。
FIG. 1 is a schematic, partial cross-sectional view of a patterned substrate showing irregularly-oriented, fine-grained particle deposition in contact holes in a substrate having voids, discontinuities, and uneven surfaces. Show layers.

【図2】図2は、多数基板処理チャンバを有するクラス
タツールシステムの概略図である。
FIG. 2 is a schematic diagram of a cluster tool system having a multiple substrate processing chamber.

【図3】図3は、アルゴンと水素のプラズマステップ前
後で起こる他のプロセスシーケンスステップに沿って、
本発明のアルゴンプラズマクリーニングと水素プラズマ
クリーニングのシーケンシャルステップを示すフローチ
ャートである。
FIG. 3 illustrates another process sequence step that occurs before and after the argon and hydrogen plasma steps.
It is a flowchart which shows the sequential step of argon plasma cleaning and hydrogen plasma cleaning of this invention.

【図4】図4は、バリア層を堆積するために有用な典型
的PVDチャンバの断面図である。
FIG. 4 is a cross-sectional view of an exemplary PVD chamber useful for depositing a barrier layer.

【図5】図5は、本発明に有用な典型的プリクリーニン
グチャンバの断面図である。
FIG. 5 is a cross-sectional view of a typical pre-cleaning chamber useful in the present invention.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 バーニー エム. コーエン アメリカ合衆国, カリフォルニア州, サンタ クララ, マリエッタ ドライヴ 2931 (72)発明者 スラジュ レンガラジャン アメリカ合衆国, カリフォルニア州, サニーヴェイル, アスター アヴェニュ ー 1035 アパートメントナンバー1160 (72)発明者 シャンビン リー アメリカ合衆国, カリフォルニア州, サン ノゼ, ヴィラ センター ウェイ 648 (72)発明者 ケニー キング−タイ ヌギャン アメリカ合衆国, カリフォルニア州, フリーモント, キャメロン ヒルズ ド ライヴ 43793 (72)発明者 ぺジャン ディン アメリカ合衆国, カリフォルニア州, サン ノゼ, ダブリュー. リヴァーサ イド ウェイ 1020 ──────────────────────────────────────────────────続 き Continued on the front page (72) Inventor Bernie M. Cohen United States of America, California, Santa Clara, Marietta Drive 2931 (72) Inventor Suraj Bengarajan United States of America, California, Sunnyvale, Astor Avenue 1035 Apartment Number 1160 (72) Inventor of Shanbin Lee United States of America, California, San Jose, Villa Center Way 648 (72) Inventor Kenny King-Thai Nguyen Cameron Hills Drive 43793, Fremont, California, United States of America 43793 (72) Inventor @ Jandin United States of America, California, San Jose, W. Riverside Way 1020

Claims (20)

【特許請求の範囲】[Claims] 【請求項1】 パターニングされた誘電体層上へのメタ
ル堆積を改良するための方法であって、 a)主としてアルゴンを含む第一プラズマにより、処理
チャンバ内で前記パターニングされた誘電体層をクリー
ニングすること、及び、 b)水素とヘリウムを必須として構成される第二プラズ
マにより、前記処理チャンバ内で前記パターニングされ
た誘電体層をクリーニングすること、を含む方法。
1. A method for improving metal deposition on a patterned dielectric layer, the method comprising: a) cleaning the patterned dielectric layer in a processing chamber with a first plasma comprising primarily argon. And b) cleaning the patterned dielectric layer in the processing chamber with a second plasma essentially comprising hydrogen and helium.
【請求項2】 前記処理チャンバがプリクリーニングチ
ャンバである、請求項1に記載の方法。
2. The method of claim 1, wherein said processing chamber is a pre-cleaning chamber.
【請求項3】 前記第一プラズマがアルゴンを必須とし
て構成される、請求項1に記載の方法。
3. The method of claim 1, wherein the first plasma is configured with argon as essential.
【請求項4】 前記第二プラズマが、原子数で約5%か
ら約100%の水素と、原子数で約0%から約95%の
ヘリウムとを必須として構成される、請求項1に記載の
方法。
4. The method of claim 1, wherein the second plasma is comprised of about 5% to about 100% hydrogen and about 0% to about 95% helium. the method of.
【請求項5】 前記誘電体層を前記第一プラズマ及び前
記第二プラズマに曝露した後、前記パターニングされた
誘電体層上にメタルを堆積することを更に含む、請求項
1に記載の方法。
5. The method of claim 1, further comprising: after exposing the dielectric layer to the first plasma and the second plasma, depositing a metal on the patterned dielectric layer.
【請求項6】 基板上の、パターニングされた誘電体層
上へのメタル堆積を改良するための方法であって、 a)主としてアルゴンを含む第一プラズマにより、処理
チャンバ内で前記パターニングされた誘電体操をクリー
ニングすることであって、前記第一プラズマは、前記処
理チャンバを囲むコイルへRFパワーを供給して前記基
板を支持する基板支持部材へRFバイアスを供給するこ
ととによって生成される、 b)水素とヘリウムを必須としてなる第二プラズマによ
り、前記処理チャンバ内で前記パターニングされた誘電
体層をクリーニングすることであって、前記第二プラズ
マは、前記処理チャンバを囲む前記コイルにRFパワー
を供給して前記基板を支持する前記基板支持部材へRF
バイアスを供給することとによって生成され、及び、 c)前記誘電体層を前記第一プラズマ及び前記第二プラ
ズマに曝露した後、前記パターニングされた誘電体層へ
メタルを堆積すること、を含む方法。
6. A method for improving metal deposition on a patterned dielectric layer on a substrate, comprising: a) the patterned dielectric in a processing chamber by a first plasma comprising primarily argon. Cleaning gymnastics, wherein the first plasma is generated by applying RF power to a coil surrounding the processing chamber to apply an RF bias to a substrate support member supporting the substrate; b. Cleaning the patterned dielectric layer in the processing chamber with a second plasma essentially comprising hydrogen and helium, the second plasma applying RF power to the coil surrounding the processing chamber; RF to the substrate support member that supplies and supports the substrate
And c) exposing the dielectric layer to the first plasma and the second plasma, and then depositing a metal on the patterned dielectric layer. .
【請求項7】 前記処理チャンバがプリクリーニングチ
ャンバである、請求項6に記載の方法。
7. The method of claim 6, wherein said processing chamber is a pre-cleaning chamber.
【請求項8】 前記第一プラズマがアルゴンを必須とし
て構成される、請求項6に記載の方法。
8. The method of claim 6, wherein the first plasma is configured with argon as essential.
【請求項9】 前記第二プラズマが、原子数で約5%の
水素と、原子数で約95%のヘリウムとを必須として構
成される、請求項6に記載の方法。
9. The method of claim 6, wherein the second plasma is comprised essentially of about 5% atomic hydrogen and about 95% helium atomic.
【請求項10】 更に、前記メタルを堆積するのに先だ
って、前記パターニングされた誘電体層上にバリア層を
堆積することを含む、請求項6に記載の方法。
10. The method of claim 6, further comprising depositing a barrier layer on the patterned dielectric layer prior to depositing the metal.
【請求項11】 前記第一プラズマを生成するために基
板支持部材に加えるRFバイアスよりも、前記第二プラ
ズマを生成するために前記基板支持部材に加えるRFバ
イアスの方が小さい、請求項6に記載の方法。
11. The method of claim 6, wherein an RF bias applied to the substrate support member to generate the second plasma is smaller than an RF bias applied to the substrate support member to generate the first plasma. The described method.
【請求項12】 前記第一プラズマは、前記コイルに加
えられる約300WのRFパワーと、前記基板支持部材
に加えられる約300WのRFバイアスとで生成され、
前記第二プラズマは、前記誘導コイルに加えられる約4
50WのRFパワーと前記基板支持部材に加えられる約
10WのRFバイアスとで生成される、請求項6に記載
の方法。
12. The first plasma is generated with about 300 W of RF power applied to the coil and about 300 W of RF bias applied to the substrate support member.
The second plasma is applied to the induction coil at about 4
7. The method of claim 6, wherein the method is generated with 50 W of RF power and about 10 W of RF bias applied to the substrate support member.
【請求項13】 エッチングプラズマが、前記処理チャ
ンバ内で約60秒間維持される、請求項6に記載の方
法。
13. The method of claim 6, wherein an etching plasma is maintained in said processing chamber for about 60 seconds.
【請求項14】 基板上のパターニングされた誘電体層
上へのメタル堆積を改良するための方法であって、 a)アルゴンを必須として構成される第一プラズマによ
り、前記処理チャンバ内で前記パターニングされた誘電
体層をクリーニングすることであって、前記第一プラズ
マは、処理チャンバを囲むコイルへRFパワーを供給し
て前記基板を支持する基板支持部材へRFバイアスを供
給することとによって生成され、 b)水素とヘリウムを必須として構成される第二プラズ
マにより、前記処理チャンバ内において前記パターニン
グされた誘電体層をクリーニングすることであって、前
記第二プラズマは、前記処理チャンバを囲む前記コイル
への前記RFパワーの供給を増加して前記基板を支持す
る前記基板支持部材への前記RFバイアスの供給を低減
することとによって生成され、 c)前記誘電体層を前記第一プラズマと前記第二プラズ
マへ曝露した後、前記パターニングされた誘電体層上に
バリア層を堆積すること、及び、 d)前記バリア層上にメタルを堆積すること、を含む方
法。
14. A method for improving metal deposition on a patterned dielectric layer on a substrate, the method comprising: a) the patterning in the processing chamber by a first plasma comprising argon. Cleaning the applied dielectric layer, wherein the first plasma is generated by applying RF power to a coil surrounding a processing chamber and applying an RF bias to a substrate support member supporting the substrate. B) cleaning the patterned dielectric layer in the processing chamber with a second plasma essentially comprising hydrogen and helium, wherein the second plasma comprises the coil surrounding the processing chamber; Supply of the RF bias to the substrate support member that supports the substrate by increasing the supply of the RF power to the substrate. C) depositing a barrier layer on said patterned dielectric layer after exposing said dielectric layer to said first plasma and said second plasma; and d. E.) Depositing a metal on said barrier layer.
【請求項15】 前記処理チャンバがプリクリーニング
チャンバである、請求項14に記載の方法。
15. The method of claim 14, wherein said processing chamber is a pre-cleaning chamber.
【請求項16】 前記第二プラズマが、原子数で約5%
から約100%の水素と、原子数で約0%から約95%
のヘリウムとを必須として構成される、請求項14に記
載の方法。
16. The method according to claim 16, wherein the second plasma has an atomic number of about 5%.
From about 100% hydrogen and from about 0% to about 95% atomic number
15. The method of claim 14, wherein the helium is essential.
【請求項17】 前記第二プラズマが、原子数で約5%
の水素と、原子数で約95%のヘリウムとを必須として
構成される、請求項14に記載の方法。
17. The method according to claim 17, wherein the second plasma has an atomic number of about 5%.
15. The method of claim 14, wherein the hydrogen and hydrogen of about 95% helium are essential.
【請求項18】 前記第一プラズマは、前記コイルに加
えられる約300WのRFパワーと前記基板支持部材に
加えられる約300WのRFバイアスとで生成され、前
記第二プラズマは、前記コイルに加えられる約450W
のRFパワーと前記基板支持部材に加えられる約10W
のRFバイアスとで生成される、請求項14に記載の方
法。
18. The method of claim 1, wherein the first plasma is generated with about 300 W of RF power applied to the coil and about 300 W of RF bias applied to the substrate support member, and the second plasma is applied to the coil. About 450W
RF power and about 10 W applied to the substrate support member
15. The method of claim 14, wherein the method is generated with an RF bias.
【請求項19】 エッチングプラズマが、前記処理チャ
ンバ内で約60秒間維持される、請求項14に記載の方
法。
19. The method of claim 14, wherein an etching plasma is maintained in said processing chamber for about 60 seconds.
【請求項20】 前記第一プラズマは約0.8mTor
rの前記処理チャンバ内圧力で生成され、前記第二プラ
ズマは約80mTorrの前記処理チャンバ内圧力で生
成される、請求項14に記載の方法。
20. The method of claim 1, wherein the first plasma is about 0.8 mTorr.
The method of claim 14, wherein the second plasma is generated at a pressure in the processing chamber of about 80 mTorr.
JP2000138942A 1999-05-11 2000-05-11 Sequential sputter and reactive precleaning of via-hole and contact Withdrawn JP2001085331A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30960699A 1999-05-11 1999-05-11
US09/309606 1999-05-11

Publications (1)

Publication Number Publication Date
JP2001085331A true JP2001085331A (en) 2001-03-30

Family

ID=23198904

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000138942A Withdrawn JP2001085331A (en) 1999-05-11 2000-05-11 Sequential sputter and reactive precleaning of via-hole and contact

Country Status (3)

Country Link
JP (1) JP2001085331A (en)
KR (1) KR100670618B1 (en)
TW (1) TW512448B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1691403A1 (en) * 2003-12-04 2006-08-16 Tokyo Electron Limited Method of cleaning semiconductor substrate conductive layer surface
KR100779337B1 (en) 2006-08-24 2007-11-23 동부일렉트로닉스 주식회사 Method of making semiconductor devices
US8318266B2 (en) * 2001-10-26 2012-11-27 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
JP2019114786A (en) * 2017-12-21 2019-07-11 東京エレクトロン株式会社 Removal method and processing method
US11302521B2 (en) 2018-04-18 2022-04-12 Tokyo Electron Limited Processing system and processing method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
CN113473708A (en) * 2020-03-30 2021-10-01 健鼎(湖北)电子有限公司 Manufacturing method of circuit board structure

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60174873A (en) * 1984-02-20 1985-09-09 Hitachi Cable Ltd Pretreatment of metallic substrate for vapor deposition
JPH0562957A (en) * 1991-09-04 1993-03-12 Seiko Epson Corp Plasma cleaning method
KR19990006183A (en) * 1997-06-30 1999-01-25 김영환 Metal wiring method of semiconductor device
KR100291439B1 (en) * 1998-02-06 2001-08-07 철 주 황 Method for Making Semiconductor Element

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8318266B2 (en) * 2001-10-26 2012-11-27 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
EP1691403A1 (en) * 2003-12-04 2006-08-16 Tokyo Electron Limited Method of cleaning semiconductor substrate conductive layer surface
EP1691403A4 (en) * 2003-12-04 2009-04-15 Tokyo Electron Ltd Method of cleaning semiconductor substrate conductive layer surface
US7713864B2 (en) 2003-12-04 2010-05-11 Tokyo Electron Limited Method of cleaning semiconductor substrate conductive layer surface
KR100779337B1 (en) 2006-08-24 2007-11-23 동부일렉트로닉스 주식회사 Method of making semiconductor devices
JP2019114786A (en) * 2017-12-21 2019-07-11 東京エレクトロン株式会社 Removal method and processing method
JP7175180B2 (en) 2017-12-21 2022-11-18 東京エレクトロン株式会社 Removal method and processing method
US11302521B2 (en) 2018-04-18 2022-04-12 Tokyo Electron Limited Processing system and processing method

Also Published As

Publication number Publication date
TW512448B (en) 2002-12-01
KR20000077193A (en) 2000-12-26
KR100670618B1 (en) 2007-01-17

Similar Documents

Publication Publication Date Title
JP4681117B2 (en) Pre-cleaning method prior to metallization for sub-quarter micron applications
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
JP4738568B2 (en) Plasma treatment for adhesion enhancement and oxidation minimization of carbon-containing layers
EP1081751A2 (en) Methods of pre-cleaning dielectric layers of substrates
JP4901004B2 (en) Method for removing copper oxide on a substrate surface
US8183150B2 (en) Semiconductor device having silicon carbide and conductive pathway interface
US7462565B2 (en) Method of manufacturing semiconductor device
US7053002B2 (en) Plasma preclean with argon, helium, and hydrogen gases
JP6921990B2 (en) Pre-cleaning and deposition methods for superconductor interconnection
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
JP2001144090A (en) Method of manufacturing semiconductor device
US20030027427A1 (en) Integrated system for oxide etching and metal liner deposition
JP2002533922A (en) Diffusion barrier and improved adhesion of fluorinated silicon dioxide using hydrogen-based precleaning techniques
US7014887B1 (en) Sequential sputter and reactive precleans of vias and contacts
US20050112879A1 (en) Insulation film etching method
KR19990077239A (en) Manufacturing method of semiconductor device
US20050106866A1 (en) Method of manufacturing semiconductor device
US7071095B2 (en) Barrier metal re-distribution process for resistivity reduction
KR100670618B1 (en) Sequential sputter and reactive precleans of vias and contacts
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
JPH08241923A (en) Method and apparatus for interconnection formation

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070807